From e32d73391a8a8719cc0c5c4c771794c6bef17e04 Mon Sep 17 00:00:00 2001 From: juzeon <812312770@qq.com> Date: Mon, 7 Mar 2022 08:54:33 +0800 Subject: [PATCH 1/7] =?UTF-8?q?add=208=E4=BD=8D=E5=8A=A0=E6=B3=95=E5=99=A8?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- adder/adder.asm.rpt | 129 + adder/adder.bdf | 2906 +++++++++++++++++ adder/adder.bsf | 211 ++ adder/adder.done | 1 + adder/adder.fit.rpt | 1040 ++++++ adder/adder.fit.smsg | 6 + adder/adder.fit.summary | 16 + adder/adder.flow.rpt | 120 + adder/adder.map.rpt | 240 ++ adder/adder.map.summary | 14 + adder/adder.pin | 278 ++ adder/adder.pof | Bin 0 -> 524488 bytes adder/adder.qpf | 30 + adder/adder.qsf | 53 + adder/adder.sof | Bin 0 -> 240789 bytes adder/adder.tan.rpt | 228 ++ adder/adder.tan.summary | 26 + adder/db/adder.(0).cnf.cdb | Bin 0 -> 1879 bytes adder/db/adder.(0).cnf.hdb | Bin 0 -> 1270 bytes adder/db/adder.(1).cnf.cdb | Bin 0 -> 519 bytes adder/db/adder.(1).cnf.hdb | Bin 0 -> 465 bytes adder/db/adder.(2).cnf.cdb | Bin 0 -> 521 bytes adder/db/adder.(2).cnf.hdb | Bin 0 -> 464 bytes adder/db/adder.asm.qmsg | 7 + adder/db/adder.asm_labs.ddb | Bin 0 -> 4100 bytes adder/db/adder.cbx.xml | 5 + adder/db/adder.cmp.bpm | Bin 0 -> 673 bytes adder/db/adder.cmp.cdb | Bin 0 -> 6286 bytes adder/db/adder.cmp.ecobp | Bin 0 -> 28 bytes adder/db/adder.cmp.hdb | Bin 0 -> 8241 bytes adder/db/adder.cmp.kpt | 10 + adder/db/adder.cmp.logdb | 1 + adder/db/adder.cmp.rdb | Bin 0 -> 17244 bytes adder/db/adder.cmp.tdb | Bin 0 -> 5803 bytes adder/db/adder.cmp0.ddb | Bin 0 -> 59317 bytes adder/db/adder.cmp2.ddb | Bin 0 -> 42886 bytes adder/db/adder.cmp_merge.kpt | 10 + adder/db/adder.db_info | 3 + adder/db/adder.eco.cdb | Bin 0 -> 175 bytes adder/db/adder.fit.qmsg | 39 + adder/db/adder.hier_info | 286 ++ adder/db/adder.hif | 120 + adder/db/adder.lpc.html | 18 + adder/db/adder.lpc.rdb | Bin 0 -> 399 bytes adder/db/adder.lpc.txt | 5 + adder/db/adder.map.bpm | Bin 0 -> 656 bytes adder/db/adder.map.cdb | Bin 0 -> 2132 bytes adder/db/adder.map.ecobp | Bin 0 -> 28 bytes adder/db/adder.map.hdb | Bin 0 -> 7920 bytes adder/db/adder.map.kpt | 10 + adder/db/adder.map.logdb | 1 + adder/db/adder.map.qmsg | 11 + adder/db/adder.map_bb.cdb | Bin 0 -> 743 bytes adder/db/adder.map_bb.hdb | Bin 0 -> 7177 bytes adder/db/adder.map_bb.logdb | 1 + adder/db/adder.pre_map.cdb | Bin 0 -> 2341 bytes adder/db/adder.pre_map.hdb | Bin 0 -> 7950 bytes adder/db/adder.rtlv.hdb | Bin 0 -> 7966 bytes adder/db/adder.rtlv_sg.cdb | Bin 0 -> 1992 bytes adder/db/adder.rtlv_sg_swap.cdb | Bin 0 -> 851 bytes adder/db/adder.sgdiff.cdb | Bin 0 -> 1696 bytes adder/db/adder.sgdiff.hdb | Bin 0 -> 7979 bytes adder/db/adder.sld_design_entry.sci | Bin 0 -> 168 bytes adder/db/adder.sld_design_entry_dsc.sci | Bin 0 -> 168 bytes adder/db/adder.syn_hier_info | 0 adder/db/adder.tan.qmsg | 6 + adder/db/adder.tis_db_list.ddb | Bin 0 -> 188 bytes adder/incremental_db/README | 11 + .../adder.root_partition.cmp.atm | Bin 0 -> 8870 bytes .../adder.root_partition.cmp.dfp | Bin 0 -> 33 bytes .../adder.root_partition.cmp.hdbx | Bin 0 -> 3314 bytes .../adder.root_partition.cmp.kpt | 10 + .../adder.root_partition.cmp.logdb | 1 + .../adder.root_partition.cmp.rcf | Bin 0 -> 1605 bytes .../adder.root_partition.map.atm | Bin 0 -> 6004 bytes .../adder.root_partition.map.dpi | Bin 0 -> 1311 bytes .../adder.root_partition.map.hdbx | Bin 0 -> 3202 bytes .../adder.root_partition.map.kpt | 10 + 78 files changed, 5863 insertions(+) create mode 100644 adder/adder.asm.rpt create mode 100644 adder/adder.bdf create mode 100644 adder/adder.bsf create mode 100644 adder/adder.done create mode 100644 adder/adder.fit.rpt create mode 100644 adder/adder.fit.smsg create mode 100644 adder/adder.fit.summary create mode 100644 adder/adder.flow.rpt create mode 100644 adder/adder.map.rpt create mode 100644 adder/adder.map.summary create mode 100644 adder/adder.pin create mode 100644 adder/adder.pof create mode 100644 adder/adder.qpf create mode 100644 adder/adder.qsf create mode 100644 adder/adder.sof create mode 100644 adder/adder.tan.rpt create mode 100644 adder/adder.tan.summary create mode 100644 adder/db/adder.(0).cnf.cdb create mode 100644 adder/db/adder.(0).cnf.hdb create mode 100644 adder/db/adder.(1).cnf.cdb create mode 100644 adder/db/adder.(1).cnf.hdb create mode 100644 adder/db/adder.(2).cnf.cdb create mode 100644 adder/db/adder.(2).cnf.hdb create mode 100644 adder/db/adder.asm.qmsg create mode 100644 adder/db/adder.asm_labs.ddb create mode 100644 adder/db/adder.cbx.xml create mode 100644 adder/db/adder.cmp.bpm create mode 100644 adder/db/adder.cmp.cdb create mode 100644 adder/db/adder.cmp.ecobp create mode 100644 adder/db/adder.cmp.hdb create mode 100644 adder/db/adder.cmp.kpt create mode 100644 adder/db/adder.cmp.logdb create mode 100644 adder/db/adder.cmp.rdb create mode 100644 adder/db/adder.cmp.tdb create mode 100644 adder/db/adder.cmp0.ddb create mode 100644 adder/db/adder.cmp2.ddb create mode 100644 adder/db/adder.cmp_merge.kpt create mode 100644 adder/db/adder.db_info create mode 100644 adder/db/adder.eco.cdb create mode 100644 adder/db/adder.fit.qmsg create mode 100644 adder/db/adder.hier_info create mode 100644 adder/db/adder.hif create mode 100644 adder/db/adder.lpc.html create mode 100644 adder/db/adder.lpc.rdb create mode 100644 adder/db/adder.lpc.txt create mode 100644 adder/db/adder.map.bpm create mode 100644 adder/db/adder.map.cdb create mode 100644 adder/db/adder.map.ecobp create mode 100644 adder/db/adder.map.hdb create mode 100644 adder/db/adder.map.kpt create mode 100644 adder/db/adder.map.logdb create mode 100644 adder/db/adder.map.qmsg create mode 100644 adder/db/adder.map_bb.cdb create mode 100644 adder/db/adder.map_bb.hdb create mode 100644 adder/db/adder.map_bb.logdb create mode 100644 adder/db/adder.pre_map.cdb create mode 100644 adder/db/adder.pre_map.hdb create mode 100644 adder/db/adder.rtlv.hdb create mode 100644 adder/db/adder.rtlv_sg.cdb create mode 100644 adder/db/adder.rtlv_sg_swap.cdb create mode 100644 adder/db/adder.sgdiff.cdb create mode 100644 adder/db/adder.sgdiff.hdb create mode 100644 adder/db/adder.sld_design_entry.sci create mode 100644 adder/db/adder.sld_design_entry_dsc.sci create mode 100644 adder/db/adder.syn_hier_info create mode 100644 adder/db/adder.tan.qmsg create mode 100644 adder/db/adder.tis_db_list.ddb create mode 100644 adder/incremental_db/README create mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.cmp.atm create mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.cmp.dfp create mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.cmp.hdbx create mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.cmp.kpt create mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.cmp.logdb create mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.cmp.rcf create mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.map.atm create mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.map.dpi create mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.map.hdbx create mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.map.kpt diff --git a/adder/adder.asm.rpt b/adder/adder.asm.rpt new file mode 100644 index 0000000..dc2646b --- /dev/null +++ b/adder/adder.asm.rpt @@ -0,0 +1,129 @@ +Assembler report for adder +Mon Mar 07 08:49:26 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: D:/projects/quartus/adder/adder.sof + 6. Assembler Device Options: D:/projects/quartus/adder/adder.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Mon Mar 07 08:49:26 2022 ; +; Revision Name ; adder ; +; Top-level Entity Name ; adder ; +; Family ; Cyclone II ; +; Device ; EP2C8Q208C8 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Generate compressed bitstreams ; On ; On ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; Off ; Off ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Maintain Compatibility with All Cyclone II M4K Versions ; On ; On ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++-------------------------------------+ +; Assembler Generated Files ; ++-------------------------------------+ +; File Name ; ++-------------------------------------+ +; D:/projects/quartus/adder/adder.sof ; +; D:/projects/quartus/adder/adder.pof ; ++-------------------------------------+ + + ++---------------------------------------------------------------+ +; Assembler Device Options: D:/projects/quartus/adder/adder.sof ; ++----------------+----------------------------------------------+ +; Option ; Setting ; ++----------------+----------------------------------------------+ +; Device ; EP2C8Q208C8 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x000C8655 ; ++----------------+----------------------------------------------+ + + ++---------------------------------------------------------------+ +; Assembler Device Options: D:/projects/quartus/adder/adder.pof ; ++--------------------+------------------------------------------+ +; Option ; Setting ; ++--------------------+------------------------------------------+ +; Device ; EPCS4 ; +; JTAG usercode ; 0x00000000 ; +; Checksum ; 0x06F061B0 ; +; Compression Ratio ; 3 ; ++--------------------+------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II Assembler + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 08:49:25 2022 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off adder -c adder +Info: Writing out detailed assembly data for power analysis +Info: Assembler is generating device programming files +Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled +Info: Quartus II Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 242 megabytes + Info: Processing ended: Mon Mar 07 08:49:26 2022 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/adder/adder.bdf b/adder/adder.bdf new file mode 100644 index 0000000..6d769db --- /dev/null +++ b/adder/adder.bdf @@ -0,0 +1,2906 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +//#pragma file_not_in_maxplusii_format +(header "graphic" (version "1.3")) +(pin + (input) + (rect 80 48 248 64) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A7" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 64 248 80) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B7" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 344 248 360) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A6" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 360 248 376) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B6" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 640 248 656) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A5" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 656 248 672) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B5" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 936 248 952) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A4" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 952 248 968) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B4" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 1232 248 1248) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A3" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 1248 248 1264) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B3" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 1528 248 1544) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A2" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 1544 248 1560) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B2" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 1824 248 1840) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A1" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 1840 248 1856) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B1" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 2104 248 2120) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A0" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 2120 248 2136) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B0" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 2136 248 2152) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "CI" (rect 5 0 16 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 536 32 712 48) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "CO" (rect 90 0 106 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 536 64 712 80) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "S7" (rect 90 0 102 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 536 2120 712 2136) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "S0" (rect 90 0 102 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 536 1840 712 1856) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "S1" (rect 90 0 102 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 536 1544 712 1560) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "S2" (rect 90 0 102 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 536 1248 712 1264) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "S3" (rect 90 0 102 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 536 952 712 968) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "S4" (rect 90 0 102 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 536 656 712 672) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "S5" (rect 90 0 102 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 536 360 712 376) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "S6" (rect 90 0 102 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(symbol + (rect 304 2096 368 2136) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 29 17 39)(font "Arial" (font_size 6))) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(symbol + (rect 336 2208 400 2248) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 29 26 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 432 2240 496 2280) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 29 26 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 336 2272 400 2312) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 29 26 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 408 2104 472 2144) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 29 26 41)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(symbol + (rect 304 1816 368 1856) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 29 26 41)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(symbol + (rect 336 1928 400 1968) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 29 26 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 432 1960 496 2000) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst8" (rect 3 29 26 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 336 1992 400 2032) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst9" (rect 3 29 26 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 408 1824 472 1864) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst10" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(symbol + (rect 304 1520 368 1560) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst11" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(symbol + (rect 336 1632 400 1672) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst12" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 432 1664 496 1704) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst13" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 336 1696 400 1736) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst14" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 408 1528 472 1568) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst15" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(symbol + (rect 304 1224 368 1264) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst16" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(symbol + (rect 336 1336 400 1376) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst17" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 432 1368 496 1408) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst18" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 336 1400 400 1440) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst19" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 408 1232 472 1272) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst20" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(symbol + (rect 304 928 368 968) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst21" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(symbol + (rect 336 1040 400 1080) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst22" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 432 1072 496 1112) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst23" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 336 1104 400 1144) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst24" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 408 936 472 976) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst25" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(symbol + (rect 304 632 368 672) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst26" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(symbol + (rect 336 744 400 784) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst27" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 432 776 496 816) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst28" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 336 808 400 848) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst29" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 408 640 472 680) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst30" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(symbol + (rect 304 336 368 376) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst31" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(symbol + (rect 336 448 400 488) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst32" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 432 480 496 520) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst33" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 336 512 400 552) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst34" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 408 344 472 384) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst35" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(symbol + (rect 304 40 368 80) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst36" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(symbol + (rect 336 152 400 192) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst37" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 432 184 496 224) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst38" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 336 216 400 256) + (text "7400" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst39" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (text "3" (rect 7 22 12 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (text "2" (rect 7 6 12 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 54 14 59 26)(font "Courier New" (bold))(invisible)) + (line (pt 51 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 15 12)(pt 31 12)(line_width 1)) + (line (pt 5 32)(pt 15 32)(line_width 1)) + (line (pt 15 36)(pt 31 36)(line_width 1)) + (line (pt 7 16)(pt 15 16)(line_width 1)) + (line (pt 15 36)(pt 15 12)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + (circle (rect 43 20 51 28)(line_width 1)) + ) +) +(symbol + (rect 408 48 472 88) + (text "7486" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst40" (rect 3 29 32 41)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (text "2" (rect 4 5 9 17)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 8 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (text "3" (rect 4 21 9 33)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 8 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (text "1" (rect 52 14 57 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 13 13)(pt 24 13)(line_width 1)) + (line (pt 13 35)(pt 24 35)(line_width 1)) + (line (pt 6 32)(pt 10 32)(line_width 1)) + (line (pt 6 16)(pt 11 16)(line_width 1)) + (line (pt 45 21)(pt 48 24)(line_width 1)) + (line (pt 45 27)(pt 48 24)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 24 35)(pt 35 14)(rect -8 -29 57 36)(line_width 1)) + (arc (pt 35 34)(pt 24 13)(rect -8 13 57 78)(line_width 1)) + (arc (pt 2 29)(pt 2 19)(rect -19 8 14 41)(line_width 1)) + ) +) +(connector + (pt 472 2128) + (pt 536 2128) +) +(connector + (pt 384 2144) + (pt 384 2136) +) +(connector + (pt 384 2136) + (pt 408 2136) +) +(connector + (pt 376 2120) + (pt 376 2192) +) +(connector + (pt 376 2192) + (pt 312 2192) +) +(connector + (pt 312 2192) + (pt 312 2224) +) +(connector + (pt 312 2224) + (pt 336 2224) +) +(connector + (pt 288 2144) + (pt 288 2240) +) +(connector + (pt 288 2240) + (pt 336 2240) +) +(connector + (pt 400 2232) + (pt 416 2232) +) +(connector + (pt 416 2232) + (pt 416 2256) +) +(connector + (pt 416 2256) + (pt 432 2256) +) +(connector + (pt 416 2272) + (pt 432 2272) +) +(connector + (pt 416 2296) + (pt 416 2272) +) +(connector + (pt 416 2296) + (pt 400 2296) +) +(connector + (pt 336 2288) + (pt 272 2288) +) +(connector + (pt 272 2288) + (pt 272 2128) +) +(connector + (pt 336 2304) + (pt 256 2304) +) +(connector + (pt 256 2304) + (pt 256 2112) +) +(connector + (pt 472 1848) + (pt 536 1848) +) +(connector + (pt 384 1864) + (pt 384 1856) +) +(connector + (pt 384 1856) + (pt 408 1856) +) +(connector + (pt 376 1840) + (pt 376 1912) +) +(connector + (pt 376 1912) + (pt 312 1912) +) +(connector + (pt 312 1912) + (pt 312 1944) +) +(connector + (pt 312 1944) + (pt 336 1944) +) +(connector + (pt 288 1864) + (pt 288 1960) +) +(connector + (pt 288 1960) + (pt 336 1960) +) +(connector + (pt 400 1952) + (pt 416 1952) +) +(connector + (pt 416 1952) + (pt 416 1976) +) +(connector + (pt 416 1976) + (pt 432 1976) +) +(connector + (pt 416 1992) + (pt 432 1992) +) +(connector + (pt 416 2016) + (pt 416 1992) +) +(connector + (pt 416 2016) + (pt 400 2016) +) +(connector + (pt 336 2008) + (pt 272 2008) +) +(connector + (pt 272 2008) + (pt 272 1848) +) +(connector + (pt 336 2024) + (pt 256 2024) +) +(connector + (pt 256 2024) + (pt 256 1832) +) +(connector + (pt 176 2072) + (pt 176 1864) +) +(connector + (pt 176 2072) + (pt 736 2072) +) +(connector + (pt 736 2264) + (pt 736 2072) +) +(connector + (pt 496 2264) + (pt 736 2264) +) +(connector + (pt 496 1984) + (pt 728 1984) +) +(connector + (pt 472 1552) + (pt 536 1552) +) +(connector + (pt 384 1568) + (pt 384 1560) +) +(connector + (pt 384 1560) + (pt 408 1560) +) +(connector + (pt 376 1544) + (pt 376 1616) +) +(connector + (pt 376 1616) + (pt 312 1616) +) +(connector + (pt 312 1616) + (pt 312 1648) +) +(connector + (pt 312 1648) + (pt 336 1648) +) +(connector + (pt 288 1568) + (pt 288 1664) +) +(connector + (pt 288 1664) + (pt 336 1664) +) +(connector + (pt 400 1656) + (pt 416 1656) +) +(connector + (pt 416 1656) + (pt 416 1680) +) +(connector + (pt 416 1680) + (pt 432 1680) +) +(connector + (pt 416 1696) + (pt 432 1696) +) +(connector + (pt 416 1720) + (pt 416 1696) +) +(connector + (pt 416 1720) + (pt 400 1720) +) +(connector + (pt 336 1712) + (pt 272 1712) +) +(connector + (pt 272 1712) + (pt 272 1552) +) +(connector + (pt 336 1728) + (pt 256 1728) +) +(connector + (pt 256 1728) + (pt 256 1536) +) +(connector + (pt 496 1688) + (pt 728 1688) +) +(connector + (pt 176 1568) + (pt 176 1768) +) +(connector + (pt 472 1256) + (pt 536 1256) +) +(connector + (pt 384 1272) + (pt 384 1264) +) +(connector + (pt 384 1264) + (pt 408 1264) +) +(connector + (pt 376 1248) + (pt 376 1320) +) +(connector + (pt 376 1320) + (pt 312 1320) +) +(connector + (pt 312 1320) + (pt 312 1352) +) +(connector + (pt 312 1352) + (pt 336 1352) +) +(connector + (pt 288 1272) + (pt 288 1368) +) +(connector + (pt 288 1368) + (pt 336 1368) +) +(connector + (pt 400 1360) + (pt 416 1360) +) +(connector + (pt 416 1360) + (pt 416 1384) +) +(connector + (pt 416 1384) + (pt 432 1384) +) +(connector + (pt 416 1400) + (pt 432 1400) +) +(connector + (pt 416 1424) + (pt 416 1400) +) +(connector + (pt 416 1424) + (pt 400 1424) +) +(connector + (pt 336 1416) + (pt 272 1416) +) +(connector + (pt 272 1416) + (pt 272 1256) +) +(connector + (pt 336 1432) + (pt 256 1432) +) +(connector + (pt 256 1432) + (pt 256 1240) +) +(connector + (pt 496 1392) + (pt 728 1392) +) +(connector + (pt 176 1272) + (pt 176 1472) +) +(connector + (pt 472 960) + (pt 536 960) +) +(connector + (pt 384 976) + (pt 384 968) +) +(connector + (pt 384 968) + (pt 408 968) +) +(connector + (pt 376 952) + (pt 376 1024) +) +(connector + (pt 376 1024) + (pt 312 1024) +) +(connector + (pt 312 1024) + (pt 312 1056) +) +(connector + (pt 312 1056) + (pt 336 1056) +) +(connector + (pt 288 976) + (pt 288 1072) +) +(connector + (pt 288 1072) + (pt 336 1072) +) +(connector + (pt 400 1064) + (pt 416 1064) +) +(connector + (pt 416 1064) + (pt 416 1088) +) +(connector + (pt 416 1088) + (pt 432 1088) +) +(connector + (pt 416 1104) + (pt 432 1104) +) +(connector + (pt 416 1128) + (pt 416 1104) +) +(connector + (pt 416 1128) + (pt 400 1128) +) +(connector + (pt 336 1120) + (pt 272 1120) +) +(connector + (pt 272 1120) + (pt 272 960) +) +(connector + (pt 336 1136) + (pt 256 1136) +) +(connector + (pt 256 1136) + (pt 256 944) +) +(connector + (pt 496 1096) + (pt 728 1096) +) +(connector + (pt 176 976) + (pt 176 1176) +) +(connector + (pt 472 664) + (pt 536 664) +) +(connector + (pt 384 680) + (pt 384 672) +) +(connector + (pt 384 672) + (pt 408 672) +) +(connector + (pt 376 656) + (pt 376 728) +) +(connector + (pt 376 728) + (pt 312 728) +) +(connector + (pt 312 728) + (pt 312 760) +) +(connector + (pt 312 760) + (pt 336 760) +) +(connector + (pt 288 680) + (pt 288 776) +) +(connector + (pt 288 776) + (pt 336 776) +) +(connector + (pt 400 768) + (pt 416 768) +) +(connector + (pt 416 768) + (pt 416 792) +) +(connector + (pt 416 792) + (pt 432 792) +) +(connector + (pt 416 808) + (pt 432 808) +) +(connector + (pt 416 832) + (pt 416 808) +) +(connector + (pt 416 832) + (pt 400 832) +) +(connector + (pt 336 824) + (pt 272 824) +) +(connector + (pt 272 824) + (pt 272 664) +) +(connector + (pt 336 840) + (pt 256 840) +) +(connector + (pt 256 840) + (pt 256 648) +) +(connector + (pt 496 800) + (pt 728 800) +) +(connector + (pt 176 680) + (pt 176 880) +) +(connector + (pt 472 368) + (pt 536 368) +) +(connector + (pt 384 384) + (pt 384 376) +) +(connector + (pt 384 376) + (pt 408 376) +) +(connector + (pt 376 360) + (pt 376 432) +) +(connector + (pt 376 432) + (pt 312 432) +) +(connector + (pt 312 432) + (pt 312 464) +) +(connector + (pt 312 464) + (pt 336 464) +) +(connector + (pt 288 384) + (pt 288 480) +) +(connector + (pt 288 480) + (pt 336 480) +) +(connector + (pt 400 472) + (pt 416 472) +) +(connector + (pt 416 472) + (pt 416 496) +) +(connector + (pt 416 496) + (pt 432 496) +) +(connector + (pt 416 512) + (pt 432 512) +) +(connector + (pt 416 536) + (pt 416 512) +) +(connector + (pt 416 536) + (pt 400 536) +) +(connector + (pt 336 528) + (pt 272 528) +) +(connector + (pt 272 528) + (pt 272 368) +) +(connector + (pt 336 544) + (pt 256 544) +) +(connector + (pt 256 544) + (pt 256 352) +) +(connector + (pt 496 504) + (pt 728 504) +) +(connector + (pt 176 384) + (pt 176 584) +) +(connector + (pt 472 72) + (pt 536 72) +) +(connector + (pt 384 88) + (pt 384 80) +) +(connector + (pt 384 80) + (pt 408 80) +) +(connector + (pt 376 64) + (pt 376 136) +) +(connector + (pt 376 136) + (pt 312 136) +) +(connector + (pt 312 136) + (pt 312 168) +) +(connector + (pt 312 168) + (pt 336 168) +) +(connector + (pt 288 88) + (pt 288 184) +) +(connector + (pt 288 184) + (pt 336 184) +) +(connector + (pt 400 176) + (pt 416 176) +) +(connector + (pt 416 176) + (pt 416 200) +) +(connector + (pt 416 200) + (pt 432 200) +) +(connector + (pt 416 216) + (pt 432 216) +) +(connector + (pt 416 240) + (pt 416 216) +) +(connector + (pt 416 240) + (pt 400 240) +) +(connector + (pt 336 232) + (pt 272 232) +) +(connector + (pt 272 232) + (pt 272 72) +) +(connector + (pt 336 248) + (pt 256 248) +) +(connector + (pt 256 248) + (pt 256 56) +) +(connector + (pt 176 88) + (pt 176 288) +) +(connector + (pt 496 208) + (pt 520 208) +) +(connector + (pt 520 40) + (pt 520 208) +) +(connector + (pt 520 40) + (pt 536 40) +) +(connector + (pt 368 2120) + (pt 376 2120) +) +(connector + (pt 376 2120) + (pt 408 2120) +) +(connector + (pt 248 2144) + (pt 288 2144) +) +(connector + (pt 288 2144) + (pt 384 2144) +) +(connector + (pt 248 2128) + (pt 272 2128) +) +(connector + (pt 272 2128) + (pt 304 2128) +) +(connector + (pt 248 2112) + (pt 256 2112) +) +(connector + (pt 256 2112) + (pt 304 2112) +) +(connector + (pt 368 1840) + (pt 376 1840) +) +(connector + (pt 376 1840) + (pt 408 1840) +) +(connector + (pt 248 1848) + (pt 272 1848) +) +(connector + (pt 272 1848) + (pt 304 1848) +) +(connector + (pt 248 1832) + (pt 256 1832) +) +(connector + (pt 256 1832) + (pt 304 1832) +) +(connector + (pt 176 1864) + (pt 288 1864) +) +(connector + (pt 288 1864) + (pt 384 1864) +) +(connector + (pt 368 1544) + (pt 376 1544) +) +(connector + (pt 376 1544) + (pt 408 1544) +) +(connector + (pt 248 1552) + (pt 272 1552) +) +(connector + (pt 272 1552) + (pt 304 1552) +) +(connector + (pt 248 1536) + (pt 256 1536) +) +(connector + (pt 256 1536) + (pt 304 1536) +) +(connector + (pt 176 1568) + (pt 288 1568) +) +(connector + (pt 288 1568) + (pt 384 1568) +) +(connector + (pt 368 1248) + (pt 376 1248) +) +(connector + (pt 376 1248) + (pt 408 1248) +) +(connector + (pt 248 1256) + (pt 272 1256) +) +(connector + (pt 272 1256) + (pt 304 1256) +) +(connector + (pt 248 1240) + (pt 256 1240) +) +(connector + (pt 256 1240) + (pt 304 1240) +) +(connector + (pt 176 1272) + (pt 288 1272) +) +(connector + (pt 288 1272) + (pt 384 1272) +) +(connector + (pt 368 952) + (pt 376 952) +) +(connector + (pt 376 952) + (pt 408 952) +) +(connector + (pt 248 960) + (pt 272 960) +) +(connector + (pt 272 960) + (pt 304 960) +) +(connector + (pt 248 944) + (pt 256 944) +) +(connector + (pt 256 944) + (pt 304 944) +) +(connector + (pt 176 976) + (pt 288 976) +) +(connector + (pt 288 976) + (pt 384 976) +) +(connector + (pt 368 656) + (pt 376 656) +) +(connector + (pt 376 656) + (pt 408 656) +) +(connector + (pt 248 664) + (pt 272 664) +) +(connector + (pt 272 664) + (pt 304 664) +) +(connector + (pt 248 648) + (pt 256 648) +) +(connector + (pt 256 648) + (pt 304 648) +) +(connector + (pt 176 680) + (pt 288 680) +) +(connector + (pt 288 680) + (pt 384 680) +) +(connector + (pt 368 360) + (pt 376 360) +) +(connector + (pt 376 360) + (pt 408 360) +) +(connector + (pt 248 368) + (pt 272 368) +) +(connector + (pt 272 368) + (pt 304 368) +) +(connector + (pt 248 352) + (pt 256 352) +) +(connector + (pt 256 352) + (pt 304 352) +) +(connector + (pt 176 384) + (pt 288 384) +) +(connector + (pt 288 384) + (pt 384 384) +) +(connector + (pt 368 64) + (pt 376 64) +) +(connector + (pt 376 64) + (pt 408 64) +) +(connector + (pt 248 72) + (pt 272 72) +) +(connector + (pt 272 72) + (pt 304 72) +) +(connector + (pt 248 56) + (pt 256 56) +) +(connector + (pt 256 56) + (pt 304 56) +) +(connector + (pt 176 88) + (pt 288 88) +) +(connector + (pt 288 88) + (pt 384 88) +) +(connector + (pt 728 1768) + (pt 728 1984) +) +(connector + (pt 728 1472) + (pt 728 1688) +) +(connector + (pt 176 1768) + (pt 728 1768) +) +(connector + (pt 728 1176) + (pt 728 1392) +) +(connector + (pt 176 1472) + (pt 728 1472) +) +(connector + (pt 728 880) + (pt 728 1096) +) +(connector + (pt 176 1176) + (pt 728 1176) +) +(connector + (pt 728 584) + (pt 728 800) +) +(connector + (pt 176 880) + (pt 728 880) +) +(connector + (pt 728 288) + (pt 728 504) +) +(connector + (pt 176 584) + (pt 728 584) +) +(connector + (pt 176 288) + (pt 728 288) +) +(junction (pt 376 2120)) +(junction (pt 288 2144)) +(junction (pt 272 2128)) +(junction (pt 256 2112)) +(junction (pt 376 1840)) +(junction (pt 272 1848)) +(junction (pt 256 1832)) +(junction (pt 288 1864)) +(junction (pt 376 1544)) +(junction (pt 272 1552)) +(junction (pt 256 1536)) +(junction (pt 288 1568)) +(junction (pt 376 1248)) +(junction (pt 272 1256)) +(junction (pt 256 1240)) +(junction (pt 288 1272)) +(junction (pt 376 952)) +(junction (pt 272 960)) +(junction (pt 256 944)) +(junction (pt 288 976)) +(junction (pt 376 656)) +(junction (pt 272 664)) +(junction (pt 256 648)) +(junction (pt 288 680)) +(junction (pt 376 360)) +(junction (pt 272 368)) +(junction (pt 256 352)) +(junction (pt 288 384)) +(junction (pt 376 64)) +(junction (pt 272 72)) +(junction (pt 256 56)) +(junction (pt 288 88)) diff --git a/adder/adder.bsf b/adder/adder.bsf new file mode 100644 index 0000000..0eb6e3f --- /dev/null +++ b/adder/adder.bsf @@ -0,0 +1,211 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 112 336) + (text "adder" (rect 5 0 38 14)(font "Arial" (font_size 8))) + (text "inst" (rect 8 304 25 316)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "A7" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A7" (rect 21 27 37 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "B7" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B7" (rect 21 43 36 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "A6" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A6" (rect 21 59 37 73)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 0 80) + (input) + (text "B6" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B6" (rect 21 75 36 89)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)(line_width 1)) + ) + (port + (pt 0 96) + (input) + (text "A5" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A5" (rect 21 91 37 105)(font "Arial" (font_size 8))) + (line (pt 0 96)(pt 16 96)(line_width 1)) + ) + (port + (pt 0 112) + (input) + (text "B5" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B5" (rect 21 107 36 121)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 16 112)(line_width 1)) + ) + (port + (pt 0 128) + (input) + (text "A4" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A4" (rect 21 123 37 137)(font "Arial" (font_size 8))) + (line (pt 0 128)(pt 16 128)(line_width 1)) + ) + (port + (pt 0 144) + (input) + (text "B4" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B4" (rect 21 139 36 153)(font "Arial" (font_size 8))) + (line (pt 0 144)(pt 16 144)(line_width 1)) + ) + (port + (pt 0 160) + (input) + (text "A3" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A3" (rect 21 155 37 169)(font "Arial" (font_size 8))) + (line (pt 0 160)(pt 16 160)(line_width 1)) + ) + (port + (pt 0 176) + (input) + (text "B3" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B3" (rect 21 171 36 185)(font "Arial" (font_size 8))) + (line (pt 0 176)(pt 16 176)(line_width 1)) + ) + (port + (pt 0 192) + (input) + (text "A2" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A2" (rect 21 187 37 201)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 16 192)(line_width 1)) + ) + (port + (pt 0 208) + (input) + (text "B2" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B2" (rect 21 203 36 217)(font "Arial" (font_size 8))) + (line (pt 0 208)(pt 16 208)(line_width 1)) + ) + (port + (pt 0 224) + (input) + (text "A1" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A1" (rect 21 219 37 233)(font "Arial" (font_size 8))) + (line (pt 0 224)(pt 16 224)(line_width 1)) + ) + (port + (pt 0 240) + (input) + (text "B1" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B1" (rect 21 235 36 249)(font "Arial" (font_size 8))) + (line (pt 0 240)(pt 16 240)(line_width 1)) + ) + (port + (pt 0 256) + (input) + (text "A0" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A0" (rect 21 251 37 265)(font "Arial" (font_size 8))) + (line (pt 0 256)(pt 16 256)(line_width 1)) + ) + (port + (pt 0 272) + (input) + (text "B0" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B0" (rect 21 267 36 281)(font "Arial" (font_size 8))) + (line (pt 0 272)(pt 16 272)(line_width 1)) + ) + (port + (pt 0 288) + (input) + (text "CI" (rect 0 0 10 14)(font "Arial" (font_size 8))) + (text "CI" (rect 21 283 31 297)(font "Arial" (font_size 8))) + (line (pt 0 288)(pt 16 288)(line_width 1)) + ) + (port + (pt 96 32) + (output) + (text "CO" (rect 0 0 17 14)(font "Arial" (font_size 8))) + (text "CO" (rect 58 27 75 41)(font "Arial" (font_size 8))) + (line (pt 96 32)(pt 80 32)(line_width 1)) + ) + (port + (pt 96 48) + (output) + (text "S7" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "S7" (rect 60 43 75 57)(font "Arial" (font_size 8))) + (line (pt 96 48)(pt 80 48)(line_width 1)) + ) + (port + (pt 96 64) + (output) + (text "S6" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "S6" (rect 60 59 75 73)(font "Arial" (font_size 8))) + (line (pt 96 64)(pt 80 64)(line_width 1)) + ) + (port + (pt 96 80) + (output) + (text "S5" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "S5" (rect 60 75 75 89)(font "Arial" (font_size 8))) + (line (pt 96 80)(pt 80 80)(line_width 1)) + ) + (port + (pt 96 96) + (output) + (text "S4" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "S4" (rect 60 91 75 105)(font "Arial" (font_size 8))) + (line (pt 96 96)(pt 80 96)(line_width 1)) + ) + (port + (pt 96 112) + (output) + (text "S3" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "S3" (rect 60 107 75 121)(font "Arial" (font_size 8))) + (line (pt 96 112)(pt 80 112)(line_width 1)) + ) + (port + (pt 96 128) + (output) + (text "S2" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "S2" (rect 60 123 75 137)(font "Arial" (font_size 8))) + (line (pt 96 128)(pt 80 128)(line_width 1)) + ) + (port + (pt 96 144) + (output) + (text "S1" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "S1" (rect 60 139 75 153)(font "Arial" (font_size 8))) + (line (pt 96 144)(pt 80 144)(line_width 1)) + ) + (port + (pt 96 160) + (output) + (text "S0" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "S0" (rect 60 155 75 169)(font "Arial" (font_size 8))) + (line (pt 96 160)(pt 80 160)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 80 304)(line_width 1)) + ) +) diff --git a/adder/adder.done b/adder/adder.done new file mode 100644 index 0000000..393f79d --- /dev/null +++ b/adder/adder.done @@ -0,0 +1 @@ +Mon Mar 07 08:49:27 2022 diff --git a/adder/adder.fit.rpt b/adder/adder.fit.rpt new file mode 100644 index 0000000..5d58891 --- /dev/null +++ b/adder/adder.fit.rpt @@ -0,0 +1,1040 @@ +Fitter report for adder +Mon Mar 07 08:49:24 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Input Pins + 11. Output Pins + 12. I/O Bank Usage + 13. All Package Pins + 14. Output Pin Default Load For Reported TCO + 15. Fitter Resource Utilization by Entity + 16. Delay Chain Summary + 17. Pad To Core Delay Chain Fanout + 18. Non-Global High Fan-Out Signals + 19. Interconnect Usage Summary + 20. LAB Logic Elements + 21. LAB Signals Sourced + 22. LAB Signals Sourced Out + 23. LAB Distinct Inputs + 24. Fitter Device Options + 25. Operating Settings and Conditions + 26. Estimated Delay Added for Hold Timing + 27. Advanced Data - General + 28. Advanced Data - Placement Preparation + 29. Advanced Data - Placement + 30. Advanced Data - Routing + 31. Fitter Messages + 32. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+----------------------------------------------+ +; Fitter Status ; Successful - Mon Mar 07 08:49:24 2022 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; adder ; +; Top-level Entity Name ; adder ; +; Family ; Cyclone II ; +; Device ; EP2C8Q208C8 ; +; Timing Models ; Final ; +; Total logic elements ; 21 / 8,256 ( < 1 % ) ; +; Total combinational functions ; 21 / 8,256 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 8,256 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 26 / 138 ( 19 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; Total PLLs ; 0 / 2 ( 0 % ) ; ++------------------------------------+----------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP2C8Q208C8 ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Use TimeQuest Timing Analyzer ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Always Enable Input Buffers ; Off ; Off ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; Off ; Off ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; On ; On ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Merge PLLs ; On ; On ; +; Ignore PLL Mode When Merging PLLs ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Stop After Congestion Map Generation ; Off ; Off ; +; Save Intermediate Fitting Results ; Off ; Off ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2-4 processors ; < 0.1% ; ++----------------------------+-------------+ + + ++----------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++-------------------------+--------------------+ +; Type ; Value ; ++-------------------------+--------------------+ +; Placement ; ; +; -- Requested ; 0 / 47 ( 0.00 % ) ; +; -- Achieved ; 0 / 47 ( 0.00 % ) ; +; ; ; +; Routing (by Connection) ; ; +; -- Requested ; 0 / 0 ( 0.00 % ) ; +; -- Achieved ; 0 / 0 ( 0.00 % ) ; ++-------------------------+--------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ + + ++--------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Top ; 47 ; 0 ; N/A ; Source File ; ++----------------+---------+-------------------+-------------------------+-------------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in D:/projects/quartus/adder/adder.pin. + + ++--------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+----------------------+ +; Resource ; Usage ; ++---------------------------------------------+----------------------+ +; Total logic elements ; 21 / 8,256 ( < 1 % ) ; +; -- Combinational with no register ; 21 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 9 ; +; -- 3 input functions ; 9 ; +; -- <=2 input functions ; 3 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 21 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 8,646 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 8,256 ( 0 % ) ; +; -- I/O registers ; 0 / 390 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 2 / 516 ( < 1 % ) ; +; User inserted logic elements ; 0 ; +; Virtual pins ; 0 ; +; I/O pins ; 26 / 138 ( 19 % ) ; +; -- Clock pins ; 2 / 4 ( 50 % ) ; +; Global signals ; 0 ; +; M4Ks ; 0 / 36 ( 0 % ) ; +; Total block memory bits ; 0 / 165,888 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; PLLs ; 0 / 2 ( 0 % ) ; +; Global clocks ; 0 / 8 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; ASMI blocks ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Maximum fan-out node ; 7400:inst8|4~0 ; +; Maximum fan-out ; 4 ; +; Highest non-global fan-out signal ; 7400:inst8|4~0 ; +; Highest non-global fan-out ; 4 ; +; Total fan-out ; 78 ; +; Average fan-out ; 1.56 ; ++---------------------------------------------+----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; A0 ; 24 ; 1 ; 0 ; 9 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A1 ; 57 ; 4 ; 1 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A2 ; 23 ; 1 ; 0 ; 9 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A3 ; 40 ; 1 ; 0 ; 5 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A4 ; 41 ; 1 ; 0 ; 4 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A5 ; 150 ; 3 ; 34 ; 16 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A6 ; 13 ; 1 ; 0 ; 16 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A7 ; 5 ; 1 ; 0 ; 17 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B0 ; 28 ; 1 ; 0 ; 9 ; 3 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B1 ; 60 ; 4 ; 3 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B2 ; 30 ; 1 ; 0 ; 8 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B3 ; 39 ; 1 ; 0 ; 5 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B4 ; 207 ; 2 ; 1 ; 19 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B5 ; 14 ; 1 ; 0 ; 14 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B6 ; 10 ; 1 ; 0 ; 17 ; 3 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B7 ; 11 ; 1 ; 0 ; 16 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; CI ; 27 ; 1 ; 0 ; 9 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; ++------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; ++------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ +; CO ; 58 ; 4 ; 1 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; S0 ; 102 ; 4 ; 32 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; S1 ; 34 ; 1 ; 0 ; 7 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; S2 ; 118 ; 3 ; 34 ; 7 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; S3 ; 31 ; 1 ; 0 ; 8 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; S4 ; 37 ; 1 ; 0 ; 6 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; S5 ; 35 ; 1 ; 0 ; 7 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; S6 ; 12 ; 1 ; 0 ; 16 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; S7 ; 6 ; 1 ; 0 ; 17 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; ++------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1 ; 21 / 32 ( 66 % ) ; 3.3V ; -- ; +; 2 ; 1 / 35 ( 3 % ) ; 3.3V ; -- ; +; 3 ; 3 / 35 ( 9 % ) ; 3.3V ; -- ; +; 4 ; 4 / 36 ( 11 % ) ; 3.3V ; -- ; ++----------+------------------+---------------+--------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; 1 ; 0 ; 1 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; 2 ; 1 ; 1 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; 3 ; 2 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 4 ; 3 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 5 ; 4 ; 1 ; A7 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 6 ; 5 ; 1 ; S7 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 7 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 8 ; 6 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 10 ; 7 ; 1 ; B6 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 11 ; 8 ; 1 ; B7 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 12 ; 9 ; 1 ; S6 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 13 ; 10 ; 1 ; A6 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 14 ; 18 ; 1 ; B5 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 15 ; 19 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 16 ; 20 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; 17 ; 21 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; 18 ; 22 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; 19 ; 23 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; 20 ; 24 ; 1 ; ^DATA0 ; input ; ; ; -- ; ; -- ; -- ; +; 21 ; 25 ; 1 ; ^DCLK ; ; ; ; -- ; ; -- ; -- ; +; 22 ; 26 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; +; 23 ; 27 ; 1 ; A2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 24 ; 28 ; 1 ; A0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 25 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 26 ; 29 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; +; 27 ; 30 ; 1 ; CI ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 28 ; 31 ; 1 ; B0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 29 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 30 ; 32 ; 1 ; B2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 31 ; 33 ; 1 ; S3 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 32 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 33 ; 35 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 34 ; 36 ; 1 ; S1 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 35 ; 37 ; 1 ; S5 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 36 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 37 ; 39 ; 1 ; S4 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 38 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 39 ; 43 ; 1 ; B3 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 40 ; 44 ; 1 ; A3 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 41 ; 45 ; 1 ; A4 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 42 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 43 ; 48 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 44 ; 49 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 45 ; 50 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 46 ; 51 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 47 ; 52 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 48 ; 53 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 49 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 50 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 51 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 52 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 53 ; ; ; VCCA_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 54 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 55 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 56 ; 54 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 57 ; 55 ; 4 ; A1 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 58 ; 56 ; 4 ; CO ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 59 ; 57 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 60 ; 58 ; 4 ; B1 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 61 ; 59 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 62 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 63 ; 60 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 64 ; 61 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 65 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 66 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 67 ; 69 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 68 ; 70 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 69 ; 71 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 70 ; 74 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 71 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 72 ; 75 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 73 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 74 ; 76 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 75 ; 77 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 76 ; 78 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 77 ; 79 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 78 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 79 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 80 ; 82 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 81 ; 83 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 82 ; 84 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 83 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 84 ; 85 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 85 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 86 ; 86 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 87 ; 87 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 88 ; 88 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 89 ; 89 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 90 ; 90 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 91 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 92 ; 91 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 93 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 94 ; 92 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 95 ; 93 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 96 ; 94 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 97 ; 95 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 98 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 99 ; 96 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 100 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 101 ; 97 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 102 ; 98 ; 4 ; S0 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 103 ; 99 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 104 ; 100 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 105 ; 101 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 106 ; 102 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 107 ; 105 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 108 ; 106 ; 3 ; ~LVDS54p/nCEO~ ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 109 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 110 ; 107 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 111 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 112 ; 108 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 113 ; 109 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 114 ; 110 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 115 ; 112 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 116 ; 113 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 117 ; 114 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 118 ; 117 ; 3 ; S2 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 119 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 120 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 121 ; 121 ; 3 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; +; 122 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 123 ; 122 ; 3 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; +; 124 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 125 ; 123 ; 3 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; +; 126 ; 124 ; 3 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; +; 127 ; 125 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 128 ; 126 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 129 ; 127 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 130 ; 128 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 131 ; 129 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 132 ; 130 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 133 ; 131 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 134 ; 132 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 135 ; 133 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 136 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 137 ; 134 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 138 ; 135 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 139 ; 136 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 141 ; 137 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 142 ; 138 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 143 ; 141 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 144 ; 142 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 145 ; 143 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 146 ; 149 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 147 ; 150 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 148 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 149 ; 151 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 150 ; 152 ; 3 ; A5 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 151 ; 153 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 152 ; 154 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 153 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 154 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 155 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 156 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 157 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 158 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 159 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 160 ; 155 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 161 ; 156 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 162 ; 157 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 163 ; 158 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 164 ; 159 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 165 ; 160 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 166 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 167 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 168 ; 161 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 169 ; 162 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 170 ; 163 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 171 ; 164 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 172 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 173 ; 165 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 174 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 175 ; 168 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 176 ; 169 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 177 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 178 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 179 ; 173 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 180 ; 174 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 181 ; 175 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 182 ; 176 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 183 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 184 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 185 ; 180 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 186 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 187 ; 181 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 188 ; 182 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 189 ; 183 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 190 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 191 ; 184 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 192 ; 185 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 193 ; 186 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 194 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 195 ; 187 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 196 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 197 ; 191 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 198 ; 192 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 199 ; 195 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 200 ; 196 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 201 ; 197 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 202 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 203 ; 198 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 204 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 205 ; 199 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 206 ; 200 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 207 ; 201 ; 2 ; B4 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 208 ; 202 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 0 pF ; Not Available ; +; 3.3-V LVCMOS ; 0 pF ; Not Available ; +; 2.5 V ; 0 pF ; Not Available ; +; 1.8 V ; 0 pF ; Not Available ; +; 1.5 V ; 0 pF ; Not Available ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 10 pF ; 25 Ohm (Parallel) ; +; SSTL-2 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; Differential SSTL-2 ; 0 pF ; (See SSTL-2) ; +; Differential 2.5-V SSTL Class II ; 0 pF ; (See SSTL-2 Class II) ; +; Differential 1.8-V SSTL Class I ; 0 pF ; (See 1.8-V SSTL Class I) ; +; Differential 1.8-V SSTL Class II ; 0 pF ; (See 1.8-V SSTL Class II) ; +; Differential 1.5-V HSTL Class I ; 0 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.5-V HSTL Class II ; 0 pF ; (See 1.5-V HSTL Class II) ; +; Differential 1.8-V HSTL Class I ; 0 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 0 pF ; (See 1.8-V HSTL Class II) ; +; LVDS ; 0 pF ; 100 Ohm (Differential) ; +; mini-LVDS ; 0 pF ; 100 Ohm (Differential) ; +; RSDS ; 0 pF ; 100 Ohm (Differential) ; +; Simple RSDS ; 0 pF ; Not Available ; +; Differential LVPECL ; 0 pF ; 100 Ohm (Differential) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M4Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+--------------+ +; |adder ; 21 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 26 ; 0 ; 21 (0) ; 0 (0) ; 0 (0) ; |adder ; work ; +; |7400:inst13| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |adder|7400:inst13 ; work ; +; |7400:inst18| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |adder|7400:inst18 ; work ; +; |7400:inst23| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 0 (0) ; |adder|7400:inst23 ; work ; +; |7400:inst28| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |adder|7400:inst28 ; work ; +; |7400:inst33| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |adder|7400:inst33 ; work ; +; |7400:inst38| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |adder|7400:inst38 ; work ; +; |7400:inst3| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |adder|7400:inst3 ; work ; +; |7400:inst8| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |adder|7400:inst8 ; work ; +; |7486:inst10| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |adder|7486:inst10 ; work ; +; |7486:inst15| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |adder|7486:inst15 ; work ; +; |7486:inst20| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |adder|7486:inst20 ; work ; +; |7486:inst25| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |adder|7486:inst25 ; work ; +; |7486:inst30| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |adder|7486:inst30 ; work ; +; |7486:inst35| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |adder|7486:inst35 ; work ; +; |7486:inst40| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |adder|7486:inst40 ; work ; +; |7486:inst5| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |adder|7486:inst5 ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++------+----------+---------------+---------------+-----------------------+-----+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; ++------+----------+---------------+---------------+-----------------------+-----+ +; CO ; Output ; -- ; -- ; -- ; -- ; +; S7 ; Output ; -- ; -- ; -- ; -- ; +; S0 ; Output ; -- ; -- ; -- ; -- ; +; S1 ; Output ; -- ; -- ; -- ; -- ; +; S2 ; Output ; -- ; -- ; -- ; -- ; +; S3 ; Output ; -- ; -- ; -- ; -- ; +; S4 ; Output ; -- ; -- ; -- ; -- ; +; S5 ; Output ; -- ; -- ; -- ; -- ; +; S6 ; Output ; -- ; -- ; -- ; -- ; +; A6 ; Input ; 6 ; 6 ; -- ; -- ; +; A3 ; Input ; 6 ; 6 ; -- ; -- ; +; B3 ; Input ; 6 ; 6 ; -- ; -- ; +; A4 ; Input ; 6 ; 6 ; -- ; -- ; +; A2 ; Input ; 0 ; 0 ; -- ; -- ; +; A0 ; Input ; 0 ; 0 ; -- ; -- ; +; CI ; Input ; 0 ; 0 ; -- ; -- ; +; B0 ; Input ; 0 ; 0 ; -- ; -- ; +; A1 ; Input ; 6 ; 6 ; -- ; -- ; +; B1 ; Input ; 6 ; 6 ; -- ; -- ; +; B2 ; Input ; 6 ; 6 ; -- ; -- ; +; B4 ; Input ; 6 ; 6 ; -- ; -- ; +; A5 ; Input ; 6 ; 6 ; -- ; -- ; +; B5 ; Input ; 6 ; 6 ; -- ; -- ; +; B6 ; Input ; 6 ; 6 ; -- ; -- ; +; A7 ; Input ; 6 ; 6 ; -- ; -- ; +; B7 ; Input ; 6 ; 6 ; -- ; -- ; ++------+----------+---------------+---------------+-----------------------+-----+ + + ++-------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++-------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++-------------------------+-------------------+---------+ +; A6 ; ; ; +; - 7400:inst33|4~0 ; 1 ; 6 ; +; - 7400:inst33|4~1 ; 1 ; 6 ; +; - 7486:inst35|4~0 ; 1 ; 6 ; +; A3 ; ; ; +; - 7400:inst23|4~8 ; 0 ; 6 ; +; - 7400:inst18|4~0 ; 0 ; 6 ; +; - 7486:inst20|4 ; 0 ; 6 ; +; B3 ; ; ; +; - 7400:inst23|4~8 ; 1 ; 6 ; +; - 7400:inst18|4~0 ; 1 ; 6 ; +; - 7486:inst20|4 ; 1 ; 6 ; +; A4 ; ; ; +; - 7400:inst23|4~8 ; 0 ; 6 ; +; - 7400:inst23|4~9 ; 0 ; 6 ; +; - 7486:inst25|4~0 ; 0 ; 6 ; +; A2 ; ; ; +; A0 ; ; ; +; CI ; ; ; +; B0 ; ; ; +; A1 ; ; ; +; - 7400:inst8|4~0 ; 0 ; 6 ; +; - 7486:inst10|4 ; 0 ; 6 ; +; B1 ; ; ; +; - 7400:inst8|4~0 ; 0 ; 6 ; +; - 7486:inst10|4 ; 0 ; 6 ; +; B2 ; ; ; +; - 7400:inst13|4~1 ; 1 ; 6 ; +; - 7486:inst15|4~0 ; 1 ; 6 ; +; - 7400:inst23|4~10 ; 1 ; 6 ; +; B4 ; ; ; +; - 7400:inst23|4~9 ; 0 ; 6 ; +; - 7486:inst25|4~0 ; 0 ; 6 ; +; A5 ; ; ; +; - 7400:inst28|4~0 ; 0 ; 6 ; +; - 7486:inst30|4 ; 0 ; 6 ; +; B5 ; ; ; +; - 7400:inst28|4~0 ; 1 ; 6 ; +; - 7486:inst30|4 ; 1 ; 6 ; +; B6 ; ; ; +; - 7400:inst33|4~1 ; 1 ; 6 ; +; - 7486:inst35|4~0 ; 1 ; 6 ; +; A7 ; ; ; +; - 7400:inst38|4~0 ; 0 ; 6 ; +; - 7486:inst40|4 ; 0 ; 6 ; +; B7 ; ; ; +; - 7400:inst38|4~0 ; 1 ; 6 ; +; - 7486:inst40|4 ; 1 ; 6 ; ++-------------------------+-------------------+---------+ + + ++---------------------------------+ +; Non-Global High Fan-Out Signals ; ++------------------+--------------+ +; Name ; Fan-Out ; ++------------------+--------------+ +; A2 ; 4 ; +; 7400:inst8|4~0 ; 4 ; +; B2 ; 3 ; +; CI ; 3 ; +; A0 ; 3 ; +; A4 ; 3 ; +; B3 ; 3 ; +; A3 ; 3 ; +; A6 ; 3 ; +; 7400:inst28|4~0 ; 3 ; +; B7 ; 2 ; +; A7 ; 2 ; +; B6 ; 2 ; +; B5 ; 2 ; +; A5 ; 2 ; +; B4 ; 2 ; +; B1 ; 2 ; +; A1 ; 2 ; +; B0 ; 2 ; +; 7400:inst33|4~1 ; 2 ; +; 7400:inst33|4~0 ; 2 ; +; 7400:inst23|4~9 ; 2 ; +; 7400:inst18|4~0 ; 2 ; +; 7400:inst23|4~8 ; 2 ; +; 7400:inst13|4~1 ; 2 ; +; 7400:inst13|4~0 ; 2 ; +; 7400:inst3|4~1 ; 2 ; +; 7400:inst3|4~0 ; 2 ; +; 7400:inst23|4~10 ; 1 ; +; 7486:inst35|4~0 ; 1 ; +; 7486:inst30|4 ; 1 ; +; 7486:inst25|4~0 ; 1 ; +; 7486:inst20|4 ; 1 ; +; 7486:inst15|4~0 ; 1 ; +; 7486:inst10|4 ; 1 ; +; 7486:inst5|4~0 ; 1 ; +; 7486:inst40|4 ; 1 ; +; 7400:inst38|4~0 ; 1 ; ++------------------+--------------+ + + ++----------------------------------------------------+ +; Interconnect Usage Summary ; ++----------------------------+-----------------------+ +; Interconnect Resource Type ; Usage ; ++----------------------------+-----------------------+ +; Block interconnects ; 29 / 26,052 ( < 1 % ) ; +; C16 interconnects ; 2 / 1,156 ( < 1 % ) ; +; C4 interconnects ; 31 / 17,952 ( < 1 % ) ; +; Direct links ; 2 / 26,052 ( < 1 % ) ; +; Global clocks ; 0 / 8 ( 0 % ) ; +; Local interconnects ; 10 / 8,256 ( < 1 % ) ; +; R24 interconnects ; 3 / 1,020 ( < 1 % ) ; +; R4 interconnects ; 18 / 22,440 ( < 1 % ) ; ++----------------------------+-----------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Logic Elements ; ++---------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 10.50) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 1 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++----------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 10.50) ; Number of LABs (Total = 2) ; ++----------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 1 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 1 ; ++----------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 5.00) ; Number of LABs (Total = 2) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 1 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 9.00) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 1 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Active Serial ; +; Error detection CRC ; Off ; +; nCEO ; As output driving ground ; +; ASDO,nCSO ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing ; ++-----------------+----------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++-----------------+----------------------+-------------------+ + + ++----------------------------+ +; Advanced Data - General ; ++--------------------+-------+ +; Name ; Value ; ++--------------------+-------+ +; Status Code ; 0 ; +; Desired User Slack ; 0 ; +; Fit Attempts ; 1 ; ++--------------------+-------+ + + ++---------------------------------------------------------------------------------+ +; Advanced Data - Placement Preparation ; ++------------------------------------------------------------------+--------------+ +; Name ; Value ; ++------------------------------------------------------------------+--------------+ +; Auto Fit Point 1 - Fit Attempt 1 ; ff ; +; Mid Wire Use - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Internal Atom Count - Fit Attempt 1 ; 22 ; +; LE/ALM Count - Fit Attempt 1 ; 22 ; +; LAB Count - Fit Attempt 1 ; 3 ; +; Outputs per Lab - Fit Attempt 1 ; 3.333 ; +; Inputs per LAB - Fit Attempt 1 ; 6.000 ; +; Global Inputs per LAB - Fit Attempt 1 ; 0.000 ; +; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'non-global controls' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'non-global + aclr' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'global controls' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'aclr constraint' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'has placement constraint' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1 ; 0:1;4:1;12:1 ; +; LEs in Chains - Fit Attempt 1 ; 0 ; +; LEs in Long Chains - Fit Attempt 1 ; 0 ; +; LABs with Chains - Fit Attempt 1 ; 0 ; +; LABs with Multiple Chains - Fit Attempt 1 ; 0 ; +; Time - Fit Attempt 1 ; 0 ; ++------------------------------------------------------------------+--------------+ + + ++-------------------------------------------------+ +; Advanced Data - Placement ; ++------------------------------------+------------+ +; Name ; Value ; ++------------------------------------+------------+ +; Auto Fit Point 2 - Fit Attempt 1 ; ff ; +; Auto Fit Point 5 - Fit Attempt 1 ; ff ; +; Mid Wire Use - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 5 - Fit Attempt 1 ; ff ; +; Mid Wire Use - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Late Wire Use - Fit Attempt 1 ; 0 ; +; Late Slack - Fit Attempt 1 ; 2147483639 ; +; Peak Regional Wire - Fit Attempt 1 ; 0.000 ; +; Auto Fit Point 7 - Fit Attempt 1 ; ff ; +; Time - Fit Attempt 1 ; 0 ; ++------------------------------------+------------+ + + ++--------------------------------------------------+ +; Advanced Data - Routing ; ++------------------------------------+-------------+ +; Name ; Value ; ++------------------------------------+-------------+ +; Early Slack - Fit Attempt 1 ; 2147483639 ; +; Early Wire Use - Fit Attempt 1 ; 0 ; +; Peak Regional Wire - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Late Slack - Fit Attempt 1 ; -2147483648 ; +; Late Wire Use - Fit Attempt 1 ; 0 ; +; Time - Fit Attempt 1 ; 0 ; ++------------------------------------+-------------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Info: ******************************************************************* +Info: Running Quartus II Fitter + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 08:49:23 2022 +Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off adder -c adder +Info: Parallel compilation is enabled and will use 4 of the 4 processors detected +Info: Selected device EP2C8Q208C8 for design "adder" +Info: Low junction temperature is 0 degrees C +Info: High junction temperature is 85 degrees C +Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info: Device EP2C5Q208C8 is compatible + Info: Device EP2C5Q208I8 is compatible + Info: Device EP2C8Q208I8 is compatible +Info: Fitter converted 3 user pins into dedicated programming pins + Info: Pin ~ASDO~ is reserved at location 1 + Info: Pin ~nCSO~ is reserved at location 2 + Info: Pin ~LVDS54p/nCEO~ is reserved at location 108 +Warning: No exact pin location assignment(s) for 26 pins of 26 total pins + Info: Pin CO not assigned to an exact location on the device + Info: Pin S7 not assigned to an exact location on the device + Info: Pin S0 not assigned to an exact location on the device + Info: Pin S1 not assigned to an exact location on the device + Info: Pin S2 not assigned to an exact location on the device + Info: Pin S3 not assigned to an exact location on the device + Info: Pin S4 not assigned to an exact location on the device + Info: Pin S5 not assigned to an exact location on the device + Info: Pin S6 not assigned to an exact location on the device + Info: Pin A6 not assigned to an exact location on the device + Info: Pin A3 not assigned to an exact location on the device + Info: Pin B3 not assigned to an exact location on the device + Info: Pin A4 not assigned to an exact location on the device + Info: Pin A2 not assigned to an exact location on the device + Info: Pin A0 not assigned to an exact location on the device + Info: Pin CI not assigned to an exact location on the device + Info: Pin B0 not assigned to an exact location on the device + Info: Pin A1 not assigned to an exact location on the device + Info: Pin B1 not assigned to an exact location on the device + Info: Pin B2 not assigned to an exact location on the device + Info: Pin B4 not assigned to an exact location on the device + Info: Pin A5 not assigned to an exact location on the device + Info: Pin B5 not assigned to an exact location on the device + Info: Pin B6 not assigned to an exact location on the device + Info: Pin A7 not assigned to an exact location on the device + Info: Pin B7 not assigned to an exact location on the device +Info: Fitter is using the Classic Timing Analyzer +Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time. +Info: Starting register packing +Info: Finished register packing + Extra Info: No registers were packed into other blocks +Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info: Number of I/O pins in group: 26 (unused VREF, 3.3V VCCIO, 17 input, 9 output, 0 bidirectional) + Info: I/O standards used: 3.3-V LVTTL. +Info: I/O bank details before I/O pin placement + Info: Statistics of I/O banks + Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available + Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available + Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available + Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available +Info: Fitter preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement preparation operations beginning +Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement operations beginning +Info: Fitter placement was successful +Info: Fitter placement operations ending: elapsed time is 00:00:00 +Info: Fitter routing operations beginning +Info: Average interconnect usage is 0% of the available device resources + Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y9 +Info: Fitter routing operations ending: elapsed time is 00:00:00 +Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info: Optimizations that may affect the design's routability were skipped + Info: Optimizations that may affect the design's timing were skipped +Info: Started post-fitting delay annotation +Warning: Found 9 output pins without output pin load capacitance assignment + Info: Pin "CO" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "S7" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "S0" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "S1" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "S2" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "S3" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "S4" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "S5" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "S6" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis +Info: Delay annotation completed successfully +Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info: Generated suppressed messages file D:/projects/quartus/adder/adder.fit.smsg +Info: Quartus II Fitter was successful. 0 errors, 3 warnings + Info: Peak virtual memory: 305 megabytes + Info: Processing ended: Mon Mar 07 08:49:24 2022 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in D:/projects/quartus/adder/adder.fit.smsg. + + diff --git a/adder/adder.fit.smsg b/adder/adder.fit.smsg new file mode 100644 index 0000000..14764e7 --- /dev/null +++ b/adder/adder.fit.smsg @@ -0,0 +1,6 @@ +Extra Info: Performing register packing on registers with non-logic cell location assignments +Extra Info: Completed register packing on registers with non-logic cell location assignments +Extra Info: Started Fast Input/Output/OE register processing +Extra Info: Finished Fast Input/Output/OE register processing +Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/adder/adder.fit.summary b/adder/adder.fit.summary new file mode 100644 index 0000000..25f7342 --- /dev/null +++ b/adder/adder.fit.summary @@ -0,0 +1,16 @@ +Fitter Status : Successful - Mon Mar 07 08:49:24 2022 +Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition +Revision Name : adder +Top-level Entity Name : adder +Family : Cyclone II +Device : EP2C8Q208C8 +Timing Models : Final +Total logic elements : 21 / 8,256 ( < 1 % ) + Total combinational functions : 21 / 8,256 ( < 1 % ) + Dedicated logic registers : 0 / 8,256 ( 0 % ) +Total registers : 0 +Total pins : 26 / 138 ( 19 % ) +Total virtual pins : 0 +Total memory bits : 0 / 165,888 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 36 ( 0 % ) +Total PLLs : 0 / 2 ( 0 % ) diff --git a/adder/adder.flow.rpt b/adder/adder.flow.rpt new file mode 100644 index 0000000..169a9be --- /dev/null +++ b/adder/adder.flow.rpt @@ -0,0 +1,120 @@ +Flow report for adder +Mon Mar 07 08:49:27 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+----------------------------------------------+ +; Flow Status ; Successful - Mon Mar 07 08:49:27 2022 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; adder ; +; Top-level Entity Name ; adder ; +; Family ; Cyclone II ; +; Device ; EP2C8Q208C8 ; +; Timing Models ; Final ; +; Met timing requirements ; Yes ; +; Total logic elements ; 21 / 8,256 ( < 1 % ) ; +; Total combinational functions ; 21 / 8,256 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 8,256 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 26 / 138 ( 19 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; Total PLLs ; 0 / 2 ( 0 % ) ; ++------------------------------------+----------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 03/07/2022 08:49:22 ; +; Main task ; Compilation ; +; Revision Name ; adder ; ++-------------------+---------------------+ + + ++---------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+---------------------------------+---------------+-------------+----------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+---------------------------------+---------------+-------------+----------------+ +; COMPILER_SIGNATURE_ID ; 220283517943889.164661416219416 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+---------------------------------+---------------+-------------+----------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 245 MB ; 00:00:00 ; +; Fitter ; 00:00:01 ; 1.0 ; 305 MB ; 00:00:01 ; +; Assembler ; 00:00:01 ; 1.0 ; 242 MB ; 00:00:00 ; +; Classic Timing Analyzer ; 00:00:01 ; 1.0 ; 198 MB ; 00:00:00 ; +; Total ; 00:00:04 ; -- ; -- ; 00:00:01 ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++------------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++-------------------------+------------------+---------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++-------------------------+------------------+---------------+------------+----------------+ +; Analysis & Synthesis ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Fitter ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Assembler ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Classic Timing Analyzer ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; ++-------------------------+------------------+---------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off adder -c adder +quartus_fit --read_settings_files=off --write_settings_files=off adder -c adder +quartus_asm --read_settings_files=off --write_settings_files=off adder -c adder +quartus_tan --read_settings_files=off --write_settings_files=off adder -c adder --timing_analysis_only + + + diff --git a/adder/adder.map.rpt b/adder/adder.map.rpt new file mode 100644 index 0000000..fc3c724 --- /dev/null +++ b/adder/adder.map.rpt @@ -0,0 +1,240 @@ +Analysis & Synthesis report for adder +Mon Mar 07 08:49:22 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Analysis & Synthesis Source Files Read + 5. Analysis & Synthesis Resource Usage Summary + 6. Analysis & Synthesis Resource Utilization by Entity + 7. General Register Statistics + 8. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+----------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Mon Mar 07 08:49:22 2022 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; adder ; +; Top-level Entity Name ; adder ; +; Family ; Cyclone II ; +; Total logic elements ; 21 ; +; Total combinational functions ; 21 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 26 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; ++------------------------------------+----------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++--------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP2C8Q208C8 ; ; +; Top-level entity name ; adder ; adder ; +; Family name ; Cyclone II ; Stratix II ; +; Use Generated Physical Constraints File ; Off ; ; +; Use smart compilation ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL93 ; VHDL93 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Parallel Synthesis ; Off ; Off ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; Off ; Off ; +; Show Parameter Settings Tables in Synthesis Report ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 100 ; 100 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; ++--------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+------------------------------------------------------------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; ++----------------------------------+-----------------+------------------------------------+------------------------------------------------------------+ +; adder.bdf ; yes ; User Block Diagram/Schematic File ; D:/projects/quartus/adder/adder.bdf ; +; 7400.bdf ; yes ; Megafunction ; d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf ; +; 7486.bdf ; yes ; Megafunction ; d:/altera/90sp2/quartus/libraries/others/maxplus2/7486.bdf ; ++----------------------------------+-----------------+------------------------------------+------------------------------------------------------------+ + + ++--------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+----------------+ +; Resource ; Usage ; ++---------------------------------------------+----------------+ +; Estimated Total logic elements ; 21 ; +; ; ; +; Total combinational functions ; 21 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 9 ; +; -- 3 input functions ; 9 ; +; -- <=2 input functions ; 3 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 21 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 26 ; +; Maximum fan-out node ; 7400:inst8|4~0 ; +; Maximum fan-out ; 4 ; +; Total fan-out ; 78 ; +; Average fan-out ; 1.66 ; ++---------------------------------------------+----------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------+--------------+ +; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------+--------------+ +; |adder ; 21 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 26 ; 0 ; |adder ; work ; +; |7400:inst13| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7400:inst13 ; work ; +; |7400:inst18| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7400:inst18 ; work ; +; |7400:inst23| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7400:inst23 ; work ; +; |7400:inst28| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7400:inst28 ; work ; +; |7400:inst33| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7400:inst33 ; work ; +; |7400:inst38| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7400:inst38 ; work ; +; |7400:inst3| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7400:inst3 ; work ; +; |7400:inst8| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7400:inst8 ; work ; +; |7486:inst10| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7486:inst10 ; work ; +; |7486:inst15| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7486:inst15 ; work ; +; |7486:inst20| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7486:inst20 ; work ; +; |7486:inst25| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7486:inst25 ; work ; +; |7486:inst30| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7486:inst30 ; work ; +; |7486:inst35| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7486:inst35 ; work ; +; |7486:inst40| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7486:inst40 ; work ; +; |7486:inst5| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |adder|7486:inst5 ; work ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Analysis & Synthesis + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 08:49:21 2022 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off adder -c adder +Info: Found 1 design units, including 1 entities, in source file adder.bdf + Info: Found entity 1: adder +Info: Elaborating entity "adder" for the top level hierarchy +Info: Elaborating entity "7400" for hierarchy "7400:inst38" +Info: Elaborated megafunction instantiation "7400:inst38" +Info: Elaborating entity "7486" for hierarchy "7486:inst" +Info: Elaborated megafunction instantiation "7486:inst" +Info: Implemented 47 device resources after synthesis - the final resource count might be different + Info: Implemented 17 input pins + Info: Implemented 9 output pins + Info: Implemented 21 logic cells +Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 248 megabytes + Info: Processing ended: Mon Mar 07 08:49:22 2022 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/adder/adder.map.summary b/adder/adder.map.summary new file mode 100644 index 0000000..a7a1b32 --- /dev/null +++ b/adder/adder.map.summary @@ -0,0 +1,14 @@ +Analysis & Synthesis Status : Successful - Mon Mar 07 08:49:22 2022 +Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition +Revision Name : adder +Top-level Entity Name : adder +Family : Cyclone II +Total logic elements : 21 + Total combinational functions : 21 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 26 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 diff --git a/adder/adder.pin b/adder/adder.pin new file mode 100644 index 0000000..b5147e1 --- /dev/null +++ b/adder/adder.pin @@ -0,0 +1,278 @@ + -- Copyright (C) 1991-2009 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), + -- connect each pin marked GND* either individually through a 10k Ohm resistor + -- to GND or tie all pins together and connect through a single 10k Ohm resistor + -- to GND. + -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +CHIP "adder" ASSIGNED TO AN: EP2C8Q208C8 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 1 : input : 3.3-V LVTTL : : 1 : N +~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 2 : input : 3.3-V LVTTL : : 1 : N +GND* : 3 : : : : 1 : +GND* : 4 : : : : 1 : +A7 : 5 : input : 3.3-V LVTTL : : 1 : N +S7 : 6 : output : 3.3-V LVTTL : : 1 : N +VCCIO1 : 7 : power : : 3.3V : 1 : +GND* : 8 : : : : 1 : +GND : 9 : gnd : : : : +B6 : 10 : input : 3.3-V LVTTL : : 1 : N +B7 : 11 : input : 3.3-V LVTTL : : 1 : N +S6 : 12 : output : 3.3-V LVTTL : : 1 : N +A6 : 13 : input : 3.3-V LVTTL : : 1 : N +B5 : 14 : input : 3.3-V LVTTL : : 1 : N +GND* : 15 : : : : 1 : +TDO : 16 : output : : : 1 : +TMS : 17 : input : : : 1 : +TCK : 18 : input : : : 1 : +TDI : 19 : input : : : 1 : +DATA0 : 20 : input : : : 1 : +DCLK : 21 : : : : 1 : +nCE : 22 : : : : 1 : +A2 : 23 : input : 3.3-V LVTTL : : 1 : N +A0 : 24 : input : 3.3-V LVTTL : : 1 : N +GND : 25 : gnd : : : : +nCONFIG : 26 : : : : 1 : +CI : 27 : input : 3.3-V LVTTL : : 1 : N +B0 : 28 : input : 3.3-V LVTTL : : 1 : N +VCCIO1 : 29 : power : : 3.3V : 1 : +B2 : 30 : input : 3.3-V LVTTL : : 1 : N +S3 : 31 : output : 3.3-V LVTTL : : 1 : N +VCCINT : 32 : power : : 1.2V : : +GND* : 33 : : : : 1 : +S1 : 34 : output : 3.3-V LVTTL : : 1 : N +S5 : 35 : output : 3.3-V LVTTL : : 1 : N +GND : 36 : gnd : : : : +S4 : 37 : output : 3.3-V LVTTL : : 1 : N +GND : 38 : gnd : : : : +B3 : 39 : input : 3.3-V LVTTL : : 1 : N +A3 : 40 : input : 3.3-V LVTTL : : 1 : N +A4 : 41 : input : 3.3-V LVTTL : : 1 : N +VCCIO1 : 42 : power : : 3.3V : 1 : +GND* : 43 : : : : 1 : +GND* : 44 : : : : 1 : +GND* : 45 : : : : 1 : +GND* : 46 : : : : 1 : +GND* : 47 : : : : 1 : +GND* : 48 : : : : 1 : +GND : 49 : gnd : : : : +GND_PLL1 : 50 : gnd : : : : +VCCD_PLL1 : 51 : power : : 1.2V : : +GND_PLL1 : 52 : gnd : : : : +VCCA_PLL1 : 53 : power : : 1.2V : : +GNDA_PLL1 : 54 : gnd : : : : +GND : 55 : gnd : : : : +GND* : 56 : : : : 4 : +A1 : 57 : input : 3.3-V LVTTL : : 4 : N +CO : 58 : output : 3.3-V LVTTL : : 4 : N +GND* : 59 : : : : 4 : +B1 : 60 : input : 3.3-V LVTTL : : 4 : N +GND* : 61 : : : : 4 : +VCCIO4 : 62 : power : : 3.3V : 4 : +GND* : 63 : : : : 4 : +GND* : 64 : : : : 4 : +GND : 65 : gnd : : : : +VCCINT : 66 : power : : 1.2V : : +GND* : 67 : : : : 4 : +GND* : 68 : : : : 4 : +GND* : 69 : : : : 4 : +GND* : 70 : : : : 4 : +VCCIO4 : 71 : power : : 3.3V : 4 : +GND* : 72 : : : : 4 : +GND : 73 : gnd : : : : +GND* : 74 : : : : 4 : +GND* : 75 : : : : 4 : +GND* : 76 : : : : 4 : +GND* : 77 : : : : 4 : +GND : 78 : gnd : : : : +VCCINT : 79 : power : : 1.2V : : +GND* : 80 : : : : 4 : +GND* : 81 : : : : 4 : +GND* : 82 : : : : 4 : +VCCIO4 : 83 : power : : 3.3V : 4 : +GND* : 84 : : : : 4 : +GND : 85 : gnd : : : : +GND* : 86 : : : : 4 : +GND* : 87 : : : : 4 : +GND* : 88 : : : : 4 : +GND* : 89 : : : : 4 : +GND* : 90 : : : : 4 : +VCCIO4 : 91 : power : : 3.3V : 4 : +GND* : 92 : : : : 4 : +GND : 93 : gnd : : : : +GND* : 94 : : : : 4 : +GND* : 95 : : : : 4 : +GND* : 96 : : : : 4 : +GND* : 97 : : : : 4 : +VCCIO4 : 98 : power : : 3.3V : 4 : +GND* : 99 : : : : 4 : +GND : 100 : gnd : : : : +GND* : 101 : : : : 4 : +S0 : 102 : output : 3.3-V LVTTL : : 4 : N +GND* : 103 : : : : 4 : +GND* : 104 : : : : 4 : +GND* : 105 : : : : 3 : +GND* : 106 : : : : 3 : +GND* : 107 : : : : 3 : +~LVDS54p/nCEO~ : 108 : output : 3.3-V LVTTL : : 3 : N +VCCIO3 : 109 : power : : 3.3V : 3 : +GND* : 110 : : : : 3 : +GND : 111 : gnd : : : : +GND* : 112 : : : : 3 : +GND* : 113 : : : : 3 : +GND* : 114 : : : : 3 : +GND* : 115 : : : : 3 : +GND* : 116 : : : : 3 : +GND* : 117 : : : : 3 : +S2 : 118 : output : 3.3-V LVTTL : : 3 : N +GND : 119 : gnd : : : : +VCCINT : 120 : power : : 1.2V : : +nSTATUS : 121 : : : : 3 : +VCCIO3 : 122 : power : : 3.3V : 3 : +CONF_DONE : 123 : : : : 3 : +GND : 124 : gnd : : : : +MSEL1 : 125 : : : : 3 : +MSEL0 : 126 : : : : 3 : +GND* : 127 : : : : 3 : +GND* : 128 : : : : 3 : +GND+ : 129 : : : : 3 : +GND+ : 130 : : : : 3 : +GND+ : 131 : : : : 3 : +GND+ : 132 : : : : 3 : +GND* : 133 : : : : 3 : +GND* : 134 : : : : 3 : +GND* : 135 : : : : 3 : +VCCIO3 : 136 : power : : 3.3V : 3 : +GND* : 137 : : : : 3 : +GND* : 138 : : : : 3 : +GND* : 139 : : : : 3 : +GND : 140 : gnd : : : : +GND* : 141 : : : : 3 : +GND* : 142 : : : : 3 : +GND* : 143 : : : : 3 : +GND* : 144 : : : : 3 : +GND* : 145 : : : : 3 : +GND* : 146 : : : : 3 : +GND* : 147 : : : : 3 : +VCCIO3 : 148 : power : : 3.3V : 3 : +GND* : 149 : : : : 3 : +A5 : 150 : input : 3.3-V LVTTL : : 3 : N +GND* : 151 : : : : 3 : +GND* : 152 : : : : 3 : +GND : 153 : gnd : : : : +GND_PLL2 : 154 : gnd : : : : +VCCD_PLL2 : 155 : power : : 1.2V : : +GND_PLL2 : 156 : gnd : : : : +VCCA_PLL2 : 157 : power : : 1.2V : : +GNDA_PLL2 : 158 : gnd : : : : +GND : 159 : gnd : : : : +GND* : 160 : : : : 2 : +GND* : 161 : : : : 2 : +GND* : 162 : : : : 2 : +GND* : 163 : : : : 2 : +GND* : 164 : : : : 2 : +GND* : 165 : : : : 2 : +VCCIO2 : 166 : power : : 3.3V : 2 : +GND : 167 : gnd : : : : +GND* : 168 : : : : 2 : +GND* : 169 : : : : 2 : +GND* : 170 : : : : 2 : +GND* : 171 : : : : 2 : +VCCIO2 : 172 : power : : 3.3V : 2 : +GND* : 173 : : : : 2 : +GND : 174 : gnd : : : : +GND* : 175 : : : : 2 : +GND* : 176 : : : : 2 : +GND : 177 : gnd : : : : +VCCINT : 178 : power : : 1.2V : : +GND* : 179 : : : : 2 : +GND* : 180 : : : : 2 : +GND* : 181 : : : : 2 : +GND* : 182 : : : : 2 : +VCCIO2 : 183 : power : : 3.3V : 2 : +GND : 184 : gnd : : : : +GND* : 185 : : : : 2 : +GND : 186 : gnd : : : : +GND* : 187 : : : : 2 : +GND* : 188 : : : : 2 : +GND* : 189 : : : : 2 : +VCCINT : 190 : power : : 1.2V : : +GND* : 191 : : : : 2 : +GND* : 192 : : : : 2 : +GND* : 193 : : : : 2 : +VCCIO2 : 194 : power : : 3.3V : 2 : +GND* : 195 : : : : 2 : +GND : 196 : gnd : : : : +GND* : 197 : : : : 2 : +GND* : 198 : : : : 2 : +GND* : 199 : : : : 2 : +GND* : 200 : : : : 2 : +GND* : 201 : : : : 2 : +VCCIO2 : 202 : power : : 3.3V : 2 : +GND* : 203 : : : : 2 : +GND : 204 : gnd : : : : +GND* : 205 : : : : 2 : +GND* : 206 : : : : 2 : +B4 : 207 : input : 3.3-V LVTTL : : 2 : N +GND* : 208 : : : : 2 : diff --git a/adder/adder.pof b/adder/adder.pof new file mode 100644 index 0000000000000000000000000000000000000000..29b326778aca79728141e12731d5bc35de489655 GIT binary patch literal 524488 zcmeI*3y>4{o#647ti(f-bw)zC!~i=|Pea5oFw6|tV4NTc!ATg*!#I!1)x}`ggsii6 zjPp9D5{*U>fw3`yq>P;u17m3qkYhPy;)w@d}aNecYS3` zIGql!zVr6a-?{!vU)pqM_>-IN+_L%hzX>m#GdG<0%I4cPhC93Fhv#0?-f?Yv=iIpq z!#8cZ^Q)V0-4tHE{?@+^cZP3T9{$v(4dJC5H{T_TX*(-th9pbZ}x7Iw&@<&Vjm*ClVh`L3^g>TBxn zlV9ERsjqGN(2hJ03Y9xJ~*{1J)V&UfxZZ z|Io0OAN=`Ine&*MZN5D0D}TA4pa1#KfA!}1U;gr+t?dr^tmd39zr3!tYYkSo_kZ`h z({l!Ny+vX?Y|5Kz?(a%3uU}PK)CzfCCFb_GH*9GC>V@C`e#=L%Kik#SbwzPC=FG*` z6mz_s^3Q%$t!C;#B+?v^mx<*KzxVRXr)L+@t*F?hys5_DD!sh^7b8TaxHVhk9Jb#k z8)`58#OBt1ec`?LUTHqt(J`^~9qd!g@mEw3^>Whu4#xDgy7^Q68!c}dnA^5%yZipy ziVNq?t!n;%M~+PVuv*W>uI7(ecHaD(y5^U$-@Net`={H_c6PRu`0Z27@zW}ZdU@UC z52_4OWo8!{_dj;~f9&!X_w!xY_5K@g?7H#ojT@J!GE(-WGk$-wUtT6&G(~dhIw^^+ zHGd2%+q&?FKRj0YF@{ZfRLy;?^z!hf*cT)Q$)!P+sa@Q@R9W7P?amADymQau>sqb| zN&*=?MN{*3?JB+Wn6Wn@%`N}@!uj+6SXy%M6iv>hff~8IKk%3ddy0m?tDltAFcvheK5 zD1(YpX|oaY2iI@APQ}&LFa*u^)nswCYTIyIN3-=!ZPP3-+XPL(WQabVkQ~|FAiqZG z%T!yS|Ladz3sRb|PhIp1IoB_G!9K}p{5OlbZP6Pkq{>fC9j&kM@XjiMbqO3c zzkmPiZ;Z6Ik)~x9sT{MIZHyE^O;c-@;qkja60qpaUBsHGX)$w~YLXRIiG;bpiuwPy z7~Bd`3SWLVON+4ADm5PeXS0%SKUOQT0yieSmG#Sq(k>7UOYUuc13lxtqotvg{})#{!ke{#rhW6;M?+dD zW44_~2qx>)O%{o@8eVztI}PS{QXdQ{DI;pc93tXm4W;;py~mGyVz!zVDlbzEQhp1| zLVAgQ*Uu!^D+RSXmbMZt?hvwg#yhn$5>j7O{?rgDVVIwaOJ}lDsC(5$G+mFLUf~OS z!rqYDMp%{YQmltP=E|+_moR^GJ=+^;)I~I^>2nROZ%vCgD;o&~nymd>eQ$Fk@)9W} z){HKjk_GfuHSd-{f9KzaBc4zoQ2dz$oDrETqbxm)b|3$Tk`LDJy zy}TvchiEk8ZOvxQ7z&AgP!A8hK2rsEGe{OuD2IzB*6QUSPNo{BhD571t9x6`t;nlH zLcb;Ot-lM&v4@W94)|NUBi#{?mP&+^Ya-JFYxKzd*=(p_v?xsZGP>|j_o~@`k3SlX zwnh(kKDa@j6=^-HXJy6FRz2~gp%;wIYl+3H0!^MKJ>rpLIAWF3VzN53QJQU#3W>xi z;}vE}?J`TMNP25Fe=yLR@V4rDR=#>`)|+hg>b?3;rr-03UsiJ6fsUqbIrMWte&0k& z^9Lhl3s=uayZs5ZK0O*+t4nf?gmb>exF;IU9&F8bE|{gy(bdn_dQje?>DLl!-2;(d zoV+sQ3CW*jHaMAC)6_aOGik~X(>(nO^Cu6R$+? zdn2>b(e}x{_Q}RTK`nhKJ3HR`v-q5XEVk@bedcfVg>ki5p*eXh(45>5ZBFX%#*LPj z;ztXo%=k1_N6t$vR(({to|$F@J5x?B zNiEoaY)W2!G;{WdFVRw_BXjlp&-6@?y1f{aDFvovv(_x{g6n(;WH*p|!87=Gl@ zHrqa0tu~dY1U}d0HCt1G;mG#<-df=F|Nf8`ZYY&~GA-=WZ0oA5RgK+s_Dc0u%+6(O z)R3Ci-(&8Uc8Qc)ScYOOWJ{%?uj!K1uS=^dz8C9hL#B@SaY&PB#4yE)X3NDXKzUy5 z%$HA=KU5j1Ev|VjUuAv8*|&AwJK7GcLS%;Q=`o+y|JGZX1~dIs--3U|f@Lc4xEk6Q zn2E?ZWO1to z6K)8Y)e9?22@k32H2SpIguJ0Jb#Q@`SXo$ptZ<{z_GpOMT>{a{Wt*UIl6*ZST%Svl3AmU9@MnJD{}tD*7PlU*N{ z!b%M;)0)HxdE9@k>%y*nto7P^ zgZ;0%{&uw$P2W{=faK*+7(~GKMb^^h+6&AJlXlZBAmI(dg@Y`_>PRQt4L_ z$qcVEXy5H=kQSesYc=}e}CO)-Bt^O{U@KuG?+<89hQ)aPo;@DN=&rt z=RaFL4pxZzCN}EkqKr4jRKx8MH3+N%88-VQmcWme9IH{V8U z?alDTwf_GgXHwk_l51q$h16naHa=85nE0I4q`T;Ym(C5sd4?QAG+va$mp_ZI($i;* z!ZP_!$f3kj#v?{s@hIXKw>%V?6_+O6HffO!InFw)VfJ+V`N9;{E;BaFlp~*&HmI}- zhaPGj-X?5LJ}<{xRikjm_XY+ZY~8$KppcyLWMQ5$OIo6*ACNjmYl8v}4{4>F$U~c0 z(yTl1gn6lxo8O>nv(BoLs#W>lXt~lwvraD^SBPucZ*FuQkxQ7*WGYAI+7>NvqoBf6 zEfrGbHT50saebu#C7A2N{t_mE@=vAFuq#=Nh02^d@h9>5Q?=`^aA?0NmJynJfuv7+ zbH3}cPA=#$v*r@A!~600H4o9QtJbb7o^z8t+|5Zm?Uk2ZAHkIDrCPPbtQW~o80>%f zq`QddUI`wJNs05uPlsKPHCkezO!&7g6UIVLI9l2mGQGyS^pK@T{a8PNjM^cF9f2_; zGV}mRQZ5#H`KtV=ksLh3fBnaw7(8Y4PgU!3l|}1P=}^2*r{qke-jPn@ak8$at64Z% zS4qjXv8t`Qa#&8*l_=_#5tKGi<$WyVSyiG~noU&YykgiZ2RP&mcVok#i$vuD2z8*Q zTU{AE=v@1ZTU}p3GQR4E8QnbZCfC>{ay?1^1izMv<|FA&^EgmcPcBhV86&wM72G}K zRGxLhZrYv?SygDMHsoUBRyl_vRRmdYtYJ`FK-IP{bWf1BoocMfPmt=)jc|5O{Byxn zC@w3soz7E>v@QIlTCba-#`fJbqrLk%qkVVsTp+Et@0O#EyXB~3L5@16<*4Jk)=|eE zxvpf-DdXw%vBG}2dU3y8ak*cvxJ=6xmuYpy#J+il+V?#<@0~#UuM4w>Nc6mI6#mgzS=c3!*_C|l zjgD7~4J!Akp?}6#-Tam+jMU6(IkzW`z)_Rni1F*Y`z*~smp=x@h!i94hH=;otZl!! zeZ!TPZ$r>*)TtAE_oi0wmI7|j89wtoo?O#;Jnx${VaP?DR%>wDBXa5HX!Qz7KOrq#5mI|O##*wrt zdj^$1m$>vRmp!8v!aY(5r;e3Mp05X9i4O#%=ncpTxWLL8QiKmGdrwID`{1&7jXmFy zI}pl+?;$CGAO#^&U|TOLJGe@5%@)V)xphsS9N|PB_|-u)qv-v?3R;( zX*n4{c^yw#?)|Ir|a@j@?^*Tuylk}l0{?pyl>T73)cGY zzTGKBSx1kGA%5NUEvK;dc@!nJ6eV^!r*Ty4rdroM*y%U5&l?MeJX|yo9b1;a?s%l; zN_v0u1CobE-BvrpQf1!;pyu{S6J zwYEXOAZ^eU(gsaQWKza`e>w50np1;RJ?%e))3}RMh@}!N*neVu%~5gFdMuT|{STjX zimH8H{m%B-x8g89z7A+Pd=dMs@uixzN8MpuU6JQ7d(f=<3DkPnh2|s8N1Bggzxim( zKFss^HJ(JN)_kqBo#UEnoT=71eP#4xhS`cpC3Xf=ljT&CocfyiY#?Gt za*OIK%=1$j^aMkbrC-W$G&-{* z5Q)gN;)096EZ3~-x*05N1VbU!du28oumXj3bLFyv?rybiSw3Q}+FIoNCl=YF<2X9L zcHDi3l9OJ{C)ez5TJN9US$I5fI6w2iZew)ns|zqdwjnJ}w+#{+?;^a=fdK4Yz%SY6^xAJmg8jpZAYTNk7zb)@f4FHgRh zKa}5g*k6!Me6#DDxzvsE+}6|!{>b{s%uu%bjcERW-phUn$~6_46`55fk8vJjw_>+)P9c@K zlvb|O3cHnSDoQI#t4bc@JT~5TE3R;r-&6f)m-!X0&Z)LCS9Qe1?U9|eUMKsfxII!` zQW~{>slFpmxb0BK>{Qsrg?hd6WE?}S-wfOLAGf&fdy=En9Hp*gAdX{Fli~{3qR{y3 zDTf;*)lbI_l4dsvFu4N1wx-@jliJ{7f+0do+C`x|@tw-*U9Hm>F%k}=q z;?5uQEdKb1Ywq>wzg_Ftdw@M-8E=Qn>~SA`oYN4lkFD2~0LI&+Gh*s$`;6P((xiW^ z+8*9EdIB6YXDl3_Y4uj^K*zD?omY0t6GXjn^}R;uM@Mc>8GZ+jcD~~QIhf_#ht8gQxD0IK6-}qk5_9SJ=-NRKa)jWm&QA|MveFw1YZ)phZTD%prLUnpIO#>iUp%{Ynp4yNxHJ zzM~3STlZLlWE?(>g{s(IB3It^+KcXYV! z#C0s}=A~#?c_*qg9z~$Wz5{4Hj^1r6jmLWRZBFBHSY}@HLp|Iy9xJtA?I*vlrO*pE z!LK!Xg4*J)6#-w9zAn+-cp#FP9&DJB$S#e>{RhprBZ-FXk+qTda`SCT zgBdXEH{TwQ7@y7sf{|A9ZC397kUlAJ$b9R}^{RD6CHyJ9Yu$8Ts5QAZ7wbNdFqSq< zJ(x>w_e{!~Z_^UlTvK9ABBkD5NHmxM^Fi~iFS0zfH?%quRd2^5M(S`RI6Y^+&CX@i zy7DUgK<7FwuVv+d4uikn&`&XsJhjZ<*VW~^|K$2Lf`-OzRz>Qg7ELo0Z!JjpVy zQj*(ar8OKKGp{EcIh78ZIu?#zWiIdMp14lI)4tsGzv#KPWz6?nJ8UN!d4k)^dIvqE z$4$qzrOi>~x!$!Xow=&FwA*l1ul;dqqB24igvXxP9=TrAR8f#Uq}c8b>B*P{O8cyyxltO*ly@oRtK4z+6k ziH%%Er8DdWlPUAH`Mcips_IdTI^w+rGsIxpSZ@;&0{IP!vhw-sc|K}P? z>j&Zig}OZH{!e?FN4iasJAL{;SIZqE)vi$}?QX&TlTXy)BFfV0H94eK8FwC|D5WTM zP9c@K^(*r#+i)5)(`xOPP&%#BK5v}u3YU4yv6kCHdA9v3z1Exf#@4g#ZTlfzx0Er) zS&meWxAVxDC`O#4Sei@Yu_U3FF@Cybp6T0?Z;K4Y#bHO z-BoT|ESCbu{?NPk-{!UHj3NOGquzWj&nUKPznY z%SS8Qa2mUOkMFuTJYjEUX*KpP+pFJU_~Jsl-1nW_>dB2BtvBC0`Hp}$BGX#E|1P2W zU+7KVk!tv^$FFHS8Xoj~EYc_kLy8Mt-}U2*X$o3>mPv?au}bb=WZ)U6Dx2*UIFcOXcbTX)%$j!G z_#??>Yw|to{QD00C!NqIv>nKAJLoS=KN>iwPd}CPuJ9&X^|i?x63f@+?o9=!rDNs- z_vD&G4@_?QOk{SUFRr0_BZWSb&u^jUgzY0{@Rnj1^z*5z}P)(0C8Clgzr%I6Q8D-Q0F zB;ju0u--UoHxN~KiF&+U@9*+k)s(@xPAlX9uBpaV3FrD-kpNs%kpNs@LrP}ln(Fdx z@wqJ6*sWZr6?QAvRP0t<#qKf#GN0__GAx;u>phUn$~6_46`7UG42aB%7Aq}Qmpcpj zD*39*q*7bHTFb3y6Wqq2es#+l&%M@giEHDtC%ZoE_6v@MoSP>Un!i@;%*Kc4UT5rg zuT$lr4wd^w{BN{e>Fx=IwLD~^@{p&#j`ePLa~ghs_6$ zWsh-nkEE>_k{UDDxLO`Gb;nav*LC}`|8GBQOYe@O^-BTfZaL!3kh05;@Ys8~%Q^2D z)ymAiY}b{QPtIdBALTc=CAT^x7dK}bc6hEfuWgRrVqV&u@hxlEs;+F#_l9mUFKo_u zXUGN7Jm8)O+&k}9YOZw{r^Y9B*Z7RW>anmwjD_9YWn77BeNIKVEj={36V3JE)%yKd zHSRa^g!`Jhg>;!1>sS7}jDP@N=1<|P>97XRxbHl%KY8@QQ|WR0jQeuJr+d~ih1HX+ zyJq+Iuial+&Uwr{XzY+J>XpUweLrn+%=Ifrt)-sazTxt`hm9s=F-6p|3F$7CYb)QX zBu?isRYqT$UUsr^wWSfBx;OH@s5|FIC+s>qoZ3Aheus_*Z_<=DiEd}v*SI1z->7)4aTCII_1aEa+Z?ExD zeAybKqTP}HXeCKFkJaz`2A!_VCm^LJB$PY?7b2ZfO z0GF%HYn0Ef%171djMgkyYq=ZrzK$iAzMtN(cWT!ucM%vHeUrM3YhCJS(f%VZx!isY zolk_lKUw7ZhrRUsR#t2D>TMn0Ftps(ogU+OK9KUIwubWFWX7jWZ3x|)+Uo7=PD+Q- znUpVNH+MlAPVq;yOjtCAT-4Ti(0CfB*srAbAYpMCZR zpPHAy{|Eopd|6b)rHviM_K zZ5uCVA#)SD(K93&9uQY8yl_2`?>P+(K{io`Oc>6fD}`HFbkjtOKEHvB*G}miX*O|= z;><*NKpF%c5Z*`N_8hv1?-aQEv~?+i<4v*yi@w%u!(?AUZGWe_pn9Ns;6goskH$*( z!2|UJ=svuQbq>`7vpi4}`7F2HAECC$RLo4R4suWEaYqk}&nDcKck~d+ft!WDL!BPc z(FNVlH%;UO8FVn}z!Bl8MSv6~S5&*1ti8xy!A>?~x&V%DmZ$7_%YmU{NV6DYf`F>< z7?GJ}AjT-7KdgYLpu^zIBCNwXgq5~D!4;J*+XG+o<~cmeG3NXCo*dd)cud9GdVRm&ve{{iuGRxIanvhm}IvO zuZdjpS>>JE18QdG#;Nf2CvraF1v&Qdg39((mAUEI8)U6b2g7S3U+ddf?H=O+H8XPs zD*UvG9EVBt=OOf$CPX-|GPn>=+`ga=72ZPBpH4v@`?!`KsSFqieN3%9Jh7nvtV?o}*<$)82*w@)KuQYgKk=Mu-9Okre%Hm|;)ahhDB$=O*f6Z6u;!o)Dif&We zUlTRG(P;47X7ejekMQ!ZNk`VYX9=l~vn-lfB1MBb57AJUN%hQM%)@^A-ivJRPWWmFFw@IVqkNl1!tOIDO7lsva2cfeuhH#zY-DJVs;;>BtE~h+6Y-2Yj-yLvN+9t|C0a;LF&Vp*$Ai#cMt(WUIwy_aEG{R zJUp>z?iXWaw(xXzR%sO;=wPK7W1{&wJVs;lj@~LQ`e0QnCL*n99De(h*r)qG84|9ihP!QoAxJkMOgTA*aLzrGU zx_xV+?sg)69Ndh99fit%b9RhYJ6AXfpd`kaMnF|~2N56+#3*2a09D|LMc7l!At+g% zFwqA?WioSV_ig|DP|wWF2pt|GvUDP6W>rS@fZ_o)Gjll=-T^-`kwaukB5#ZBRD$t% zt(1Derp;Bf-j7!5nK{D3g$}QYJou@;UXlmY%*+i{;T`aW6S+5Oou|BiSma*JJETA0 z?=KgBwu@^#u|hpFGh=jkP2|jBm2m+cP%|^PPlb2DpCXZC9E&Gpbb|}zNF1TI^x*XF zA^3)5EaX}|VbdQuaR~Gb$r7XmLxv|70n!_&%6Qc9i3V@cmWQB@IA;SG8xynOMA{6v zBHW@UP8yIv&ur818jvS#=pVkm5Q&A(pQ-#seX1T9<$?Uyb)vWq4-uJJHGPZzSENO^1g>-Z%)BO61I_%D6ZWh_|9gTX{26gPxh0QXL)=`Lp~Mn`s0i50A?x zLPQ1klxd30Yg0sPqSPg=r5fE#MS5mVlme>5V?;U#j!Wc#;*o)eWfLKQP9-|3DnLcf zBn#MCRqC0U`A3I`h*UB&(+Eb)(m{a(cibWY^1f16WMW7~m8u6W!~=7;pt|{UKiBf9 zNE}av#~nc?l_A@SBxgP`IYR!uGWQ0g8Ph9Ali#BI2@A_1{`-Q4i1lp)+$ z!b0JXv7Y2gmYue6b$cDlTA~8{dP`E35+OlKIUIUxFfiuhD9QYoFD@j zqdeRJo22WeS^1wIve{QgX%8d;l!T->vI=()0YY8|KI+lSD69a7p8OEFIjmGYFx3Mc z1jHB7n>J6hj3@Y>Yc66Xonvk`Yr@41z z9ZVUQ$N|M84-Y%?DTy5Jn3Bl90}G~+odzF?Zb#xO?Cc&;Gc&hNg*)JZke9)OK3Zw# zeA3R%TzCuegj7`NQa$j_TbF9t0lVCR{#^H*g^|-0`8Hl1x@a3q-~B}rKkw&cF4EXR zD-?S9xC2Or0A!yO_#ppon*X2@9e~W}a=< zVL|f>nC)6ivTO*_i%jHA^RssiEU#2OFv$ZG5;^qh@UTXd%q$vLIFXBEip(j=SyYf` zhK!g;TxgF^hI97`=blsV^))5(dTV{|m|5redS+&G(&48{k{|hvVeH?>ZrG=+OjDi-sQ% z7el%wNFJV8WW1d1rSmd<|KPc$YZYz*27-IRfQwl$GQ?p{1Cvi0vk2tip(pKL4M^7y zA3)pJ_NLL{u?EGCJCH%Z3d6~A3%Qo*40t#psAPwHOw>Y15MS%-&2-Z%)#nVG31 zh67WEhh-BXfIb+4PnIY6bUd}=p%;cc!3WmjGp28X)=9JX9Bq;LnweT1zrx7)*OtNV@#Ts4nI{Qmn^Hi>VcdG zCM0sGP~o!@Ikd`)Y_UX+A;!^zO3ve4(xc|?6Q+z&0BgNYhi5s)eE;5)LpuwviG0sp zwRsU9P%|^PP=%i?k+1ZWx5!Oo{~ndO>EQ4GDme~TXEQUKk`AwloH?vAF2Dn7X6E*( z@KYsn>+QlO5gFaLa1U+h(TK_N3+hneEkymU6Y@BoZ0V88fRWJ0)XKvXi~0jkKY%8a zs7C|y%MU@Fh8`YaalyuH!DU3&STJQctW5k0DCmy-!D~QJXToW7bpZaP?G@6u&{vII z$-=e1!k5-Lc&ti^5U$`br-f4%C$oL)Cn~ldk^rj#@ns@h(;JNjzulF&C}k#G>*HNn zOTH>4Y7Fx6$4`hbq#aMUPkRpj(UNwIEB3dLavI)B#QE6qK&jY5&&~w*X2W!L_6V24&VY5^A zr`|-v*1_C;fR3`)jzUcZwNnLV;Iq5k8M>lKK1oK1d@&)B1FFIuh5$ldHL{Ixhq%a= zOXL$n3lkVYMb0Egj9Vd7JkS!jgMb)g=wQ@=lZA&we&Co0II?}3=4$3Cw1uFCqOxTA9Y;Y@3oIpAxLAQ4~EKQ<`cP3 z&&}hVNPob;&#dj< z1A1m=Uee(;ku!%?#szpl&CJ|B72W}Vf<$gGj>Qu)y1@m`t8j$c(u32xhu|BMv5;%= zgyYG`i9?`gNR}Wi7zuockgr>?6PfG~n4b=hb2iYLcp(gdj+Cg!fRn&eh}3#!n}*kb zJZUBD3;IiX4Iax}8pz9qFI?|)`bv5q&QV8ksIH~}y_%;p`DKz=1A;OUPWC$eA(K7$ z^{&h%UuMF!wpY8dmRKjlVf!3bmC_>EP$t5u-K0Ncl2nxt++wjnVV5s6;abh@m?D-` zj!d+8`{UQbNw!O|eM^Vm5y&GP&|wFV%AjYT92g0FA5q)Y1M@u)@2Zj*L)43;!^0ZM ztQrg<5?f>LPlty! zQWH6IT+e7T{K_ur0X;Lbk#%@TSP@Q}!l{;4-M z?!#o5BGaY=jnd?b4A9Ngs%PdzDWE!hP9k?S1|DhN2V*(Hq3iO5L#aw@@PM9~*$i}e zNaS@#&Kx(3(an-NB!pB{se0f-JTP|)s+&LebB&$yI*fxGFOgJu+!3T7;E9Z=mEGrw zsK~VOYUvA@dO=iX3tHyRDsfwzw3cd^nTj0bSd4Yx?vo=19(j1&5p;ls9e_h441w!} zI%?sO!ogUK{MuVgTTtY}#e;Y)lbKKCx+KfSAVo-uq{2^?$VJO4vw9%o0SA7_vN1?I za3ykJX5n#-bbyUZ>vf8Ganfa_#cunNoVU=@!4>*{R&CJX` z9e%1r?hn8Wj6+68tEC72irrV0;5wm}AO@T)947}JffGARk0x@0444TSf^c{O5gS}4 zI|K~Y;c?E)3l@W87K|)$7@VOvC!u5UGGz#1{&7Y7A5W6~+z==ap=N`Qj;Cm3M znfx+IQdPpyo1659O!nc%k_;677%N;ZeBoMeuVYzDRKQUQr=?a04xAKoSxAoPVAO$= zg~uJi9ic2%dE^8c$TQ+_2C0ndf!Q7iAfi_>|a z!%*4G%d?~${CD`Qn2z#d_F!ad#`QZqAGsKOoaKpg#3Z%|appOr1|20G9v zP1)V`{wqWW7QJF1hnLDe*ZJVUER0H3M&!HHjaZ?&Xl4%1}hld>j{{k|GG1H3A zwQ=89GSRV&ZY*#T4z74OR3tN>%6%cE9R>_Rdf|!uRKBU>+z$`vnVHQ|hle%7Gjl$X zV~E0uoXsN3cv#6f>jvI2cb~vDor6;o`8m8^$2_G6^vujG(cz~`Mb-mv-3f%0o zaKIuFWWRyb;b8;8t5O`sMY}Q;x&t}{sY62|9UgZC4zghfkjh9} zIb1?jUiH9a4_HX(nVIR-;bD!`e~+9wt}@Q&fi%m8Aayx|ba+kVIll$IbfXjc>T4+v z=$V;~s>8z?sXKD!xQE5CMW)-%)T(D@rc{TAMD82}>yDhcZz|(@s=z2b&J_w%_SoX6 zakIx32ej&$IS~d_htEmma7W>z6_ZS3sRj%f)BftiJ`K9NgPUX(XC6)kGS9A$XVS4sE_oe7B?b5!BGJS;B*F_A+n zgM)bvs>%5rUN1eS!vHMCI&dX&U}oVpkxM?SymNa%&CJ|56~6vN&L_MQPMMpIl}!GS znGS$#W@gelye4wyu*$dq52%@$+o!@$o5*nohwtqyti*Yh!G(C@_62pQ@D`%}mJsr| zQ)%gu%7Bs3$JAo*1R*z=Oq>P7qeq1shyY=6k$54_0zC;)j|C%vCkU~@t5O~roEc;I zecD_JMJz-3leRB&@$zyd%f3$f?T>!A1kO=MaauTKaWdDlRL0**F<&A1ym7Z zoG=8}YE2W%S^}J-I7j}xAAgq6LFE?ia1Dj&cO%IV=w$@?xMA=L7$jj}sjre&yZL>PmcGc!69>d*>F*`X1l9@lY=@XQ=!0As}A43aQx(yEQ>0o?;3M)}@C zlvCjjB0$K?zz>05Mqvdw^mqjfQWSw-r=&B8+>uRrxBI**vR zOBqjgJahuGoq&x{yyp{WB7B_Ye3De;ajK?f=2R9?74Crdd01QqvW zoJlS>>8dGupu-i5u_F`tfq!p34jwM1?#P4OeSej+!m+b<$114u)dS0UVD1)Fw_xt) z8c#(bIf~=y@USCDzZ*$pM6JnJDG9s-K3L??0L}Zdu9sDxG4=&fTf`PqL~E*a+6F$A z&9-c|=RijiKp{xw4;w&Lc!#lo@bckSE|mdfNPsHv#3E>%Lw>J4M^Kf)L>~-o);!aE z+7xG{!$U-tPUNC#m08RKb9V{F3>vF=`@yVcX0A(xcfe0f4Gn<$WuZf&FtTHaZ18QdG_Nnj=_){cujAQYHjBap&Jc*m5mL8nmJp@O#vXE=> zgyYG`i9?`gNR}Wi7&1Ju2#`Q6E@YViiLW$xi$)e6=WGCDV`3JZNSgsygj@8)Ndpq- znQfX5U60IOnMgqFUSH6kyJ_(F<15XRR{A*>48iF+eI?!I;PLlZ0))$t;80yn0eUr0 zC$CC*O^Q$^!pUCu6(&g$%MO0s#2S=XW)e9;hHGuFc4aLL&g)!)0#=pMBG^zS!l~Wx zB9kdbAtFThS3(^~}r^=^yK%4q3gLR_tYiT1q01+7M`PfT3F)wGB4o~&SY>tzMb0GQiAoE5 zK+nuyuZiXxfr9&O-FB@eRDB0&!kAr z%-kjwUK2TYSmm7G0}dvvEs^^JFayhx(a~z@fxlvxtKwRM7$CB6oauT5PV6i_n#c(< zU?yY;l83jz28%2jeN&U^QNw2%JU>KG=}#G%7c9Cl3r3bWdAI>SOu9uQ&?B=~l@fnW zB_MXMl>AkAEOUu*mH+n6Q!& z>z5C$OG{WN{4p4E;mb~2xVpXe@-jTJ2oO&#J$JYwH}Zei1s#k!aI)~YBe)}!#k&?c zK?d@S@^A-ilCH@kOujOz2Q&{P0hENKD5b(3M1YW&fscChG72lep(j5CZVoF|4@~ty z2LUn0L`^z8tdZmYJ#x{a?IN+FWtCYykn=!3ZHf{q+(D!!a?x?CW{P(U>zPppQ^m9? z&OwKVM1K6gM=n~F-|dLDR_6IUK(~?wXzp55Gc&hSg*)JZIQpmF^7o+_;=vHk%9f`S z+_vNWTb}0Lk##UdOqW?;aj=RyzXy^4ib0B~ z7fFRXhyWokgZySgTx1@eSTuJb!=hDEnF+(El`ho-*Xw+H-fuRa&vWVAEvP!0ReOh> zrup*aV8fJ8MMI%@t-?FNfRIy}L$^c$K#{F!$5^_N|8c{y;^)J?yxw|z5HjbpX8g#ld4&YA{qw^ZKv0mxn5a>O zcMt(06Qk&sNP!P6GCzAt(pJ)N;XLLQbU|(LZ({S_i_XiGCGxGqqy;R{HK;;to z+yCpS!3gW4FU^~_%P3bX;=>N-`zZ3Q9nK{D3g$^&A$ld?kn(^0b^Si&3 z_|Dh*KPC>oT=KPBQrSS)<_|;T%W$!ZS8L+ms*=5GX6Exz;T`bh5_$Wx|HKVi1;?%P zBcFWx?kfB%82Oij0}4GeGhrQGI+6cX^B;E>-O^=?CT4@oZt2m8dx8x?bU5w`f$;JM z7lG5FF>n}~J>3!n!x=OgJh8|_dIL2XC`zcMR(=TTwDj-@?gfJ`n8nBthdB*Qc^2pZ z`IY*l#ckO&9W2lUpV_AA`r!c>P!&);Y*^gZ+yNhYl#YEi=Z)5{%{~dh8H>v4ygF5!%HXfU;Mpj$hs~ZR{zD(Al2n;v*!u% zoH;$;>fOHAJ|5gJ>6w{n)8QeJFR~*ye7EyE4Zaz_bh6yQ_Z|yO4!bRRMxnY*_&3qgkpv#IEB9r_58cVW|RRrkhBEf};AB3ZJ-9((iAps8o(0kA(b(cvK? zrzCQ?!=IaAtf0W5!K40nuGRMkLJ}E?negVQmEYY&ZEU^8rw6Cd)%;={``>0 z;Yp4$^z4%ZBMU!CA}^BM_UBpK_sIhj5;>-=!ebuJECVr-L#w=M7E9z9VjMlF$rAaLQ(iuYvvCUU zv&!6boXu0q4(y;Vo0-{II=m)w=CI1R01v2{ncJtrPnO8NUDzZdqg%9aUS)70Cd)6V zLxr~x^}9~U<9M>AM=AqGLLXBr4^J%W4?ImTo|j3~qk;LUa03(&78h*H7F;CTbD=-qziQ;dmz}n7^-3sW;Z-S7V-TD2+QKP| zlYvvGll_onSPh6T6XD9VYX9=l~XDOw^*oV?@S3rih#{gs3$Scfcp>P*hNv)dPwLk^o9VQWR3*4kAF*e~%oV zsB|G7=wL_4vJQ<9#<*tGfs=*DHImQFOd}Y1xI=faD8JhQs#5hp#sf(J#UMo(i=@IG zM1YW&K|V&sRg>G1Lw}{q_JAp3-e#uO4sv3Qi3)XiSR-YB>PZ`zKj?*VOHz?D$t4YZ@Ve+<@$uB*S&T8? zz5mpKk%fmuu57XQ75aAFk&ABW|MXYxI)dwtkgcNC19=aGq>@JnJ#)99f~o;)?&n%Q z6%CZ{E_Ha=5fu9O$X&2w-j{VjT6x9v%8{L_x}7R83U5ZCjss=CIXgzJohvMUfXmxt zKvj4LBY=?iqz>Kt1gHWJ%O*nh<;S%BUV9915(X1}FjOWp7k6L3*7VHGjL_jBB1#fX4=5f`Gc%V{;T`Z36FEd;mhRBX4}qJ*vV^hAP?3-CGNz_l{WjR9yw$Y&ZLOY} z*<^HhP2|jBm2m+c$fr%wI2GPO1PCQF1F}yFJS>|C@v`eYyDf`t}@Q(0W~voyHt1w{3#MS#<6%pMmM;?h8KIsmL8nmJp|v7jD=i_ zC+y=QCk}z0Az6a7V94;qB0w5zz|&;Voe&M)f*}t<9dXVEFg7M;!HKjPa7DO9Pn@*3 z7#yN!wrM(aJu-V`BIr%&#Q}IMDrugyeVt0=#353RXg#N|q_gl?l@cIaeguc=Y6{S+ zc{t6f=(TqpCIgrdfvfK{c$s*-@%y|x=(#4?0i zL_ZXEtSZG=Qj`4nNmOfYc@ay5$EuW|F^XBd{qbwzB-=&I;6jJr5z-?ZM8Xarl|j!w zIWS~+Vo|?)`&awowOu`+dLWicvltV_ba;r!%&O^QRM0CgGGonB5xc7 zYa(avdoTX|uF3aAdB zlgJ&7fk!6qhh-BXfKDYkswzN5&Lj)iSyk$pnfXVDhls2@a^|>MjBb|HAt9uqO4S1w z;(@taP~H5wpKJM3UU57X9(M%F2e_zJzRwHU)j=jeaBcBagfP8w^!e1czRVW1%$-%@ zwl--k)i5&^Imm?oib2|eyHAc7c;w-6N6-P5jZt()>gWm&8J=1MjWftAnIWf(IKBIx z^-f)$mofdWzxhxzGq+TQ$B4`x{18Cye?|NI_{$`*1_WgyoW5)t1#Wj`F1tDvR`|lTzRdl4 z%WJ|W7Wvwkpa3N!tOmr_nFPe{b#vn@OtNre2@8ck#(I(qUv}EU)$O$(PKJl7lnC)s zEj@R*!d+E7T?ok$9gI3~vhcWpxFeLsyB0Y?2J(#Za0hI#$ZO23ai+5}st1Axk^o9V zG9Z#y74859LS6>j7)Adcg%#ky&kuohSgCqoss}mTZpL&BQ zjwhUzEl+fyQJQd$usqGZBkN$wxI_*p9(j1!kxxnFaL2Tx6__xI>?HJ9a61-Qap(4c znwhzAD%=4NguD#u(F$BMh2~~1eGH_cO4S1w;(@taP}O{^_6|GEu(4p~BDEbRV92 zLqzf~AY&Lat@vES!=WcAi0}?vL|%k|F_F57_;GN!lTUDLq=bv?69)Ahp#(Dt>xX>@q3LGkjBj8OQpr;?iHx*tx2 zrxpP+i>R(ZyE(|c4aQ|&#~AKxk}>D@5{3y7TF@y#sq2+ zNvsCM*wCN6-dySMShwwAxfn%XN)AmKo>-J! zB&oXEsvbx@P$ZGpe~&!zac!K}1A1m=w(0Pi$eF_`;{rTTB$4m-&*L^5al6gnaoI%3 z1^BF*aL5Dp5MWk+J4^O+1 z4G>PD$i&hrGzfFOmc9Gza?Ub>m>F(i%LVXJ$479Uiw94ua!jRDp+O z6Cu!iFUWrha$qKNGncLwK0Si!;y%K8RdnA2?LK3XwwbBLL2i-EMS9|npo3PH%8)Ka z>cAD@JpTgP;;96=5=wVEC+|~>Y(eeLpkh~JlKu3-C;M+ZM1D`nY|yu$1dkD!SvBH^ z4HlTZ$i%2;R*hg_?JZAm$Ah82Ugu2vwdP>F9Anb7boi+fxnx=8RS)DmFd>mcg$kdQ z$e~qUWQ!$o3^9%#RB|5Yk{&g8pD<;N0$A&HIy}oU=KJ@a9NJlUP2_v-s?Ce=fSQ@P zg)01HiF~C8K}BvN`}e5KO$UGfSIKd(I-8lh%Zz1Y;osdT@K}(NR28@J0rdA%FSkzZ1m|i?Dlc+}n^Hbpl zC?G5@*qAN2jK~@brVM9}3i7-v<&nXeF^1o#&6QBZGK4>A`!W|VFITec>!e=?>4%fy zyv~IyILv9`l*P%wsnf}qjNrFY{4bLvWg=YJ8;u6P-Ick>KgW{%_{mQD<6T)xzA7bZ z404+mAx4uAkh_e$H*@WGWsI)TA=K)h> zXq?x~p$N?f?b_T;KUDbQEU9$3kL6iPx3Dq z^S-QuFMSY;m%4H6{U+#2C{Es0!~O0z?ey$cbSL$dCY4;E6@p zQ_LYKS)MS_2Sa5t^NHN2XJ%%E4i6DoI*~K8Dx-Qp@qn6{xtt2`fS;JiAyPV#V~lb1 zppx@Amw1$&fW17Zo|&1wI=m)w=CI1R01v2{ncJtrJK#@|$m=QZ{yS6VrelAUmA3WF z%qFG7Ya(Y3tBecqfSQ@PeJZ>I{sf8KU>u7lWORcIoLAupwWSBAcMrigBx51h;t9u- zkrRhN&yXxZS}T`fIFU93t_Zj2iIWB-&@K>VJA#h56q!$U-7R!ufWO_+&vwA@HK$>Mkkh+|Z4iAak zdHL}%s=yPAvWp~VZsk`GkO%b4%v_|yLqyg@&Ky@6=ktJ`nVDTWJS6hQL9ixr=Dr8R z5N}0~p1pW0Izp?SnVA9|9uj%|sW;}hSqw8v>W~l}Xp|;bWPom_Ry{K(N&(g3a}v3u zG4ROb{jh8z1kkBOM^y!=$eCmTJF7}PGc*6_@DP!8N6s8Ki_y)JIwXWtROwg`n405` zbzzmS9$3Ez=zGjcOM0LkDzn3ezvdhUnZziP$i{s8Qzv5mpsLS?q5fVcQVu^vuG7Jvu3YPVOA zH@F4|Im^p{A(9M7Ov56FiyQm6`5#`Ds9{aa-nrzJ-Z=w@inHjQ6XB^ZckWN#5S+=< zzf~*h>zOhf?>gS%o2PUEw|{gERZa8N=D(on>DAk0I{n4a-{3Cr+235s|6A+W%R9el z-uXpGY=3dYv;XdqPA~93bS5JO5nuA3H%0&&|k|M$uN2gsJQFaQ7m literal 0 HcmV?d00001 diff --git a/adder/adder.tan.rpt b/adder/adder.tan.rpt new file mode 100644 index 0000000..f0d5cc3 --- /dev/null +++ b/adder/adder.tan.rpt @@ -0,0 +1,228 @@ +Classic Timing Analyzer report for adder +Mon Mar 07 08:49:27 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Timing Analyzer Settings + 4. Parallel Compilation + 5. tpd + 6. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++------------------------------+-------+---------------+-------------+------+----+------------+----------+--------------+ +; Type ; Slack ; Required Time ; Actual Time ; From ; To ; From Clock ; To Clock ; Failed Paths ; ++------------------------------+-------+---------------+-------------+------+----+------------+----------+--------------+ +; Worst-case tpd ; N/A ; None ; 19.344 ns ; A1 ; CO ; -- ; -- ; 0 ; +; Total number of failed paths ; ; ; ; ; ; ; ; 0 ; ++------------------------------+-------+---------------+-------------+------+----+------------+----------+--------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Settings ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Option ; Setting ; From ; To ; Entity Name ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Device Name ; EP2C8Q208C8 ; ; ; ; +; Timing Models ; Final ; ; ; ; +; Default hold multicycle ; Same as Multicycle ; ; ; ; +; Cut paths between unrelated clock domains ; On ; ; ; ; +; Cut off read during write signal paths ; On ; ; ; ; +; Cut off feedback from I/O pins ; On ; ; ; ; +; Report Combined Fast/Slow Timing ; Off ; ; ; ; +; Ignore Clock Settings ; Off ; ; ; ; +; Analyze latches as synchronous elements ; On ; ; ; ; +; Enable Recovery/Removal analysis ; Off ; ; ; ; +; Enable Clock Latency ; Off ; ; ; ; +; Use TimeQuest Timing Analyzer ; Off ; ; ; ; +; Minimum Core Junction Temperature ; 0 ; ; ; ; +; Maximum Core Junction Temperature ; 85 ; ; ; ; +; Number of source nodes to report per destination node ; 10 ; ; ; ; +; Number of destination nodes to report ; 10 ; ; ; ; +; Number of paths to report ; 200 ; ; ; ; +; Report Minimum Timing Checks ; Off ; ; ; ; +; Use Fast Timing Models ; Off ; ; ; ; +; Report IO Paths Separately ; Off ; ; ; ; +; Perform Multicorner Analysis ; On ; ; ; ; +; Reports the worst-case path for each clock domain and analysis ; Off ; ; ; ; +; Removes common clock path pessimism (CCPP) during slack computation ; Off ; ; ; ; +; Output I/O Timing Endpoint ; Near End ; ; ; ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2-4 processors ; 0.0% ; ++----------------------------+-------------+ + + ++---------------------------------------------------------+ +; tpd ; ++-------+-------------------+-----------------+------+----+ +; Slack ; Required P2P Time ; Actual P2P Time ; From ; To ; ++-------+-------------------+-----------------+------+----+ +; N/A ; None ; 19.344 ns ; A1 ; CO ; +; N/A ; None ; 19.220 ns ; B1 ; CO ; +; N/A ; None ; 18.199 ns ; B2 ; CO ; +; N/A ; None ; 18.173 ns ; A1 ; S7 ; +; N/A ; None ; 18.049 ns ; B1 ; S7 ; +; N/A ; None ; 17.501 ns ; A3 ; CO ; +; N/A ; None ; 17.423 ns ; B3 ; CO ; +; N/A ; None ; 17.266 ns ; B4 ; CO ; +; N/A ; None ; 17.091 ns ; A5 ; CO ; +; N/A ; None ; 17.075 ns ; A4 ; CO ; +; N/A ; None ; 17.028 ns ; B2 ; S7 ; +; N/A ; None ; 17.000 ns ; A1 ; S6 ; +; N/A ; None ; 16.876 ns ; B1 ; S6 ; +; N/A ; None ; 16.330 ns ; A3 ; S7 ; +; N/A ; None ; 16.252 ns ; B3 ; S7 ; +; N/A ; None ; 16.095 ns ; B4 ; S7 ; +; N/A ; None ; 15.940 ns ; B5 ; CO ; +; N/A ; None ; 15.920 ns ; A5 ; S7 ; +; N/A ; None ; 15.904 ns ; A4 ; S7 ; +; N/A ; None ; 15.855 ns ; B2 ; S6 ; +; N/A ; None ; 15.259 ns ; A0 ; CO ; +; N/A ; None ; 15.157 ns ; A3 ; S6 ; +; N/A ; None ; 15.079 ns ; B3 ; S6 ; +; N/A ; None ; 15.027 ns ; B0 ; CO ; +; N/A ; None ; 14.922 ns ; B4 ; S6 ; +; N/A ; None ; 14.769 ns ; B5 ; S7 ; +; N/A ; None ; 14.762 ns ; CI ; CO ; +; N/A ; None ; 14.759 ns ; A1 ; S5 ; +; N/A ; None ; 14.747 ns ; A5 ; S6 ; +; N/A ; None ; 14.731 ns ; A4 ; S6 ; +; N/A ; None ; 14.635 ns ; B1 ; S5 ; +; N/A ; None ; 14.560 ns ; A1 ; S2 ; +; N/A ; None ; 14.436 ns ; B1 ; S2 ; +; N/A ; None ; 14.088 ns ; A0 ; S7 ; +; N/A ; None ; 14.087 ns ; A1 ; S4 ; +; N/A ; None ; 13.963 ns ; B1 ; S4 ; +; N/A ; None ; 13.856 ns ; B0 ; S7 ; +; N/A ; None ; 13.695 ns ; A6 ; CO ; +; N/A ; None ; 13.614 ns ; B2 ; S5 ; +; N/A ; None ; 13.596 ns ; B5 ; S6 ; +; N/A ; None ; 13.591 ns ; CI ; S7 ; +; N/A ; None ; 13.448 ns ; A2 ; CO ; +; N/A ; None ; 13.408 ns ; B2 ; S2 ; +; N/A ; None ; 13.338 ns ; A1 ; S3 ; +; N/A ; None ; 13.214 ns ; B1 ; S3 ; +; N/A ; None ; 12.955 ns ; B6 ; CO ; +; N/A ; None ; 12.942 ns ; B2 ; S4 ; +; N/A ; None ; 12.916 ns ; A3 ; S5 ; +; N/A ; None ; 12.915 ns ; A0 ; S6 ; +; N/A ; None ; 12.838 ns ; B3 ; S5 ; +; N/A ; None ; 12.683 ns ; B0 ; S6 ; +; N/A ; None ; 12.681 ns ; B4 ; S5 ; +; N/A ; None ; 12.613 ns ; B7 ; CO ; +; N/A ; None ; 12.524 ns ; A6 ; S7 ; +; N/A ; None ; 12.501 ns ; A5 ; S5 ; +; N/A ; None ; 12.488 ns ; A4 ; S5 ; +; N/A ; None ; 12.418 ns ; CI ; S6 ; +; N/A ; None ; 12.408 ns ; A7 ; CO ; +; N/A ; None ; 12.277 ns ; A2 ; S7 ; +; N/A ; None ; 12.244 ns ; A3 ; S4 ; +; N/A ; None ; 12.193 ns ; B2 ; S3 ; +; N/A ; None ; 12.166 ns ; B3 ; S4 ; +; N/A ; None ; 12.007 ns ; B4 ; S4 ; +; N/A ; None ; 11.786 ns ; B6 ; S7 ; +; N/A ; None ; 11.579 ns ; A1 ; S1 ; +; N/A ; None ; 11.527 ns ; A4 ; S4 ; +; N/A ; None ; 11.489 ns ; A3 ; S3 ; +; N/A ; None ; 11.458 ns ; B1 ; S1 ; +; N/A ; None ; 11.443 ns ; B7 ; S7 ; +; N/A ; None ; 11.415 ns ; B3 ; S3 ; +; N/A ; None ; 11.378 ns ; A6 ; S6 ; +; N/A ; None ; 11.337 ns ; B5 ; S5 ; +; N/A ; None ; 11.243 ns ; A7 ; S7 ; +; N/A ; None ; 11.104 ns ; A2 ; S6 ; +; N/A ; None ; 11.091 ns ; B6 ; S6 ; +; N/A ; None ; 10.674 ns ; A0 ; S5 ; +; N/A ; None ; 10.475 ns ; A0 ; S2 ; +; N/A ; None ; 10.442 ns ; B0 ; S5 ; +; N/A ; None ; 10.294 ns ; A0 ; S0 ; +; N/A ; None ; 10.243 ns ; B0 ; S2 ; +; N/A ; None ; 10.177 ns ; CI ; S5 ; +; N/A ; None ; 10.065 ns ; B0 ; S0 ; +; N/A ; None ; 10.002 ns ; A0 ; S4 ; +; N/A ; None ; 9.978 ns ; CI ; S2 ; +; N/A ; None ; 9.800 ns ; CI ; S0 ; +; N/A ; None ; 9.770 ns ; B0 ; S4 ; +; N/A ; None ; 9.505 ns ; CI ; S4 ; +; N/A ; None ; 9.253 ns ; A0 ; S3 ; +; N/A ; None ; 9.021 ns ; B0 ; S3 ; +; N/A ; None ; 8.863 ns ; A2 ; S5 ; +; N/A ; None ; 8.756 ns ; CI ; S3 ; +; N/A ; None ; 8.661 ns ; A2 ; S2 ; +; N/A ; None ; 8.191 ns ; A2 ; S4 ; +; N/A ; None ; 7.490 ns ; A0 ; S1 ; +; N/A ; None ; 7.442 ns ; A2 ; S3 ; +; N/A ; None ; 7.258 ns ; B0 ; S1 ; +; N/A ; None ; 6.993 ns ; CI ; S1 ; ++-------+-------------------+-----------------+------+----+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Classic Timing Analyzer + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 08:49:26 2022 +Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off adder -c adder --timing_analysis_only +Info: Parallel compilation is enabled and will use 4 of the 4 processors detected +Info: Longest tpd from source pin "A1" to destination pin "CO" is 19.344 ns + Info: 1: + IC(0.000 ns) + CELL(0.994 ns) = 0.994 ns; Loc. = PIN_57; Fanout = 2; PIN Node = 'A1' + Info: 2: + IC(6.202 ns) + CELL(0.651 ns) = 7.847 ns; Loc. = LCCOMB_X1_Y7_N12; Fanout = 4; COMB Node = '7400:inst8|4~0' + Info: 3: + IC(0.391 ns) + CELL(0.206 ns) = 8.444 ns; Loc. = LCCOMB_X1_Y7_N8; Fanout = 2; COMB Node = '7400:inst13|4~1' + Info: 4: + IC(0.387 ns) + CELL(0.370 ns) = 9.201 ns; Loc. = LCCOMB_X1_Y7_N4; Fanout = 2; COMB Node = '7400:inst18|4~0' + Info: 5: + IC(0.387 ns) + CELL(0.370 ns) = 9.958 ns; Loc. = LCCOMB_X1_Y7_N6; Fanout = 2; COMB Node = '7400:inst23|4~9' + Info: 6: + IC(0.412 ns) + CELL(0.650 ns) = 11.020 ns; Loc. = LCCOMB_X1_Y7_N0; Fanout = 3; COMB Node = '7400:inst28|4~0' + Info: 7: + IC(1.736 ns) + CELL(0.206 ns) = 12.962 ns; Loc. = LCCOMB_X1_Y15_N24; Fanout = 2; COMB Node = '7400:inst33|4~0' + Info: 8: + IC(0.396 ns) + CELL(0.651 ns) = 14.009 ns; Loc. = LCCOMB_X1_Y15_N4; Fanout = 1; COMB Node = '7400:inst38|4~0' + Info: 9: + IC(2.039 ns) + CELL(3.296 ns) = 19.344 ns; Loc. = PIN_58; Fanout = 0; PIN Node = 'CO' + Info: Total cell delay = 7.394 ns ( 38.22 % ) + Info: Total interconnect delay = 11.950 ns ( 61.78 % ) +Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 212 megabytes + Info: Processing ended: Mon Mar 07 08:49:27 2022 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/adder/adder.tan.summary b/adder/adder.tan.summary new file mode 100644 index 0000000..b333f61 --- /dev/null +++ b/adder/adder.tan.summary @@ -0,0 +1,26 @@ +-------------------------------------------------------------------------------------- +Timing Analyzer Summary +-------------------------------------------------------------------------------------- + +Type : Worst-case tpd +Slack : N/A +Required Time : None +Actual Time : 19.344 ns +From : A1 +To : CO +From Clock : -- +To Clock : -- +Failed Paths : 0 + +Type : Total number of failed paths +Slack : +Required Time : +Actual Time : +From : +To : +From Clock : +To Clock : +Failed Paths : 0 + +-------------------------------------------------------------------------------------- + diff --git a/adder/db/adder.(0).cnf.cdb b/adder/db/adder.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..319fe50b0dddbd4f197efb0bd23188bc633c6e1a GIT binary patch literal 1879 zcmV-d2dMZD000233jqLb0B!(300011WpZ@6CZg@8U0000009*zD000000G$B< z0000009OY9000000C)lHTS<={H4yHZum>b25`^`{2?dGiH$x_H>Le#l9OhRbB#;1! zV}2P(Aadi36e$A4l7QF+5__8Ob62_T9=~o^+<8ex?NL9w%T@KcTy}YRZ`!tPZ{T^V zs;d8xZ{h)zPg^K$;qL*V@cm!3gZV$mNj||3B<2@ib47fRLu*3XnDqGrUuK7Te~wqr-qX6%=Wup?`2;-T^C_T z(q`=4BJ4v)g=;!xVbp!DsPE**2MJ+#!`rM2ijTW{9w3bbd_*!!-a!S$UZ19Y)gl1dV~ymJoU<9 znW7J9$CK^-6a8k2vt4(MV!WTuW7aQqgP|g?cX+>`d0k>V2Y%No4vxFN?r#L0&t)b1 zVz8pI4#qafqLq#vK$`jx3tvN;CZQ+gsB@j%g4gxq(w{n#s%jZ8{rvj~bBF0qJnSLv zX*p++H<(lYR^tpSksii#u)n+e%Ij~w^X}fuB$3VCZTtlt`jDpSUKHMY>+Lu0NB*J` zB_|%lCh*Rk?eO{yG&jbI#XM9S;(a=(BOYT#o_+mcAs6rYupubh?CXzJxp-Gg>{~hi zxfbW*Jy&Ai%I?1}i|n)e@7W^z?Ed?<#J<|@ztbi5)pq~=RAisse>+9?+5Pvs(Y}*t zpO2q*_fb4dQ{kn9lkjQxp6s4H;#BmEtg}0hfjdl7);$E~gMG2i3+9G0ev#mXP*CHB zSMq0sDKf6V!penvB*YRpLZKPl^;X{@Crb<`#`X73xo}ja2`HBy$D+q~Sm|<>nYb7t z^BZf_SAH7&+O5o$yK?(X8b}UjJ_;1AA`w|!I}QRXhtn}C;y9!|PU(Wu`zzVWOOJKC z$GdopaoW?jZmfrc-}#oofySj0gY)ICzDd;!jclj7nL5TMVTdeaY{A*-n6vSAPA=HT zETzlHCambbWKuR%Hc5t#sBDWi=_KtCyf#8gWy*G^_8Vt8+n8#*(9{IHrr5SY#sNB2 z6^gxryUssuflh4rXKyFBS?JbT zeT0M8P3dGiIM$H6^a)~{mYJij`VqQ0(=cQ!{mN3et?>oXIf}THyKi;tpb8-;-uD5X z&Y~Dkw#obbx7xXQ!ygO~54L&x@ZNyrx8+z|s6R}6$K{*H8?~>tp94~O9K-6r zQM}bW_WhELH;;Xcfm!zL&0`-faWe7j_I;d~f3yu3WA50fUnIrzK`&_iZ$z>$XgR0D z#PNg2?QvK)j^{S5PP}mbwO?h~*GG$7ydwKn_IS1?zO-NG*tgoS|5o$)@0%?9HtfH8 zKL1_Iv9I2+|LXbt$8~*jzSppLK~X!q1o+IUh{1p}twi1G?DP$jM+GC)wmMk)ut{1Y_FdNR*>ZP6K1waG^|1 zIojkLFs2PRzPZ}u1Td!U(R^*P_oudPJpMZO3Wf#=ZlLMLodh?HPmAG^2tDRGxDN-n zQ|fdCm&!c~*n1Ifr6UpC@i$8F4U15?8&(d7f?vi?h^RBzQYRu^Uy+bT;hr=?*_lMq zqqRqQY*K__CPH~KC2|TxuateEBF-<|=_%oeEzVlV#v=F@(-YDnM^L!mGNL?VN8zr= zi1M@@g?kSp3jU+S+GE@S7*Uem*TL^xdBiW_G)=qmi1(kc+Y*k`OcZS?yFe2I1lJ#Z z4|DSK(b+t#!@;`Y6Vh3x3@@isSK+s-j_n#QCu$$-hAFJOZ(w6sHztQOU(~P1Q4T}d zC;lP{Hfq{I;X`zRntii5|IOlO=wZwq({TZOoO>^TkL#)IhZ}@sC&%~OO=Hkv8#v*0 zillN5RfbVUEb{U;T4i(c>VE(L0RR7Z0TN(i00MR(76Rg6cfWW)*AO4i;E;G%zmTBF zhVVZbj35;Z4q%djfeA>1lsdaO#Rt1PhPc8sRK8Jf;Q%Y@K~gG(qSV>nHy}R1KL~2N zb5!~Qkkt$f0$`GXp%X~+12K~6{w}T)Rxe(_30C0&RTl-ML3$AC{6k!Wd^hRuW?^M; z0!o}*uA;@NKAa-_ljQ4l)a&-<_m-N|`3oOe5RRi)nGZ2da zF~VjKPuC#FAZL%rr*rpiW?}#Zmkto~qA2q8ztz3>4l@HNJYs+t)d*0y`1=7vJXPn_ RYc>WApn?fN3;+NC|Nm$aoK^q; literal 0 HcmV?d00001 diff --git a/adder/db/adder.(0).cnf.hdb b/adder/db/adder.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..bbab6ce45acdea3da3d7f714229d8b91c0bdc699 GIT binary patch literal 1270 zcmV@6CZg&y@0000002>4V0000007?M> z000000QLj`000000C)lHS1ofCK@eR&ivmPs;WdpaKPkq5u(@ zpMXRnkw|1D5*ZnZj7;%$yzcqfeLK5%`vWpnecRLhru)sC*$u4M>ve%Khy8+Td>99L;Oz4;qb}pH}5~VDNpwVGn>foe8Q>5)N!`dg=1Yf=9HzW z<&M^O9O=RlBe|pHj@Eb7-+9RkxufBZ#&>kuk!u?6Xc}4H(dxoR3;Uq1>Xc@_pV4K8 zG839Pn)zl!T{WbP5?CDE*N)S%o}&kZNzgycjPc^cDQ7KbEoU8Pou2P9s1yp8%5fPu zhM=D3l2;oK49Z119vPGlLFRAbO1!yO4h=aCK8id-E2#@(lj;ih?LPeP*dY!bb> zdb~0vXX6A@k6|uvQ05_25uR?J4JrFzuT=45To!YT{hX7c7aGn+FrIWto+(@uIv z^PVPWW?E2*&rF+Zu@)K{qW3_FQKaH!l49x+N@-C1I1m)QkrMFW za8+x!B}9yJ^QRd@Im+fJo18z*Yya-J3=69X5oI2ma>aN5;M&K8XSS2=*2J zU6}t<2uFsZ25>$DAtru8?O`HAQ7dE%*elpROuEe`nh%JbFp-D&f|wIxB`FXSUT{?9xgeozSp{O%t{j?~= zPbeFrih7D}M+k_CA5nISfQ*eD?RKq4zRU&%2(c1)i`JI3?quCdCrdiD-Vj2xo*N-L zS@+V~^wQdF*P3?U%9;>etW7Vi4b>Xp*xK%-VV&eo!su-zjapd~qLVeDnm6PQ_{le9 zqtp?!U1@`IGW6|e05jSnPZs? z_*#ax)hrX~7-}YG8teRsb?6>-)*(Kvb?EKrs$;kFp%V4xybzmWLgkRVS#_jn*PC^9+HxQQ98oCT^W3P{7u_jL^p zc64`zD0Pirvm9h10|N(`WMBX(l>%ZX$6(ipcn?q4AjcqQkI47{|Dcd~Pd_(*Ztiso z5OW2fT0jPf0I_edJHqwB&K|D5jvp-R_1VG7kj>|ZD)w{%y4TYUXvg0cO$~5JFug00000 literal 0 HcmV?d00001 diff --git a/adder/db/adder.(1).cnf.cdb b/adder/db/adder.(1).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..30a85568aecc8aa51d165564b841663fc740e3be GIT binary patch literal 519 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXATMHA=2p}+IgwUx_njI=$!PqkKHSZwhdXZpZ1l^d8>2fi*YaaZl>}c}@il&d$%94ClQH zx$wWYa;M{Ljk{(6`C0GwiY${2`pKJEuyppiyEfD9fPVV_zk*RHA(??mK#}Fh!FP2Z z>((jS%{!?0ab2CF<3!$v^+pnoS`sn`RG68CC$MRjmKApKmzDDrwq~xVS$yvhU)YuA zB~#=>SMKkA-Ey^Cyr3bp!r|&hrc6eW(tnEU9QV!sQoebE(FO)pp8~BfESg(x?m97} zl`*A@DMV3y!ZWs{^z!2s_3HZJ&nJ|6&i8d(#FN16XmUdNjDrr-5svc}6}#9h*(|GF z?B?z+Hx_0-@!rbeO!uM_m;Z--zh`|W;jN*AWQf1j@84Eu3nGskV!QU1c?vt&R{(w& B)A#@Y literal 0 HcmV?d00001 diff --git a/adder/db/adder.(1).cnf.hdb b/adder/db/adder.(1).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..47951410cf455f01673a551eec1bb4d7f6dff951 GIT binary patch literal 465 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXA8xJGU2q5?Yp%}cN{EJY!g3+hXnv2O$f^Bg` z#&bcN#+*%zw{5#RBC1vT6Ej~L+B7Zw(PdmH{;iqw^L~Y;Q-n_N?E4|{``FF)n={Jm zpVx3*RR66qf7>+uX;UmbtUZF}86?&;iEe7u&eLyxsWYvhMcU@q;*Qz74BYi<{_^Z{ z*PXGe;Q6cgwgtN<m3#R{?`?bE2Q_I z_`&dh!GsEbd-M9dccokc#jFdW9N0P-Hs)`zKJ@kAIk|QF`s8(9f4zC|)P%Fo+qJ%x vFz&j3nK$G3eKB9&0uO@&b9jtIo)mMgh$;^kFFDNXT;IK+$+RJd9qcgxrK7a6 literal 0 HcmV?d00001 diff --git a/adder/db/adder.(2).cnf.cdb b/adder/db/adder.(2).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..7623026a7e6a1b950ec466f86611bbf437f575d1 GIT binary patch literal 521 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXATMHA=2p}+KgwUx_niDEs!PqkKrr;q19v9g| z?5-DB+!3 z-CciDc$wVo3|X#AY>f_Ewmw=sd9##j=MrDfq3I!`3_+z4Lv%(=qvUXt$nQ#{`{_4_MY99X!p_@IP; zX<0+O!Lz4qYoC2<{2WmHeyPFR38(Tonzt+8EqVKF=ND!MhX4O77=;p&8JGkVS&kfh zSNE}Qoub{mgNh&5)hRko-|R2tn>QG3U{Lib(E7rnx#i}r z6Ej*FQ@WT!6xAm@V@paeKVDItsKsDFFJAgf7thX)@Ksl8ahaZ_*?z{ZFROF^2i~!Yj2sSumj`j G|9=43Ue#9s literal 0 HcmV?d00001 diff --git a/adder/db/adder.(2).cnf.hdb b/adder/db/adder.(2).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..6ee954ede2f04220fafcddf00dfdd21da8ead949 GIT binary patch literal 464 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXA8xJGU2q5?lp%}cN{0mUJg3+gMC#RF61Y5YF zq45^3ME)xmE(rCwt~qL?bNs@E3r0t>=SJRd{(eyBbNq&_*Q8Fc?E4|```FF)n-j{* zpV#nQT>e94{+(0e-d=B1zfYQ?-Xk$Tv3t&grrX*HW@5%S8V|ddixgfKdv;>(`uh&w zHJUTI!`J;w<=Dl2@|Z|i>fV?){Kw^iPW%7Af>9_TnSn__k>$w2cXc1_{+;VnocGV6 zExq8x%X_iz5{_CDG6z(cnT01zV@#TGiQ)Q!gau0B`zQQ(FhRlJ{(FUjv4)Z5r5&A; zYv!=7>J;@jeCB&8FJDYurzV_z-mdkn wgmKsP%e)!K?~D2J7I+vOn8RZv@}!t^MO1mXc*$X2=lbpqO{NVw>|l=p09$Ohpa1{> literal 0 HcmV?d00001 diff --git a/adder/db/adder.asm.qmsg b/adder/db/adder.asm.qmsg new file mode 100644 index 0000000..18457b0 --- /dev/null +++ b/adder/db/adder.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 08:49:25 2022 " "Info: Processing started: Mon Mar 07 08:49:25 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off adder -c adder " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off adder -c adder" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Info: Writing out detailed assembly data for power analysis" { } { } 0 0 "Writing out detailed assembly data for power analysis" 0 0 "" 0 -1} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1} +{ "Info" "IPGMIO_CONDONE_ERROR_CHECKS_DISABLED" "" "Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled" { } { } 0 0 "The Active Serial/Parallel mode CONF_DONE pin error check is disabled" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "242 " "Info: Peak virtual memory: 242 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 08:49:26 2022 " "Info: Processing ended: Mon Mar 07 08:49:26 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/adder/db/adder.asm_labs.ddb b/adder/db/adder.asm_labs.ddb new file mode 100644 index 0000000000000000000000000000000000000000..94fd6869cb87c2f17bbc1f204243216ebdf75d22 GIT binary patch literal 4100 zcmb_fc{r5&-=3L7P7_&9c8x;R!I;5hB#JPa2t~4uWh&c<$yU;6u@u=xX3kU!gEqt{ zTiN#+%b*&OlVv*8?{&TZyw`JG&vMOo`P`rH{kiYYNJ1cxM;oCK z5^$LT@6N<9vVRB(VXTWp><{-3@ef+0;+ zuZlWeEtRx{?+QF?8vNW)Mg^T?KPt+nxs~l=6GlGws%nuhJ*^xrMXmR0E5f?JseC$N zIUJ)eWl=I=Clbgnn%gK^Ubp;79#!`#Pq_wFGwaxOXKTgfg}G;rULiT~#bUN<)+h!m z$#@sLFrQ16>~f6`i*Vjs8&$D5UOv}3s#?L(lVk3o_2@4Dnj1Trd(+f-*Vf1jI+LS0 zhF`@}`Ervxn7bss&ez2(*rFFomu}U0by~l>HrrE&A%z%}hn1Lq{magzSI`rZH8*i1 zXn9}P)PFF7$rr9>MRA2J&nqu%^<#iRlo#;+{0Q zoAt{v4XVqp3>-#m=-QIWxWtROb2Hp21XpWjwR4gf{PmF8G^z8$sB6F0d2~|b{f_4Z zPnJworS_-kZNgw2a%K0{+G0-fbmZuC!NugI>>ppe6Sy75z37&fX z^6U)NdUB-v^@wEgbu)wN+xWBCm-OmAu72gupD|E!XPpkUO)>NmQRTjRnRb!{ID7e4 z#VAvNWt;RE@igDyI`KYJgH%JN@O(LUQ z!@oLr%qmiNnet6;GwetS8b*Tbc}-JoDURhKndqH+^GTU2#yA?2da%x$*!sEn!T@nR zU}pA>3v60)PisPoGP5B>p8u;cm6unvYnw&a;E~wzc?Ua7`nBFiQs|P7;x9=d6C*F; zxZ_HPxbGinXUfF!yj-8f#&rdAtT5Muzd!qU1&3jp(b+^Y z?)_9B6Jb<0Sgc>X!<16xKuGE?_x9}4HFY4soNQm!6OK&8i+9RGFHyZ68(UlrQ8o&i zTI8J0wd|>q| zdt&RcX1qlN5QHV2&7m{8HWm=%MSF|+*M~mlf3D(CwuJtkek75;ud#uUhQgmQD687n ziIl=Sr@$Tmi5!L}IG(iAF1_vMul}~RHI(d}f_Ic)%U|WTBZxGSg9Pl}#YKXydPHP# zkqI^^;A7DvhwIx3gsb&!hwN`1@8Yy#;1q2G(fB3WpQkDpRK3dr zSk^Qx8QLQLBg#$U+|%O1Q;|4gyKS--h)>H!CT9s z$7AlHljzNCL%oRYQ2l<5Ea=N&2L`RGG41WZFt1}GirR5sN<2}7#s8CZ%v2Rq*xk&L z?BTc?Uah}`lC-CXdL`1eWQg%Q^2M0xgi3koD)G8P^IKKcZ|X$~hBLfU-@2WaZj^^p zt*BPURZpKNIpfT~?XI|!I=lgPLy^nkM8SP+{Ov+&%s#?Q*n5HB>(sf1-i_zAS z&Iu(CD`RqIoiym49F+?Dy9UQuyQa<ky z!{3YL_PRyT0(|uDJA2c)PgTy!2>fYctgo4~zPTlBYH*n6FZ%-hrCkY#|H~&yk$ExL z8pH$_#m8LLFe`dOA-hr!zr#VZ6K-!K`Bat(oP|c^>sW#)Fa=M8rf4r+xgKN(Kz`@f zKSVmF0jAJ%;)#a7Oq*#sk=lfvNVsaJ*F65Ji4s*_|_eFlfus7zz0?RyV$xy7( z=*!61Bzl4vx*+2rE05jebmB5%3-<6gkoCC+VF1XO!e=C%FXOwq3Pd9 zWSlna0Y^%wp#_J=U}9b&(;*apK#eHcFj4KM{8Fx}!6s0Rh6_=?zxfoXnKX$IbMbsW zn=`rI2?<|!%7ttl9I1c%ibVDitJ*6VU_JU@OKg2FyexJapO+UvklI*{)T!%KlH)Qe~y_(~1P;wEx!^e=<={qmO@YzE_T z-b~Yv6hK}3p5>pyfFoDc8dO)76YB?ICV5;ypzoB&K$Q$>p6U!GUJDXhut6TwP*`Tr zUX2tL8(2niwvu$3O%MSI%k|EE+`<1T=(2^WlR{c>?D^V)pVmm@nvl;KBsh>&H)}vkYmN%~fDtargF!N>i1>cN(7o53O zg#Py|sx$0yzA@OTf`I6u(tA~TPpqK)jbiA|ZO%g}M}leX=|yPpz>o*(0fPYQWq>|F zpS`Fp9}i_c07ko+I-IQjp2U*2pofc&ia3QeOJGwdt|x@&mkx#kf)Ywx=4 zthvg2R`-qY>XQ7QvpkKT#{WPKodc3ZK(AJtm@?Bez5ais8lXH>1A#L(Qb*hc8Uqo_ zCQIK;&QEFb6ZZG;AF>j1l%RdcHH#?Rinf@P6AHjS(djGP#w>WYrpv-SUTeIUfRP&?YFpz zS#yU|0WzdZ(4k<*@Gmv!Q2lioa`*-${Ei18(Ibx3+!2i|sgSpuKw)81tT>d5DhFyD zEEP4mYRI2Zuqg%9W$?tWstwTdrrG79sVh>0KmmvYq6r$uk5q3g1f-YP>=w07C`-^C zy7h4=N7#3k_N#MBa&_Aew8xTd;|>^^T?s1I+N|WmS6#PlRWwpAt_L}bE9LGDV48yB z4ps|*hN1Km8U`AZ{r`dn5I_r4kPZ13(|Dk(`(E_nfTGqCeu?*n2!IYqa3fb%pnESl zYGVk%VwAV2L4c_22d$x$ZCoI{xbNx)Xp*0(0rPWVcYgN~_}y;hqbJL_s?++872exa z98a!wPTz=n4}A~)gW|23^J?;BXc^+@I}YeO1nWMMY;JjM?u9%sVxkk2iOX}&;q)$0nl#` z0-Bx1>EH)0w5%H55m9%twP1TEe?<%eSy_pKqI`TIFipf35uY}~Xw+8g!l)fRX;JoO vm + + + + diff --git a/adder/db/adder.cmp.bpm b/adder/db/adder.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..ecf6a234b44b30bffb9ea553a498afe390e846e8 GIT binary patch literal 673 zcmV;S0$%+O000233jqLb0B!(300011WpZ@6CZj1>40000004D+f000000HXi^ z000000HFc^000000C)lHRJ%^YKoAV?M+Y60h#Cio9YCT$N<#%m^j3&n7$vcBoL{8i zANT=E8iaV59p`2_S;C1BAcQ#SvUlUz-PwukJkRsZTCMgf@i*-$(exsk9Epdrv4CNH zcf6Lq=rfHUSu>-ceTh>?`Th~Vj5GhU@vG~Vb*#wT%d(pd|MaMJeB#&Fh}4NZi&q6_ zmk3k=@ignfa6IJ*P-J;7v*2M-XNSmwLEJqe_srTR!d^{9P^0MXk@LhXt;1gKJ~^te zNo0|Al*24f6+W`Ex`8)niMqAc1`*XHv|@f&byKCQ)$wm&5?5VQM4ctlP?cIoHId`0 z*K<-83+|oA$(e-Kfs>^1W!T9E&egD;X2XHg4uUXsqJAe0dtpCocm3eP>BPMx>V|=z z2r0^@6CZrywU000000BRNh000000Mr8j z000000FD>{000000C)lHoej`kMR~_hUP(X@luAKCO+utf28B?Jk{DPBF%m#6jvuWc zD4-RMfR2SK^#*PI0CWn@;4oU}Wvs~9X=_^wwbrQ}rB-WbL0Y42C2ds@4T5}?1atfU z?LN;rd(S=Rp8Mwgz~=2Tdv^EP=X;-h&YpAb8~Xize?d_cJFWdWEr7?=DJInMvar}5 z=a^iuV4bc{v8gAiU15Ei{ZyfJYUXc;ot1sn!o>^tjGthDebnx6eH!R<{5E>WVSX8e zR-FG-^>Kda<9%3;tB=cr{{GDVap_&=xbk!V{cM`@o`<1U@E^Q$dt5hA@VCz~c7Nyk z+%`>pmcrP|RQ(73!8_(Xn>V!93x%$F)ePY$Go>;8Df7_m`-~X`(Rxh|wXpcw< z7M9j4<2tO4=kt#1<0iZu$NMg8T>PBQ``$V)A5W!q`A$?7dk@)vk<#tvQ?)UB32$Z0AeUUh+n`nICj1kCw5T<4x%6JKyCoPB8P zbb^nhb7wmpJY41|c?02)*`cuOiD!TxyeK-m^-{0m?LN!pf`d%Q&;950e@7qRr$R8V~^|8d|j`u{jj6OPhI=LD^!dx*;Lt>*p*-?emijsZQs7nU;=a zel_$m_cqb}kNKyGjx!&Hb@zS5*yY{y(BQgbtIyGb+r$?=m~(yD+vCuAet@=VzvkNk$W~`$M?sUY=8W5mIK~rF>sc}z*SPU$p^(1?jS$CC;%m;8OU2D(S|G!@p`8norvGduF^e|O~Bhvy*AZj|#elQ`Rw*?6wm zct?kgKmJ5=Qd>UQe_+i3tv*Cz2u)&{q zS*JTQ8{TL(tg(B3+kNfwb;$-i(X`*TUpLyum(4*e9+FhhIi@K7EVJ>+={_C~_`P)R z&i1@fbT_1{{451UeGJUcr?;t}`)Qr|dBeLB#$7FbT>H}I`=iz+=vg-GW9P@&v_bJ9 z?TUgIOt}r~&4v%2&ume&+3@E=WWK(qFP8qC>5rWQ8>Dxm4JVro2fP&xn{D8%c9Yq_ z{l%X%bT10#k8tZBCH`DC8yB`r905n;c>L#m1e`v4jaDKvJNYyzx)zTcpPnbmD%uU zhYfA9biDMJ`r##WV8h}RDnq&XHD<#rI`;Ivwhpk#_mjukxyHYqyI&yt$XjbpNeHu< zxArm}>i3`DFdHxHu<^-C4zOr@Hu&bJ(|p@A?50;I1?oOnVLmu&4t#KXOYVNX`QYdd zA8cyL-NY*I17AG{vAVov9nUr!&NCbC(P}lt;)A+z!s5}E`ODvs=DFtdXUInG*?ZeN z^x2HnD_U$k$83DBK5S8bSkuw>+vby!4dCQ9`20^~2y<<*`UHDU|9K1li~l;&*JGJ{ z#eP0x4&vaBLY}}VcQmN;xx0;QocG$SS<^K2@ zvthqEutA{~W36t3p7DD!E&O4}xxdW@_9L-&;2hY{cILgnZ1`k{4M%jW!}2+>!S8>w znYa8*>N5OWP7LCXOZMun#B6#NK2Nh>*xB##yZ|E4u<=)V3w|c(CWHQdY?bed`vkS; zB;oDFvvlyBcyq)eK2Om~^3~!dqZgqH&<_f7ObO*#pQmn~+Q9fiY_VG@xAzf^jw*4H zzE)6W*`O{pKJ|UT`U#U9yFpmO4u5Gg>4~4G$}0_WL~P-xQ0g6*ppoNOG`>XRCw|0|w+pJno48S+eX*dqedy~R z`$ohB3*Rs^?6KDi2g`+|LIfNwymJLnLJtub<*X2N!XUTC7QROb`osi{xY&QD#=oVS zzHXv9R-cowiZ{m=+LeN;4M|HR0eyj>Cnnqg?8_Q!pN01(m>_U`rl7AZA>cvFw~W^r zG}+`F&mO{^6fV+5M&);=M(>dE2EfRd15gDsC>ZScxMA_5E>ToPp!>1x@=%J1|1w_&h<^CF-DI@g9Mvn64Ir~;HYpr8DVJpycWNlG=EaKuJa4r7@(6DX2W?2XG zub_tt%6_VL4s!US?!HJ`p(DPi+sBF~nL>zr1WP_7DC3lC;P@+ow(Z5O5gWgv4RY@^ zdUz!0M+K>LM4M*_kK8i228ReLc>%ib#}?d!1+Dvny8u{8N2Fu5=N8UhX;qg9iVwCL zeu6F*bngTaUOK<~dNEF?cIe_DUUu@UxpGx2_#jr~A2tZ{MXLKo4%?;OMUsfFwgMu@ zvI_-$nV>wQ?-y}_a^f+rfjb3Uy0v3{2C>8nDdJHTtnS7d&(iU*#?xy&UK7$j_spOr zJNw9*&OAG7I`q?(KV5pfI739pqeFlpNy7c=`(e++cepCB^80)^$=bgTqo?$I1Lb0`2P`)VdLHh2mjW?rYPnag2v-d;#9fr%L_kq9ASQuuyK;5uPViGVRK>DeuPRc;lwZ@jcHSmHeBB z4H5;Src!wxZ=$>#(WX2&b-Ybm6E`;Orp3Cbn1HgG+D!_N6FNJ@$+L|#3Ib768B`vx zl1*txDPPB%`LNMbN^yo1&+|Mk6PTJxrM$*bX;4Nf@5beLyAn38!Gt=)cUac$bDE)b z&kHjML`|hq9)+0}_Q*WXd>wDxJfFwBYam_?%7e)--Sl3nRDOi#QHqLUFm4N*CcLSn zN_bO7r%I$_*2${w`8|_nL7-GB&!d#t7Nj+4H1Hc{=`;iYsrL1%Xqk!iM}#CUGN1g-soA z=2In#UnEuZf_W;QM|qSRrMw&Hcx|b2QFe;oM{2mYljBBTwLOQ*a7qOQ&fkzq{N2i= z`u8TIgSymWNl|RwdOW=m1#@|D#%rSQ(~eV`+%$@A_S}HRzoe><2&EfzG6(`uW0{g0 zpqNQFZ13+Vk1qanH{L!ZiQFh{`0E?rhtezvL@l=V`-|1vsxIp>8yRqJLitJ3UaxBU z{E5k=`h;?NWt$9LGSyHPj~QJ<_<5P#P$u$vGJjGnZqUL8b*ZsDuA%Ho(9XUaJ}xPf zs*`WtnMZEem_@@cRATeBpq>3_;1E73Nkqdub_0pt-7t@H!y%a)exaj5dX7~% z5pedapY`hF#EiQHFY#z6RoN61S&D?}zP7X9BA%*L2qhR$r&6GsN+CClTc+*?jJZ?h zPO5FXVYa%#RoXq=z#_^E^W6=+n&h3KM}wjx$TQSf=1DXV(>&Hqs!nNBY~L;lmEB`g zxD}JKXi9Ft1r%rSe>+9$&JdIQq}qAx2GS!7InUidid?)aZrHuf4Mc)|DN;tm!uffp z&`YEK?W(>h=IITSGsE&M8kG2hhSXT*$+>~taD@z>ROL=FPd5d*;mmAP)W4a{lV~6} z@BwR{M+2LJ>TZ~)xq(fQKU(b;ZjepI_^(|!NN-ln&kdv8fXm9lqZJH9uuHF92AGvx z+dETv?E;ta-GC-uC)MXpp>a@`8q3HOIoEEOoe!|?g7akXq-xy_Jb>rlDax;ag2&=+ z@DH#6VLDDBin_`_>6`XvR4qhf*oube<%iN)Vf8rq+37EvDNqs$D`47R69$J_%TIt0 zuV7$)F_T`+6dzIl4q+PzO#QRW!S&2A8>H))7KA~~i@p#QhgAT0Zjp3BLIsUIeN8RC zKPX)v7fLT>*!=W_Ut}8yp8C;h{6SlWMHfA@4F~2kR0XBxg%&BGsI6*UceNj$xELTj;3YaAe8u2TeY=47E6(Kb*0>@tf8f*mSMdG=zA~k*`fWL@R z;dsOkFlxJ_L>uhz8wE750#A$g0B9n?Y53&NuNe{qqF~+FWYYO&&hK2T)G-~d7RgjA zyC>$46(Mq9kdXmLs?hME0!cZ8fLw;pz#b4gNt)WLI` zc%GCf>O9G|&SgqGza*aPhI!(KUH0cK;<;*=r&Q!88CeNgzr%L)^gUl&qh01HeVTYq zE0%~O1x`KY$#)zQs}ibiSA0IJnJ2NyBW6`X)$QWR9%}I?`?D&c>h2;>{3%s;Ek3!& zwfHm3-jXl{J;@<6ZM!yglRu|rd*=Bfi+P@+vJ+s<_M(=PGbU0dWW$^%dJdM5569=3 zQNi@sHMOw=BpGU&TWy=LA_Bz{^_K7I5(w3MZ_wRpd|qB47SOUD2ZJ zf_TfmT1R7*@GmwG4FFW5Dlqk*{riBWFCdsAw{zuA17-1o?t z%&jolmMP*MNOs6xA^eHOfo_v=mpWc$hx{x{zpY!WL`S*A)-YaD?9xmw{!37N=l)A_ zKcC5U8^Ep0y(5#`jF%+*b|x1H@s-*^xi@BV!Qq4h-YB`vcu*CE%Uvu!`>VF?w{MwT z_HA}j%jAlgl+fu&o+`o*On_ECnxHhoJEwKaI70V;u0@*u39T+;7YDbD2Z@ zG+~dpQNL!q6fYYx{hIOMUKFx3>bFGtF_s#!t>>%#f0@}L{8tuJ0K_|1-9ftS`aP!lXe!P`vUSIJO-cz-3Y6AK>uOWV#_AAZPZ%Dz`E;vK ze!fgED3HY)BF|~ySN={!^k=6OL46>~hu8k5}$**P5T*cf)u>6{n?< zru#hf9OX55z^{3~B}Q5YO4QF~0#LtIzh*oUNWViN7C+8w&3GyPT)!L#LisaR`jjj6 zECWs*FU?lh!*n14M`V?GdB4k=fylZhn6`idz_Yk zZIC}S>ykecw&px!iWNbnOmy=90ssL2|9Amplh12YK@i7B)7Jh-n>Jd1pe=za73!fC zJxEb_*{sQy_jbd}7JLd_ksd1K;MLNTASft8PhOPLKfs$H2wptcqh1tG21-RwiamG{ zbY}84eT@%hH;?(u%=gVqTD^cz9_<*KsFa3zQ6?hfe@{ z8$ly9uqJX!Uo|go?Or_0fXC+XZ8F7TvuKsBI$?BGHmow*MS+dgwe|VS&-?iUXF0fi zoJ_+wdsS7O5n{0(Xpvx8{rhHX>k!9`W@5aeSUOW)kiwFpBMf*&AF)NlX=*L4)21Sq zetaDqXUYp8-98yn#>zN)`{d94ql_Kr6X1FmrU>gR-d?7z1DxU$;33{aXrdZqbhVRs zU9d;Lka!Vh7OZ0|8in&dxXok5b>ku8SY_wl|MCq zJs#r9TZsFeW}I98VP~Z<3KD(iy8Ybiy93jv05{Qh7L8VcRN?q(VJOYJ-0L#~a2_2c zG(XZ5P-6Vc>f|8YL)U3Ezx%X4G)eyZJ)POi4YLGVqzu9W7}?!!oydbhH%00030 E|Cx=eD*ylh literal 0 HcmV?d00001 diff --git a/adder/db/adder.cmp.ecobp b/adder/db/adder.cmp.ecobp new file mode 100644 index 0000000000000000000000000000000000000000..e05efff040e83d1723ed53a45face13651ff8ac2 GIT binary patch literal 28 hcmZ3(&&U7*6?2TAJTiJ>^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/adder/db/adder.cmp.hdb b/adder/db/adder.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..d44f1129df3164f9f0663c60904ee671a7155406 GIT binary patch literal 8241 zcmZX3Wl$Wz(k%pn1PxAbcMmQL!5xAJ0t9!rg=GoB1A#0WTmuAmfswXpDb-GX2bkC2|^JfT9P*5syP*L3eZNNX@(ALY_(cO(+oR^>eov)*d4ZWa{ zFg?ErpMWTzAV0r2y`HU?pQE)cz0N0VXL><;JvDkGTPu1+8%Lji$A~CU|J6W2S*QLV zKuN*)FAC8A7Xwg_vaz$M4YCI$Q3W`oy(}PPIy&?kblwb3{(%yzeBJ^p#JynxNj56X zbF6Zn354Xy9~DDC!%yt{h}%E<`U6e|!BS*BODnKec;IWJ?;c<2zp|$zk>)eyRb^GT zPt|PFNvch&_GR#y=V18r)9G`3S)lL8)xTxV4TIVUmd{q0v|VE<h;1( zbu|WK(io>q`W{9#(2V4QJ=i(7n)fp|UNX+Or_Y)m9R|KSFmJDpI=A##$B_JuA>d{9 zv*+dh5i0bXy&W0H_eDzZv9lHa#BnEWM=%AW6UF2Ur#V#lNrMqNu5s}jGU=Dg@$7k@ zCE+xb%Ix`AEE!`yb~S{tMAmcmml?Ie=?k3@9?wfkbt zd+-9>c;vVtPlkG%Z;n}%>yOxDkJU>CTD~qDy&-klx)MO7NLRJGRFubBOv&-^P3Phu zGGWB*+v|A4QaPNz%Z1;cmQN9Ju)PSsDVZu?EO%JSv4s0nE3sd=Z`5_f&I1tvJj)Se zaiM*yDg8Z={#y1hbQ$;J_kx}anq!LL~KTVQoz zaas@4!6n$*%=SbE^vFMh=$S8;(g}KaW2N{Sk4Hv=fr92$JdD+DUU!0}jfY z2mY3BJBGafe{T7|yVV4(hmNR8nXhZpyL@Q$JpKZew9V28nA)vOi?X1c66}m3ZI>V; zi(C_)x@aQVAN-0bz;RAwoX5u9upE*<1I zmpX~YAf6GC$n@(9$71Yv%-&Nc-p|5EG1~x1@$YNolg}03&4jiQyScNMT?{f=)lE@1 zQ3$5s>}l_WF21Sr)cU$m_t%H*#$@fL`4cxv#zNwOQwizE?D zfYE4Auh0WE9%zp*8jG>v6d+wcY z8oOsrv)X)1LDx)Av~AOY%WD@> zr#ZAe4!Ii-2kyFv3pkbec#-Iz{mNpD^%y^|cIWq_KT7fqwA-Y*#K)%>CF22aP^Si`@cPSZt>AIQBu$5*?tr8Jd(4ZsplZR^6f~T5}BV?#cHza8AvDwvL zlmXO)X-M(|vrE4a8~7Al@aoGL_S?WOwQNTuQv^=+``h&>{}YI(2<++iXX#OT|2MGb zVImlCDW7F@f_`|1XM$78;EZ@{!;=$P@pB8vpib^SxM5gEdfm(52cYq>AKl4fXZr~J zAA8C6@t^hano>5lwYuu8^Ol!=_L@xb|Bo4XOd9YXwxS$=VFFh~@aoUewvIBR+!u|@ z2fQ+)jJem%B@>OQYm|pra1z-%_i@oM>WT!0HF5sKcyaD!g5ZBnQ#{QOK7`#=8Ske& z^Uy4BiBGh`!XkbOKBRQIfTwh(#J4thy3g#;%H*(M;LxgLx^J;M*0sXTb?_*(kLFO_ z(51 zBEw(DbhxqaP+h8-5}5Th@Vk(gB!&_GbX%o-FmMNyyToYAVXFNl$-TG0kQ`IA9O8bIf)D`rSbxU^9u}RH{cBaNs!W8 z*6bNy(I*J*{vgmRrvCrb@J|~5mm2;PG{)UKEqqYGGf^+iS3!MvhnM1sXEI#E;C%j; zgkuG7U!V;c;dx^?_A=b`f)*F>qM`TQ!J{kjjLdI`ySNG&9qZgzFt(G=X0P7 zez`bh|F2uaZk$v8o4lmXD0JR9Oq9ih*5fu}Y;DF*H1B5_#s(6a0VTbdn2>w^m7u^^>Pn+pmwuNiffi`3Z;qX}aq7_F86n-Fwj z{x9*7S64aQn52xn-=M<>K}tH^StWkRRIAU-*;o@V{kz%sNf+Il-usoNQI)>gC0BJSoHIKbUq2N z=s$%zQJ%i@vXHUF!aylLwD2`4b?tKpjibw}=(5*@y3UpeJGfbH zYe+;WIhSA6d$zgppYdTJHMc$G676CDg>i^EE?BG<(T=ur6`R^{k;9x)0zO;RK8!*h zM`fpvXyx=D${bv;{S^!e1nut1)6ZE`4`|#t$5|gT!|F|+mEgMSjfRzyDWcNreG+@3 zW-m^pz9T_9IS0dzUA%wQlf(S9?d#I51AygA`R4Y1+5nZ7T{HxE8}>!o{P{fR%cS?rk^`I##mt!z+K`rYhgPSN3^<9IfAIwUl8>JH+kuwu?wT) zxJ}7cg{VV#hTa*)*GR=|7&CkSDUw>HP(`Pg!jJntkuG~gna#ol?1}@)D#@@j(Ir9% z(0X$FyvrdO>nRZ~GGOB{-xmc*E#_QIX~R$qdOzo8s9IC38kms_sIPy4V}i{_H_x8- zjR4;&g(5!L^to2h>sOox6bcWWUwPIt8FUrA@D#|^{$hzX!gi1QM;mp$@9qpbuLI;a zrKEgQiI++xe2H~#uuxS!3>E!+W?r9GJ=p4g|J6(V%10Xq!9$4a$_L30$_MRM5d61GCuNOQmjuGyo7E%GzAF`f_X377y)qPg{PzR}f z6>kw1wxHx%^8sdV=3D{g<(k7-n0q69x?eQ3NHJJd%3}ekOfVdEJqalNNz5~FNBnty zIDj$wM$1~uEhs?`B+p}y9c#P&QufGpa7axn2~rzN5?B(~uC7#-2BEUqmXhDY`5t5XM_BaqW*4|ow z*-p;~nhvHjRqhL0lew-zD2?C+DP@bE(n%tudI&mavke!#z@tPI$AQ$9I(eAZP_0h0 z35L~ZA&`>K?G&0=Z29Njyr*J7&qmNEKaxv|z4(Owj1M(HT<%7ypaPXFW};X*td(k_#>dt8;{iI!Ra-Hja={jvp3g%5qG028z8 z|3Iktv7kJf*dbTrYJ2JQ+#UF zMo;KG{E9QH{k1or{i{v}E#*NH1WYMzp=8d=M2;d?%X56cQu;zF*DizdnM9_ z)G$7u*+~EBdi6$@`+bTpGAC|2l>5uBE7hzo8Yn*3 zJOss)#Sa@Y1FPP~HXPpFE0H^p(+4#!qkqw&*j3!M6wZlV?WCl5a=Fb~oM{g>}6$<@v) zhuH1gtt?MDT+b0Q zBCLOtra$uWR%zUi?UX1cQ(@B%5+I7zLr0eeS?U8l85nv+#eo!+P6V0m@3)p@_aD|> znR*L1BcAIB^1|^{!w2EGu^B%|!aVd@rGL-`VrTRB6BV61Y za-!E*2%AouCl1SS+Ik9=x@O;gKEfEi9hW*;2Uq{Jme-x*MoFT%2f3_^Uac;}l$|_H zUqfntOr$eH7tiHlF9ME^XD1 z)0NIi2$#5*t+LxMCL_;njPCP_>A=F)!%`PYu^AH&Zw~Xpz@Qei`ahv9gmE1V1Tnoe z+9cOh*Nad~=}qDY5dBw0CB;offi!{Kec>F~v|<737jhyc`GH{4mKFR#__0SrQq>jW%Ntg4}WLu#Gq3Y-&0Hp2cA zr7bvdE34I^mYVnmm`+>$LKSSb-e4vsUuui+&@u+5>K9ggQ!M|6br|Ywv+XNel+ivN zn(kp~&X-v~Bsa=T(ROPX+xrG$jPtJafP=C{Luqyp8c4Z-(tDEptu9fsATfk2CggR@ zlH#U6J^I>d3WRu_KyElTbiTu{slo=E5ZJUY#a1>PGbFAHWGh=&Ak8jyV=EhoX~j#{ z3F<=q0vKKyFHzWRX7t3WSjXX)7j6gt^)F;qiX0`FqQ%b;Nk65d*(2WNir!M(q|W8n zX2^vT?>_7)67DPPmj6^n^`N!<{dF$6>@Qk0YowwWc)onYgz?-35`d3IVbgZt{X34D zVfWGuBKDp+hm8nE9#g2W=>QQ^VvZ+`*;3dHfQZR4YoRktpQsijCMj-`K#aMmE?(%W z8Zw)+6P3jD9?+xbevHQdyR?Z^Z#|<`y5eN>u}Ebb1qGFrpFUcxAtF{?{7R(^O(4gI z(rlThuR0~L8Q%ott`x~AR3*X6yHK;jAf7jSnJU}#Q}*qAU8tQ7G?9P&-K)^^c@avS z|D9_qOL+Th5bx z^!ni+E`;Nr7eQmbafQW^)XA3;>jnNi}8{*5@V z!`=`>;U?RxcNCp$``)ggldN7e^A{uR62BE|aTs+Pwx-s6^Kn6lA3Bu@^-QS!w=0cMQRP z@Q!6gJhxGZ#Hu+g>dUOvw>p;vjg~!~%pg`fm-TDsS33MOjq*#zm!`aS=k$+)xky@gkTKM4r<8m#=s1s>=v-qTN12iAVKb z!;2%g?Gqh&^-ZSj4*1F>ag8~@Qx;M;7Y7uN=*Uzt>Y-Hz+%I~THYe>`+3+Wc~W^&R`Z!H_5OIGPg{>+J7kL{u3C%uuMMwsD9Lj+b$bD zXOLyPX19*45NoSmI&T;AcB?fWKB=v7_BdfM z@U&};(UjKcY^bT>X58rd&^w(vY$(^QGYQ)`b1^Y}!zT=@*a~eIjS+9WW!RrM&=j}U z1%kYiP=4vJngDuP(+=!*_7+kz4{KLInt}L+ErS4a;0)6li$M$|a9hvM4LMxY^|58} zd3Cg8_Q@@=IkP%O^kB`xD=9lW@wD2Dh8^KI1pB9qi_Bki>D$?L15<@n8|u_j6fOhs zvE3yJnIk?VD~RcqOQ*!47;p6E>P!JT~i{+_DO@8xBphyIe3Up<$QFbe43z=AUbt zzo=huRIfj9DgJd^p-w$cIscFz$v3S~wZU$0zFN;RTHD2u5KpBk%~jgeOBY#ewj#vC zkZ1HtR?EEYwxBqLJ%!0ulHR$s!Wdbf!Go^Ay0 zN7$7b^L8HJZNxz4TxO2@54bk3KHbkSbHM;pL#>x#$?`^1JILZ`99zhQulM^;@{X=m z{A%A1lZ(B&IlcbxiZ74Cc@KZ!nJ(3yTbII|gC(!P+2&g*{03uM@NC$SNQY3IG3+)aW3pR{Ob1I&GmTG4f&jH z?la>iXyVr3X)e<2p2mV}bqa%Sc2N6tJ&CMd1RQ>;wxtUwToqbm1KB7I{PYa~fSn>h zzj;QLvT`NU@j8BuEmXG!Ukd=VWNDiG7PKB_)&PwM))~*(^}Qe@EQh67H88?wCRb;B zJ}IE>?`fYjy^7xpJq80^0@$Ncd|khyq|wQfTfrM}>T><8l>w;txZNiQnq| z%BxMPfN*EN!4>|P5l_=9_}10JsmU$8cn9CsT%+?BnIigq90#o!H0m)<^R9vTeiBD7 zWBLuucV%^~bcn)Kqx{c<$v{$mrHPV?(U)iU3w2edOVNPlq#b@mi>Gb)LJffTk z6kh{e%}n`iffh!E`gtZ4UH-gGs~@N6v=POUsqpzoo&#rgYM=LoXgGke)LN$C?t#Kc zLqBGTNOk;MKv3^t%rA;?i&sAcK}m11UG%`3745FpP>7VJaB$d!r^)Fj`P`}&4@`&x zvoU;7-;A4V^YCrj$(&VMb8Qa2GySl44SiKkUwo4$_4`hu^=|CZs1mZbC>=r``xrJ+ zpou$KY@^lPZs8}`IT5SkjwMP|vT_kg`>ySavwNJa822{Hslj@}od(7z@t1C$AZpt6 zk1EmUUwd_KGdMN{riwRG%t7+kCxl=M53N1b~{rZF%$-$6~3n^>Jx!EYuV z+KfUkND5Ny8NO>RCtpI)6C=}ReXMV;yiVo%Ir!pruh@O_c1mOan1efw&3cG_(RO2} zaHxCBvuP%KrZM#7X_Ac7mRLZOckKT#D9`;i21z>`FWzpaRQX|~ol}#(AVCu=nLjN* zj`#UztIbqsyMotyU-|*1>XW%65IO&x3N}*vXk10 z40dNWQfwaHVCBsgH!m>Pln=4S4!UbS*}_??(QLH9yL^6qxr$&wkxV-GUfM2EzP`9x zlKJn_zk3*LV-05!DkV-bQq0Q>;yPTID4(qaj=G1e9m(dbBWLz>1Be*r + + + + + + + + + diff --git a/adder/db/adder.cmp.logdb b/adder/db/adder.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/adder/db/adder.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/adder/db/adder.cmp.rdb b/adder/db/adder.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..85303cd61bb70f864b587981d8e0b537a8c2c021 GIT binary patch literal 17244 zcmce5V|OJ?ux>KZ#I|i~$F^6#WUt~O4NBs@$kB%^!79`3hW_BcOBxt!JA1@q^ z6-%r6%1EsIKEuE9LK1M@<9%ZX3&IAqhN@*JCyVl3+WMO5Ad)Mn>do_DI)#1m2Db2ywuQ3xnMBaSM?`B&MBz$*w7W*}w-hLkn>)V>SeVVJPfKy{+t`5QlaJNEe;q6eeNngs zkIWbUQsi@NT4LaY^y)o8ybF%4-m%7W1yzS-rN74it|W5#0=oRAd5BhH8Z1>z?>-(iu8wSnSg z4|aqk6K4LPFdQNwH2Pxt9I7Yd^(D3rVx$nsmcSF#m~ZZAk8Nv@o9fNR6*!-9P5P_n zy3Q^zDB4e=wAgQ@osr znQ~4p^TocH{!3p6A3h`%aVHeMBF=wn{6m#{Sy1RPix*suI72bTT>ubiXi5r5VAbVu2^9Rs`uAP^;#Nh(jRyhH z#hZ+8+kr&m9TGQ1T^}h)Lc3?S9kC~+{|ZqwE(EZowwnt z?_O`fmG4P6SE(Wzz%5C69eH@fo94S;8E;dW8sqw6(EK~A#QrR&?7p;D1pw;^mfY!v zF;x4}V_4|%FK@M?;_i5j+RbfVuD6IUgOBA)(A>{6IPfqa;)WvcmXhTH>q1ArclkQZ zzv$Ld2!K%c@FD$)SLpSa{$^4h)N85ajFgFP|~UkH*6xcmK_V*<~s4%$ox>M?@>k}mPt64u_Z*fktS6NYAsc#<5_r>QR{zAYV}RiT=#4bS+Y zj^II64iiHHH$!*g3pgKREy2YL;*0(gs-(Sq)bo%Dybdq|Ud1Np zEjf;x8i9#l2Q(V04|{PuBnl{%mdq<09(LD0N=iS5uoD-M`&J)on#j)d>gGIHV%C1G z&ay@hwc}rBGtS&M#mZGF;D%D)*`91NfF1y`8^1V9GF!SuG6uA|vvIlY5_2a>`&a9H~U(7B#T}2?Z7{ z5STuiofnqj0usetiX?e=!5hsk1tS)iYQ9-jlHbmh_Rv{=qjyjJ1qA-dt_^H}D)fiGa;|bv>JO%INY?L*nC=)dC}`dK=LoGx zVYAonf?_&u9#3iYw2kbvr0TMaR!C!tMs*0X)RvTuCQHy2T0$Nyoq$;sOGCVv`-zjEqy<`aPSKfeh!dBlmLMOJHn_oOJ-$nC)+E|krgNgj4a-+#1tS-Ed z?{`Gh7b<<<6Nd26SvGhpP_948%ASQgnfISCOU|j4Gp-Cd7XFz=uw#N@Ma&neBq5v< zQo_3sR*)DJ9;tO#NN#c~M`(9}g*tFc|La2+=!2Z0k{AB%)nv4KGJA2lMy zdhQahY(C^VLtv$~gNK{}@@50wwf=aXt>2OmVelZZh{OBU)ds%=ie4MJm2lbU1g$*{ z{~1njT9(f5_Z+bRtkV^}{qYZa;D+PpkA{GT;6Ird846W>K{dbTN7;RQ-SkTdlZ-9Y zmD+eeNcjZAi3h)#CoqYYL@P$OU-?f`66w!9)tJh74t)z;CdbpCdT28j3GM}yx{i-z z-*?jFY!dz!ROmkdDZd=2$~dI_{-`m%b*2PxpQz@L_n*>c`svFIcV; znJv_w@HInLEObRktSvYkZ+7_>Ic_tiYFR%j6Ai8w8VB) zsl*rQVJGlm(r!pUi&`9Cr%N9YB-xlPho=jd%1^xyotrn}o`RHyzBmuxFx ztR1^`crl(F;Q}W~J*}*5Yr56#TXHn(>$AsUDQ_5WLD6%>hIq61g>D^q` z%XrIizWH@rktRjc$^5GanT9Af>f0Ee@yCX#KAy%>#pp#mNNxP$%%Hj#?yhS(W}z+H z7|kIfQU>mkvA}WNT4mr*ob0?ky+?R1S$k2D4?Nqi-;dgq<3BwJcZU7Tx%d3!&#U@- z-v1tGu3tPQG@XtYCVKw;!jxqW*d~@1cBaYp`)KzK{DgMM;X;47RxIJhIXvcoafPXU z+xu(eg}ko`WN8sZw}Yt2;p$e%ld8?IO3H+bw;^pG@_jb?jDFZQG|J=$R(IMr0&>jl zkr+r+T%?d#e~Gi1D9W)dof)N7kZ%OUV2&?5I{fuQ6G#XziM_Ht=g^nDjwjmyb}8@6 zcyQGqhgG}FKRSbx^oSAgJ9Yrv)t>m<=w}}`t9TbnU?0|-lK2uuH4nBZjdrFD#huDe8y;4&FsejH~ z*9KX5yMB8Ja&`sy`aa4(lCuH2Aq+mr2MQUgJs?dstZS6aJ7Wa1v@O1XiJ^)JI@zDa z9K#mfE$r(`M+f_cx`vzW`ntYGosGV(79U>+s3GuqHn>KP4(0A{exZ)mR==`Vz(A*V z4l`qh-1Og?#CEzFVT%ph@do>SZaU_@dPndr7)M7<&$V_gr`vi*d-$tGQLgyWqNREV zpCvr-rowZ2omPX54TGf(jgHk0kK<*~;^E5Z+u_iPef`zmupkE943}(AS9edp=2lDE=EXI(CbyO+T~7^tM~9JLS-WOkZ3#_o+fM$}Cdg6Y zDd2LSBsTgG_XeipHAf`S`J>PmCo1lDBSLOb)m;PF{D03imlj4GqGdj0_!^Q;iQD6q z%lW?^KK^bbqUw!;`Lqaz8XfYNJw^EUqQT2C+gcq?w(=KbSJX&W8UT-FlHj5u*at#M zCO<~3hI=C!S&eR048!zO?B%g%$f!561GH%7^AXokdD9?e&+TMCv!%?_>4KTbGCG{C zahw#^^=|Ek@V=&X*=ac<`_}s$-{{uI4c@X_Jl5#MVBr zjOMI*=Wan07H3jgii4h*t@C#H&$xPuW`~|7{u?6qP3tY|9YfpJS3b{=q$oip__9wX z!(jK~?4FKRFJIKr`RgLVR$V|KG)5I1A33R6&2=1rrx}EPzSPNj@`r~zf{hIB*9)8V z!jy8;>%MHJaG~To2A1CsuEdqy%eqUv_JiVWOE^4;BF3SxKgiUDI{fCISos2}b^cjAvT_n`qL%L0coZQ?n8GsTR$!KvbfZ5b-TOUSByT>!=*z+{~*Uh`doI$y4ub&13v zpBYQ-ZJxzGEHe0+P^0Khuy=ES3!g(})7YbH{WfDqWO;VXkok;r=$}2zeR|(Nd-~2b z2c(aajh`LIt*u_)F3p|UsXJqapH6){mPNmLD$b5i?iT~W8y8O)F=#f?Z3iuarckng zTd4X|8D6*AZINe7FY2elb$i?4UdFfb%azB&DwY?UGjTbYO2Cf%AD#O1VhhmLjua>` z0K|FMKbc>~u3UPPdG(4RAi>F#mprV5O{=7KzCJ8o%!ic=LDsBWl+ZyH`cekCxP=0M z&^T_;IJvsnI~g=>eAZ;9UXA6f48K+kR8c9tDI&azJ>)B1orxTw@jp~o8Nhgugw?d{ zeP4t~+~A_rsZrz~(J8h0cZBdCcrq7p($RzDv)>wS@Yyr(<~K*!M;7N(WO4YH44}6f zY}QTGrwgfZTQ#)@EbtJzOa7RkI9MGj2eZ;|={F{gu)@_||3Cjc`R zb2Js!$?Ed2S5vz&zqtQ8dY*g&ZW(8^MIfOIx=M~$l}T`cwuj?vO4`Ca_!HpBP?pLY zQ?{SVglhd;4jgW>(?*7;qCws?`yN~EFpgm6t(N!n(KMgcbgt*uTs-sb_7~l)esOOr z3as~BNoOm*4Nx(SE7guD{P`q@#;&wSE_SmsBgXqON$e`q;=}tO;G~CzYwzKU&Z!F^ zxc8y4`|$T+Zl~el|6y(aXYT!HQcvOAG$fz$$K=i%#&R=hxVg^IVbA3%0p^6hdDcYA z_kd%-fcLb2yHEpvVfObznXSQ=VZY)Qeo~zUwm4Rh|7FWNAsno*uNa#dj{&E5C|Ov| zhar^u1ZQ6>_886(yfa>hFAD{mO`nTWG~*>OTbzdnHFi>%wuzmqTd;tcGT0Khsb8;1 zt{s4WiX1A~)y#~Sj)>n zA#h0^E?$JUV(UIVy{+@RBo(i?F%NrKorQ{$bf&LydTNjO1%My3T#itLJ>7Dzzt&zH z&=4~ct-h|R65LMm{32^vFDB3WwW*?qV{An}78kGhMi=y6bn0m4Jwgu_wrH*%5t=|0 zFzhzj^oki7E?=U^);5S3{wy78*gdmoL9LPy#VLm2rCc;01dFRptF`>xr{{; z>LY-1;49GDYwX~TNctZZy?RH^iyW30W@eeju-n)BrrfDWdbXEP3+DYRw?x7eu zzA6)3p^0{tK2Z67|tT?6kTZFa4A_G-oxxES$Ul^O*A!cyL3gt%?F_hdCdM{4M#tM$8G>)SF zK7ReK(hv$I;7@L(UB8EzYu&OHDafRpA73o1N6zk}D}N{WRhp&K?xMi%E~<7mvV10% zZuYLj>W}7q_KBEvBr6H5o!O*UTZPWAuO^rm2m6QOz<*?_j7v4-pJpfA)d0n!%8Sqz z-iWg4s0M6>kD7kiJm;b4T{vHX!r2gor1RuOlurullf+(vu%6N%`H&My)HoO&@y6k9 zgZP>u}!xfkliDA}J>s`d zM^5!4%?d=0qV|5OelH8latyge>f;`bf+%AVoHli9Yj8W9GbIk}9Cg;fcp2YY^lc zUOyWbiQ~~6tq|hKY4NXG^>oKrCf>+FMWVoGfAozJ=9CQ+&9O>;IZOAd>TPsy*RO)f zkASVVaN5q>%>*SUal*C)VeL~~Doe>AY^5Qxcd-cmx1vWMunznejlFNEl1hJ++7dGas0WXcU$Iy&v%4148tDT6h^3AdWei$a-xmar<7VqwsMy(!286w<5eVFtOzU{=; zUVsqrT-@xLSkZoQ?d%J|-46N~E*86z)nRl3KmfWOI$C*5)!${!3;WH@z%Bq)5s%w$ zfduV^a|^z~GYM4rzK!K&C&>>-=CIc&#KKxssR%{$?b)S?GHtOML!6^f?KtnWg^qldFcS7DHS5Ql3}eF?K9 zsz}-T)Y+bhMTz;vNRD(N!ZM7emS#=P2G_Z(bk;cO%nfmzeM zr!Gm-fm-CWTQi`evwqR6#pRPjH)pu?81qd9Uv}<^Lhvn<)b2(S2tZgrWL!e(Ni7Wj{570zsd-qO<%DPe;TBSAvUY#Z)%ZMp z-=?}|CwZnMH-z7(D5rcqq{wd9kNQVUx6#1mR*l&uf)BB1U80vmXq2FVxl6795$z^)Q}daV-d2eJJGXl^plTFRaVuX6m*7 zEKwJt&{axZ?SXRKx=y4@RM8@nd z#*=kl=*-oEItelMp?`E)+3Ay#Z^v^A>p(u?%>GUV=vwB3ACI?bZm8RHxU*c^t@pCv z6pn|fT=vH#hKgUxNV+C1=hp8iYM+9l$6NS*G7POoX}b_}u;y_VAo*xO2P+RG(1oP} zGX5^fOPR<1BQm#vIUSd;#bG2&{@04m4&;a>`5hAtc=Jn^M4=+i1WVK{;#QmrW|2+1SbbM``VuhW`a$ZBJdD$XCq9!b3V}b1txZWg| zzJw5TuvWVX$#`obrl}vTN#qkW2D;5ij3hE<2w<774(r|8be`jZsRB|rJ^)^fedYRE zZq@vGgTQvcHYylKV4uDG?{VIJgH4INLS6f74`FB7B9Y?|uBO*XjYIn{$2&MUMNg4q z5Gf9Dm_brsV7-3(81W_1O$_%4gWf1dsnIdeFgfZ1vBA>s6@Bgp|^A zF-~!PU`q5KCCr-?9zJE0veyp8+W}_mqbFzS=hxir+@asHh|6)E@?S#4Z#$xifR)42 zUkqCam~eq#MpnRpJ$HCoi#M_O$FAE+(SRut)n)kby|tuEqKSbklJH-LWd9_P&4)!~ z`+t0Q@F)GM)8_5sj_+)6&ibd2F{cz*5`LTmR5=zkIoPu#9T*jHsp?8^RPrJTJov(@ zxYPgJ@XrA2v^o@n_8lVrtw$h<5{5PpJNlgz`S=Kl&G6;dtMI?g#|*_uEoZ^^J{{hH zFMljm*{92#xv$%4Q6^q#B)jMbEI6V4;LjP(ShdEc>7a$Z@1)T;S{T|zCeF5-;LpNP z3>nb6LDAhG{-kg74bVRw1FYn-+3&2`FJgc+Sn+Px5-LI|%)>38^Z`YDK+#<@%)=I8 z>G3}g#ID=m&(hFOjeKSY^CFfzVMC83)mt)mp^MUIV8x1l*E}2a2Zy z$06P_YOpFM(E5T!4?MqJu}(92r58+#9$)_>M!ywMwkuH#z4vSMtuqvZ_dMpmW&el& zH)NDn^>sX_hWI;}VNM5zwwW|Aa0KFw35M2v1iDrEFD3FZA3^Clij9ZRQSfKYe`L@m zFE8&XS)C&glq%Eczat?ZuklGw8jyTv!aVSS>~Da2*q_E+uTIQ*(zHFTMjzjl@Ne7% zrSr6#_o&Fn^CGhJ3>gOv-Xt(csubep=cz;!6@;bBJ*^Ky=fR)mlNmH~WrKmU8E0Gn zrG@0B3m+mxK1PIj3vBD1e8Jf}3I4QS05RcFSOi{0Hg|phx0wdX(i>dl<5xcEBDLB> z4di2Lf>P;x?FgrPVIsbXKWAtM$hC(ZJ|uxws~OU^`t}p#LV1h}i9FI(3RNXm0!e%4 zBuDKx!$cEK?n#S7F&2U^!U3R|GWG?cFX@aqX4fRszVNxd7hyqeGhj?zYIERT;Pm0A zDhzEKZS#{a(S%X2Jut>YI=cU0zzX=!7lt;4puYUxR1|Dh|KfV_ps8T*(h-WhdoRi2VRi*Y0g16n125NyW zL1oH+BZ(#geI`LnhDqyx;)(x&{?TJImP$7}|GWMshkvtyp+zIZ>(~$Oy&!?ActY*p zgLsobQq|?Y$N8Rsckin3GDmfku~aE^ zT08IHbtW!){tGp5`7SV;5fucHNC=K@2ChQ9o$lEH$1cBazf`eK=hpQ8JEUaZhdumK zG0KkN6RNn-Mvv~yGI{yWc?t<%tng;5WP8NH9Cms}vyKsxEo@qFGt!c5sdMX9XoQ-s zidT;As9S={7W4$1wMG$#tSiYGa4XIapBpCjPsxickK!O##aVJ&%XxfLGMLmRsyn{k zilb&7yIojMghR9a0LpEAqKhm!rr30psWu0M2D5rDNvvnJ$S&7qSNuJz443zxeE3|pyFVEC`+qcyB3Rz z$V(CQ;2twOZ&y35KH-IRqL)O=`b(ST);RPkL#f~UH|dHW=fTyYjV)6oNB;=wH2Dq$ z$&MyWY0Ww9T_opM;(C&SzWHNgi0sd#WD{*Z712fTW>%eae0&YYsU6Ai9DwwFW4o29 zsSAMhCI!UT(EIB1)H}d92Nt5Yvh*Zo4H0ZM~czi=y zdO;VqV|aeFNTw#s&?r?siHa!M!_zVZ5+#n!NUx~?vmqZb8uHL)?tAz#WKlKiBO)FZ zEeo6U3Y#@;X=MiyvNF0IwJX4!s{pCP1FovGXeSjP8jQY1;q%Mn#JsFgQfj$-W06(8 zseS&6%h=e2FhvKz=)%pB{uB2wAf}1Nqjh|81y7XhU3XRF zCT^B6wr`Q!cT}Y%g-I>%O_^ZV*h(eKhC$=y%VE5XD}~m|3p5`>Ab>HIPKM>Qnpi1#N{ub_0KLz}X$wm*g#YMi}HwG7rGaf$+Ni$u=tabF1|? zbu$p^C&{ob&ls;14NZx5NxBBhYG~2k*x1$*KFa(Sixu;`Z4%b+m{J3FYy9XmPSbDL zI5up7j@(OIfG#)Q78aEeL@n52Zb-~>OF6D$W4d?HRAOBlpg9bBm*YO+(oV(te>5_s^oMYA!&RCq=WsoUv?~R zXTRvBnQqLpteh_VJK+bKm3v6Oai}#{!w_$!Sf9kDbVr1Ot3w%`V!=(AvrHKIxDJ%( za55J4x21cPS5T|Mea|T@&*HIJgDz_y=2@|xh#HCFH zBPz4+A4#g1PUF+*XTnG4j)zfE!uJYHG#nNo;1@1*;aOXGhA?e5i9UZ z7pdXJIOllAYEgp`G^{Mn8@@!{0S-E?hny}`xnHSl&!H~!IF{Ch@qH<0t;c*OFtJwc zs%m~E#=$CRDfZo-i?s7Twt~%73Z>Caa`A0f!cZ5Yp1(^xT$opi#eE;vOYDUHB=^GP=(n>ffM*He zGI-&y{DBLy+P7UL23+IZrV%XLR>X>(%^HB$GR2d~uQQD@#c36e0B9#bmW3x4R`?+`* ze37MLzUaQ|S(poT44&%8d#7-RP6`E<)u`v-JWz=FY-kZY>xbiNn5;ZKxPqmxM-k%ER^?KjmMy-WEcQHd$+)U#^^x#ICEPa4dm0WP zUJ;nwN5YIW@xFm=w`&^%|oUDU8Vhv-Y%!FjX|x5C%;P7POW|x zuYKK3)M|uT-;Z!|^Yqe%gNn%h_9=UaNS|w_V`-+?^5N~5C;?f20dY;yBmHG#pZZ3zz@X3{(jB^0u3h7Q&D95sK@ZlbKeDt=+Z$I=^9 z)LC%P?=eiI6%gYz*DaN(o|c5KN6dDdXLq)Kp*3&$pbLF!LpDE#CpQS+P0^I;dV{al z%9rA@ot_Xz%+>a!{(OLfn2`$8~UNre1y8p8gva&HnCY8hhxKwcq;EN zfI~#8l;2^Z7$fBr(pO&(D`7DlA4NuIs2X?EW8M<#D#X7f6}(mpALF;w5O3H$NzaEQ zcIt;Vke*LE_eLvlYL)k%-)1d)%TRx<7u?D_Lcv>}$7*0%PIa!Ucy^Z(f0=J=WZT|N zxdvs%&3<9Sz;NP%_Lv=ke{GkN@ZeX&HiQT|Exy=Ad9YPhy`I5{rwUQDcES$jVHXj91Q*nUozqk)Zlp{x+F^J;}2bhTBZ;uY}HW?rIpOI}~>=qgyy3 zm6KM>p>Jre>m7$9Ri9H%*}Q#zMeRQqE58Ki8f#gy&dNrXB=DKDd#;g1>-IPVOYZ*2l^o z;=oirDuIh!8b0Pc#>i^9eHpx8$%a(@Kq8qZ<*hOs4-w&l?lt!#mmK*ktH{*8`V@BN zU^9hhmlb|ibZdbq`gD6t5XPg@wjr*AaS z#mKJggUpq!Er-B5X)F}IE20k}Ia!*)mCA@hE}(ESde#)?+|mIf9|fc$|FTN&ZR`qp zi~0K$Ug7pIg-2zTq|LC@j=N1`KqMVc$=Mm4rlVE(vE0_OCL7{xW<*vp%WpdodK8hB zId-FFRsAkbB~0DRjwZalDO@PeAeXs7iA7c8in_Pd)1M{D%a-EZNa&9|sq(Lx0tFdp zi?nq4I?1GZQju)b#SS^0-@36T;;Oh($qr7PEwgCXRq(6!Og?T-+zi!EPfX?k4J$tu zIL)wEyL~#tVx2z6ILb;RTCnoNjW;vO;xt`39;tUfeLMjtootiZL(4ey$Q?D)j0zy4 zO3H*+hf1Xnc`eB}n673D70J0;I_huQ@jm!y3?=oSF$o2~Iv1Rdu%b<#E9Vj5Rn|Nm zotI^vmjHuMH}6HxC#O$rIOx&1>XC;=-dV*eieXB2XJX&xBN--nZF#(}e!xp!GYv+3N+zeMi+RHqfsRc6UhrI2qL|s)u zO_#aRvui(!S6*!M94no?O_0NmHcXD3ifdNnZpt~H14VXMA*YY6)z{#s{(R1=JNRGI z`Wf%;0WIk${ML20NOB zG?54E6GqMI?d)6@O*H~fLCBJnLutf8%;AVfR0K-7Bj`xRo0euAUaWB+N1nzhiz^nU zqmlH-7!87`UEArPf+SJ*Lv29x!hxmVAQ+oJXZzZE9-R5H`Bv^+qz^GZK;F`vbIyyD zid>Odael6Vj(Uk>%=E~`6pLJ+qb070+oH38uLUbu#mZbhFyOiG3?nTas@J^#|HaiK|{21Vry>gigs921-kEzpVcUF)FPM5$1bDk z0fCqDocgdMQXK8Mj@fhE+TP?y=^l+8Za+iA9sPA-7nCdC&|?z>9FtQAYqMQ%rvQgt zN9>m!Xg$$IbZ--*N$S**iS%|;7(34+bByMdqMJ5Djj9p3L_~tXI`_}mP_-D zCyrzp@u2%0=Gp1jx*|QUoN)q|@(mk9LDgRSr+AE0`6`>UPH>I6tawoJOCBr!tlr$t zJ3~*zoU*-MIU~lQzJG#6iT8BZCaJDeAGdffr$FK)Mp${B-rPzvtZj`;vW*$vP?mP{ zdl*=f%*Sd9CPhx?&lYZgQ5B5)=-Nq1dPykPQ^$0*GKY|BWEwQC@v|!1GCE@8h1&0{a zkxbU44g_wvaE%WI&sZd~)3PQ{4aOh&8|tFP>U;xIv`?n(BJs~7>I#Al+HvP{qqjURo6bt`t#yqfM;jp#(28-O=l;c-d3xNm0>Dp8%~co1xk*hYLFUMG7*R6hNQDUjq`LJ-#670C7wq&vVltekT7s9PetKrlI3kHTYITNZysEuLEG^wZnYpD#SU~s_e zI)FJ8lA1|99!kv<(dIOTk>-TZZUHIzXtG$xk@2j&$hk#9z?{hf*EWT?ZD&mB9@xV% zZ!II}B-o)i4%sos&i85j4inQ!$#L;o|R#jn@ z^w#~JD3#%L0Y!xL2t`?>pSNJPWE-sIQQz?-%+?RKN;<1-%c$6S2fPY`bIYXIeXeV@ zg3e&MIMtixK>#)>esex|j~XKBBTwcw>x@*??4BbFiJ`glx%zqp2ty8H?PTxALC!!? zw*NrujB8_2as%;4t+59YCns`IHNhSJY9?I&BsV-nOa8TCtRLe{5x8PW5xqZW)P^fZ z*7>OAAep-TA08k&36b`X4jAqWDmEI(l}W+eK7wi$n)!rwK@;7fQ>CD@j%ss1|I_(s zSztPK`=ovb;wx;g3tlQ4e{hF5n+dy)j;)#2=szW&4(|Rm{oG}Nt`Ko!QjLoQjY1h- zW;c$dyE1%aAH=fn&T@8a^80BW5;ZPHkrq4N);4>x0cV?uzUvwwrDkq7+AwNhFZ5qE zv@|Xf2qDVf~)V*x|gQ=;Z>RzqdRMLsy${g4jjZug^e zF})1-Kyhqbgqak5t3wVkBW$80xfrU=eOVRTyB-VKvlrv^2ii<8zYJOg>xym9CA$Sd zd6>dBCR>cxD`fxSU8K2SX8LS*gcu)$<)<~Y9CW+d8-?d?XGQA^n6})-gr=j zn+3S<^uSM~HyTp`^S|U)D(2`^);KDmDJP3ME%MZP6w@6g2=s^rp_8Ejz~hi8F@1!} zbZk4)1h$+AY5=2=6|2RdpPNMyn%jLZ zvjH1&-miAxAxa4&BB+89ku# zWuEDkNMX$`ttEgeFdmQ<;P?kg2*dIq-x7#ja9$~#rlvXpg5i9JJDiCpa3 z`~!mQXyk8Y9^FqDTOnqC@lw;mp$gTAl~Yw4VjxW2_tJ8@fcjdY54LR97 z(euRymdY8X?qFGBx{3QK1K;ZCodD?s&*ZXr53yX8H9TmlbAw-Wh!gzocxgw=Hd9v8 zp_Z@9Z^kGu%Ql7V6^fxue{7c2lOn#54el4s*a)fh*Ar#6YIo+G4;EW^^F@^{a;TK;hDixaV1)wWL-wenp9;#4$qlAg-H4H}7&2MXIQWnXr$k zqds=Nfc))QO>ngUp6UwwVtYsc7&A#Qub9n0F{#rQVj`z(t?U`Qsc(B7_GQCRgNNaN zGfa!!l;Y928ERttJ1#p*!+jN0zx{bBxoZr{0y~!!#Y;j>Du2t;yE`atRvuI;hs}R^ zTRYgmXT%p%o6K9u4g0+C;vuiVB+CpVmuMyNtJxmadI3m~PZ=`EW|B*)K=Kq6|5SXO znCIbrmVI&g%VQ$-Lp543#@y-X3eNbTjrM%;od`suUNTlXw$%R`Co@g}4a=Ixxot1aT>%^l;H=GWjjmawoCO`L$Aw@;`V% zz~%UJSa2q;7H>6ljs+`}9bT6J@mSt3Hbr75oZ(xoFH#fvfe~J_YnPHVjLr`WbVX2H zSIxgCrR?bLIYo*ctI6lDxQ8>`x;q^!21fDcpSbhqIEK%ivg({AXFeE6~8Ty=!&dug*XA2W# zs2{T*fq-E{4d~Fu6~d>J;YxV`tr2c<<{yf!d}u{>#=+1yQe|mbL>>SQ#%}nfq?u7) z*i5!3)*{Maw#*OP#b#v$e7a1KN~&wD>z(0l>}lHz$yFPwoHDMPF3mkksTVA*7=_Hl z`-Q!Q{}F|>YO`UNAY9zj5E+fWd0|mQH-N`cV13$hu3N+&@swgWUT=q;Wq*Q1jD8Ti z0Rwma6~ocU+T%sJTSgU=a^)jgR(jmpli7HOf9Iyv3JFTBZ=-6UxxB+6X&O7cXocxhY!O0&YK#-RuZ6pq-3372K9aClm^790_wvD_Qm~0dTTDSh zRe7b{MkWbHpg+OHcmG(k!{;`tpE(3sZ0i$i)kZI9Fm|T{&k7!uscW+}o|WB0C6!kA zG|9$H9-5+S^I3TCA`voS`b;X{G1|AjeAp3uateUrUa?{i5K)g+EC!GBt{B4LCjW-8 z{+JZRF$%^Y`R1Ew5q1T|Hf33x#IDKS=8k`>zTvHZB2qZCHO!|s5Q(UK=qPYR+(-X( z>01)yhs^`wvaM@*;)j-`2K|x&b3(`smF&PRNQY|Loi$sQ6}uh-t@fZS}osr zR{vaCFm>U2_NJcDMf8bE%xNTW15gY`_pg;o2uYA&l!Enzf7t zEeh}fd80YGKl5;NYek)Af9-kkqQj!&3JP!ySHGZXZJ~`iT9-y8T26W}UqwQU!>o3C z%q`Hg55EVAJms4p_eaTNF8<+!;Tj<9SaXkX)*LR7398=zZRCx6w0$x?^Lo#IXWbubmw#S_l<)+#wO>SR3LeZnvS^bDwRV|9AmHDM^K~fAHV3L7>2}nx-F-VQOW2n1paJ-9Sh-18~kE^e%U&zO!rR-c_r3O$9AjLvZ z4Iz$BKCUpOpa1+h36emVJwqO0zrT~0t8<9v+0|{rU^R2VBm+Yfkmd(sNgxKfAvo06 z*D)wE9%3{^SBl%$78bB?wg6SZEQh)lq9lBMVihL?Gf;W~5Q_jY$S_x5Cs!92SC@E* zqPvEvQ9KN6K*>EoEDlxV?BN&`f@0r8*)00 zyx>qg0aOPINr>xRTzwoPVZk%$jL9TE2326_3t%Yp^mFuuhhwR=o&Z0?QJ^9oAQl5+ zkXIlkdisUH%!?^qa72K?0;&uad=O>9Awiyg?l6_6G3MbM46}jZ4l+;_YM`HMIEqJ{ zmCSWn!Jgg#R3Qjeg&Ym>4-CAx8I*uB$SQnX-5q_P0i0X$_AoO8D1j{jVvs+@6CZf`~a000000E-j=000000PO<+ z000000IL=N000000C)lHnhCUBRe8tH3xr7^7$6fvUP2f`62cUc0P$W3GXkXwODHY6 z1j}eK=&DlmU68g|x)h3qg{%&SNee8*P%9Pm&a&(?r<`)?tdGDP{Cj)OoObMkhvhHhP1Rx>@8lZz_L z-lpLd#FyzsH)5au>UGuc53j%WXfOJ1a<_mchXxX!mq&;{ z${vHC)YASavR#7gm+%t<|2X`(z^{Vetfk*#WZD=X}&m!KP^?Hx|>*^1Zqu9VEP?gUc z){~>y0AH2Q8x&aDdZbVtd-Q5Zdu-In26n32d%wL}_W;w#dwKQYZ&;F!d5qkw>iQTm z+-qoKgF*f_HyGS9BDZF;_we@7}uICLMl-%uj%+Db9^;{LtC-GT*`3E73{QrScEsfEa^M3>~ z5nZS$Q(3un_Ek@;w$|s?>!G!3YsVh;>e$1!0ohgYy`!9D82=02U;Dv(uZ91?Ols}} z&ZHK8w<0QCQHI$uleYc#=YT6nPuwG7ME8}*9x0LCUm`2hd%i?(Wr@rKO7vw=waBh# z1pUg(FMN5pM_9~#Jf{~Df74d@YP02cp|y83F`|3Pk*BcN$jGa1qggFiG?x_eSF0RY zbgk||PMUhf+vDy=j%$^EB-Q#bqvVXwatwm3pQA@k?c;@=qFW!uR$JMl# zU9V`K*^1^`<>%mW#cjjm3YgEGs2BZf#nV503UjLPk85xnUV#&TYn5pQTjeU;%fEej zz9Yf=ckrL#x%YS!of(5_!8P-@YYX0!^fi{KXa1D+Hy%@p4%MAo@LI=1|G2XEi#Ijk zafR;{?_Dka{af(QmDoC8nzozq@_C?_-a_!ad!+F`Jpljq3I6TSf~QSlY~Zm+)t}(m zOLgiWpQL)tml$sup7SmGw*b6FJoh&qtTRisyTxuZCpOnAtc^OKO?vbv_0QI(Zmlw1 z0a#;8@XS}yT&v6nZ}9;9+pFkM9bJM~H2GVrJOrNcZ{DJJ4>EFAEp%&@x6y;Ia%}H2 z4z5@kyLh#~{7oo;tJ}2LZRSLUT7|K#zc_$+Bi_goJmzpCe@}tu`e=<;YwmT{+6|x3 zs;zjg)pWn&xgIXwz2=`N%~)y`_5n*9UQ@^BT17nPOMm%eAFx!1wZu#J9`?{iyw4(F z-j(iG>?ze81-mhS!&~s4qi-6ogJg-ZRC%$oOjE7%u_wPr~ZxZfLDm)Z>_@o z@sn-8{xOc0s^gj3)uw08qPbS_Qvx(#yw-KZr}HXnqJhW$W2yR?Cbe6L<8Q43j(>*e z`G38b6YE-KHsj&D!ZGP9fBt!m(l(zJ4nb}$p6?l`ZT@-QDs&1x$72xv%IqpHbZZrF z6qL4kr7+#sFkA10r*2Vd6+d_R8L`Z6)G`kL)FPg`X)rt6IyS*or3 zl|uI?Ywdzn2eZd_aB7$QS96v8r&i%Tp2zD4+*Hrzxe1=104Y`W%U*a2JsVrcanq01 zc*QSt3Ozqe8*hL4bH2FGweAO=KT<5lx8D6?S!w+b_kT*+}`PG)^qRHP{g?UkTZnW-e%{f)*4Rn1(|HN#a2j)vO?P9j$ z`mk@*dj>tvFJ*ZtbPBvP7!Z7{aSqE4bWu@UGrQ1Ho^wrh1(QeKceVPKRBqo`~XzJ$dmuYPLx zV~MTfg1-LT$fAk*I|qFI=le-67jx1_)hP1w7z;>V{OuAyA58E+8SurqsRZA+ZcXCa zFR?pQrzqNeBk?mA@?-PrAQxv1o0M((vq^%(nXcjg-W)0|V%|bv@~Qv&`F!F}j7$Br z?-gN@Xm{ib?KsNI^u<~AtET=Q!B1mZv^_r*b%uvI=AQTJlBRw-E?vny+%my=bgU@M zBWOslCBG=dC4W-LoBUe|AM>nyeB#69AztM_Sz7pGuG01LvoLR!hbfSc(Mic#h;!Tg z{0(FY!(7T=E*M3fN5XsyqK15AGACE@QOXAKW07a-HRN$Vixck7`MO?Xa1`}l4|5~6 zrF=mcBl&$o9^@mYeg>7?=O|Bc`;rM3!9#e-9VKQ|Wgum&oVP z-#X|qJ#zhTqjNp_n+3e{up^#W`u7#u{}_Awuvo5uKMSmrsizR2?c>4x0`2G1egpDN zUar>E)Qh@H|7BT8-g24`<3V}0{^Sv9mMmWqrZ?% z=O-+JGts>byf?YXOr!ry4(+auqv&sLoG;Fi&w|1IG#dwo?Vp4upl~5rV`%Row}WW& zUrN@Zdlqt^=VQ-%!=w23JaX8)(3CNh*_Y5$@FT$2Qj0wZyl>+lX{le&oZnXEP@YBR zBU8K1wpQO6yOw>4&#`%!dB!)8`Y*BNdGTQEjzfOC&Qshb^ux2W&x@(`lWuu=HPr6O z#|0hvCldL@ME-ap=i6zH+1mHX4m!ss`cEf1J9UtA?`_~8o$!m3zU!)USc3CHg0m=* zR}%hLiOzN%q61o2>U@?}{68TkyIG3NC$Y&?=;}iLfiF|4z53hh6C(Z5q#s4_5dlQQh z+*$G_1$nPD= z{2UR+i|@W|{;cV4AFmDmoB83sQB8f3FU!v^>o`B}?PGq)w*~)c?oY^&)$3U7w}Uo6qJ9HE_@g}H4;mo;+}#Q^ z|K=2NV?BFM&YbSANxUcD->w(+8~#KAb@YdAqMC?vwk+Q04|kdm#GePkd>CEg&x6bd zDV@(N$bp5kbeli7?~`TU_28c5=dkP4y%JLKUx3MMuydUeA_eV|pW%J<`VwKW( z=ZAQoDT()=iT9e8ct>%V7Qbx2^&8UCmh;b(t{+O-ettdg>tFc34#oBUDI5=_8ktzH z?dJcGeZPdFe*6xCs2b(5UcE!ue}X{$_^kv{HR{FsCH9{&E&EUWo>Hbil>K2`h))dh z<2RQw{p-l}?cw|q^4ixD_Z=&E*ZbpZcCZb>N5;8Nbxv5SZ1E+3=`tTIV$ zPsoxe3pg1`@^|r;_T;S24>?*~hCD~aN`B;JTQ3AU7tg6(8}OiW9zrFdF6hoONhlAf zIl*`H6+}{JIE!o>%&4;w9|D*0oSVaJGXOtJi2ik^gk(>Tmy&!9bV(GCo|5v>Sdrw1 zfjlZ$+7L@GMoY9e&P!e7&kZV@f)z=`Z?f@8qO3qB$$uUzlDvmYN&ZX@o05G!cuLAQ z;Upr-cd=Ov9$M(Uj_hHc^SIqa;@h#yxYfQ8i;{er;U26@TJlIKsk1#XN%C70=g1KE z2E_eBjGIqS&A8)iiwCx1{FipfvVR?0=zHp$ z3Mt=;z)mD!`HxT^hkIhagM19nUG5%WXY-kqlFR5+3`i=@p_T+lN8xOYNy--yo5x1^ zGuY04uw&8JlhzJzek8&l{!1^;Mwp);BGEs(2!IiAeHGx_xydk>cKm+p$kvD zF?{%y---GNPLTRAoH;p+=iFm5)0{VPG#e9%@y{2~WdtS(^*E*^p^nELN%=*Xl?-PL zZfp^B{sjK?T?(Cf$gU6k_}#MX@JWUIJGkVzF?V&(EIWh`^x}J-yc=HmJksz&NXs46 zOBif*ZYD)H@tlXll4*v+i00mZ19VB0IYc4Jf2bqzRuPYvU97)+DtUI4jQjIMAsNZN zOesnJd)-VcawETz{BAL^&_A2pUk0l3tI7Rb2QuO8IM-I-5j9l8qj8B|FXAj8`orf5)c90a%@zS*@2N&$oZyPG7@iNkvb+ zlKh3;ga+AZtu>^444owT5kuHk0aRyWrr&a&^UdAU<}5%Z8}Fc&+}zJ6Pm%zy;91<< zzd~N(=I)U?Ztf$tY;NvDhBi0%jNPr*=6)ZcOU~ERFd%9CN7RxAU&0AV{u~l6$uGro z&*buFhb`2;p4BHq$~PUBWxpRb_w}fc!ZCH;Kt9sVowQ~QXz|~%0NZ#QvriJ>4P=rI zUPgpp3!}dn{>hNuy=WhXT>Yys{4dNHdEf0`0_3k?_T9#;72nIj?mR1>!shsiu$NDy zK055>+p#i6xt9}Q=9Y0O0?AIdkPS(GA)u1LCtz4o{+Ae#bbK5Jw+uS7;Li#>^?YRC z5Bx-y(Z?qheg6(S-bTb3&vH3D?AqQ^=7n8*J^?Qe37AX$Jfo-&KOh;m@SLx6mSuiT z@v36u!E}}cz8Ww|zGn)+VZGgRAX`bb&_C#7Oo{yqomn&aQ{@3gKtm47vavzu58?l; zQs~T~?~emN{Ln1>1KPyDcyG=S!R}T^X4$6>EAYKWJqEANoPGJzIoAgHf11vpjPXgI zuilSC4)R7o-lHTN=MbS}r%lM9B)^h?-HhaSCy3(-Lj79-eli$YjC}BnBB1ke`Z5fu zvjBbt&e*pbot=@(Uqo=W$*%@`Y8b5x4q>YxIrjCQH!}p?%_jD_kksJ>^BEGL&PLdu zguJnF9n1WZ1oqM=sput8YHVD06RBjQH$KTuCo&f$`D2+o-UQV-kVUi$^2WyXX~scP z(d(Zie+t(@$xdDkCFSd3U6OxWubABGyo_uC9yd0wEAdLQaVfRr#`RtDBndDSZ@fdw z|2^?9Ve+Z(-SVW6rx`5k9%isQdsCm+UFhsMjGaS42`$sd0}W4Fa*oP zq`8aw`7ks;WKX%pp&61D8`mdTDw1Hca88oH8Zb%6x5c=m{0OGLq~pt|p9sdMunZ;# zXIguqjc9q5UNgSUQ=Z8D{o<{aeL&eEx-+&T>?2`8b6q(n^De_Y((dAuY=pt&<`-{iI# z?Z5amF>;XLp^D^GDamCLVu$XumB@{6NX_SbW{iffFOO@__K@gZMH;)*%`Vq3c6Jl> zqf$exRW=got>&Wj@#U|+!^A~9Pr@69hg!j@&f)X{QU;EjGd)>!S#n{>MJ;$qPMqyUpPuY>72sFGHot=E6a=4Sg^;s*vsMV z|4|94_2%gK@?IjZ(48@mF*%6s*)7+{u`cgJg8)UvzV&r7Palc*r`p>ILKg|aD{{a91|NlPy@p%9M literal 0 HcmV?d00001 diff --git a/adder/db/adder.cmp0.ddb b/adder/db/adder.cmp0.ddb new file mode 100644 index 0000000000000000000000000000000000000000..70cb394f43e46106b00a7b0d97dd95c9e5bff8fc GIT binary patch literal 59317 zcmeFXWm9F#5;llyqG6#T3P$atgMPynGX^O2uLM7D2UDHBk=iK#n{2o+}4Jei-Cz)*xB65i1-@|8!;0* zBQpo%Hzp=7Vnt&I7jr{n;vf2kmc-wP6{Uz(jSYy!jLe-r&CcfFKGy&N;X3$d0C|A; zcWeayJGz0MYQuKOEfd}QQ%WC+`-!Z8lOXj|IP8x$HLoFwBmj4& zbTyL{KrP~vFA4}TqWTu(`fhpVc+dZm?S1aJeWE?@n>7v0_B>y;tn9pZ^<7@`%yB&L zY_eWgfjVshn$(Ed^r>=j_Bob}mD4;rf_FqP!{4_KZlQWo-p@dA=1BASie`>}ox;Z) zjxq9)J&enOZ-A>|%q_~WYS2|ldN04lN1m8J6aa?2mAuCa=Y{A}!+s47ucd57%vE^WOIoSHQ34- zo-e22RN54HRBhBP)Mi4iM4k}j`;+*b_oW_-Lb>2#O0_=7RO!Jc6ygmH=lR@-w-`e| z{pf!h*CuVm_g!mXmC$x#uwdfITDAZyOuq-hWSw zM@2GxR?J7@9Ivrt?>G6dKPSF>^O(S>?eGN*7WUnut!mF(oivjtzC~(LNV9t|pRA&7Hufh#<*5Svk8X7ppn)ETmV&`k;mIuNR z%{W+|T$Tfm^w%#j#0ta;EkmdR8j1O9QdG)Q9I{x^?`+hxzvX&KbqO|n0XXg8HN)pe zd5|ZS#Dk}fAOSA?RhauFB*T%^QN)6y@31b1O_BE8v<$SwHAq?tXV_Hh3vvX7{Ur5C zQluLk#cH2A-YUY7`}K>%T2lO_+$Quc(GA=wCk|Zhz6N2(wEMym5ePi2oW`An$&T>k zaAfg5x-G$7X!hB5>L(%hN5c8SS<2mh=-0nhH98J4>>}9^Q4cUhA1r#&QZdNFY&R{x zB$O2LEZNm84c`1IF3YR z97e-vYqX<^tG{OF#lkV4 zt`p?rG_!TxWP+73c^qPJ~WV%Vh>5k z4?Ol4^dbsPfd@y~5vYSmEzV`glKxg;DsO$i%!LtMpu1x5ZDZ)f%Gn7}Ef2o+e^&LoW!T-|%INTIXJ94C4XrU3xZ^`-M z$wahaEKJ5%@REJt8;JKIiYth;Bx?3)2aimvhR8iLo5!jh+&HDJjtnBr^} zoze{4(vPA+o%ipJrfzq>?3D6BnUM@)%gBT5)LS+{|KC-LfQ9SXExTf;PG7gIe6&aB zz-qZCyi?!}wI2#Tzmem?i|pIbH6TyBW2q-YQIG1uUZbIR`*e(U=(_6RVsCUCQ?fUn zzm343##x$ge0XYW{KEGkA6nonz)Q$01U&U^BCp@BWCTV7Wtd2sv zbJq=!xgO5pcDW#Z4~`dc$!%LVoAf<^7y1xz8Jzp&q`Q{7Z$THQe0d6!>z6(yi60%5 zG&uql9YLwt%khluK4N3Smo|=v9mhZ4v1~KAQ&MeJSd9UOwF8%TX6TbTI^BtkX*^P4 z1&*__BCh!Z63QDBUom_mT&-N0aH#KXl|*6fH@rJLo!m}S#7Il%cvIp-R6^V54b8wd zS}~&B&u->+!JEijGGWi~Fmm>tt2`o9o7#$4Gbp|`Om%pLv*abOWN#ZFR=eHPzHW>Y z%08i)1ORg-02zJvV^MdnomoWi0(euVRz#2L3~CML6`8B7351C}5@#Zni-i*1+ZyO2cw?9Otr?t{^s=sWF zNKfLC(S^Kdpe%f>+_3a(OD8=Y)Y-KIJcCvy066AUF3s&Jeuk?+SGsPx*BKLuFN|6z zmf^HGfx#V@jBn3yyT6t{C@O?#iAx8~XA#5HMhN#D7uy-(Io!N+ko#wEV1mzZVcSiP zeLs+e;xSM&k1B^xjYc_5658FBn*h!u9mIff`xy?d2WP#guO}?HCU&C9viJ)W7&%h%R z$7Svsgd(^9aYSA}2s2hW-p+XX9RL~BC`0pB`wpt}%hFx>*fw9Gq^O5O{g@)>bpI_S z70m#qTCR}1M1B@v#MG5Cy)p%Fqt)Z8%^lHpxIhi&pYJh$uI{6?R~i&#ZP%qrg+6gnyf%5jsbrN*uGUW&Fgg4yZI z)>L6s)Zcl1im_z(CttB4%<)OacIq&K@hS-s?eUKOU6K%V@`*t3&k2LDs)Q~BX9qR@ z6t-uayzaAb(Vv+}j9zZALuw<(VVUt|RjHMm?%`kb?PRdEkm^da2Ov(R6s* z9{D`&4Sc9ml~E4P7jV$Rb(z;^+?U+FMWGSv8h%L{Q(+f9si#gK)@1ly;cVA z)$|&@m35-zopOLFCM!}VW-zCNQ^(DH^fG@jgQY%%fD$L@LYuboV4D3s$@fxjHi-b_ zg`E=%{Jz@MX1}0&yAP|PIyvDz&syXwRBfqdhC#kPFE`))A6)+Y z)%lL2GXV-$SwoaeC-VZT7`ZUi=hcV29=#cAHa%lc_Z_)FzJmvtbTaK$*=;3E$~W^vNe*rrGvih*@=3M`Q4Hqeic z0Awf%K-bK|5e%O_Q!QLE^@P!<$^R-5whMa-XNp4(L5v-wmgA1m7s^e9Zh+14>^$t( zQs()=-et8l?jB=68~9nP9{UrTs#frtn5ac^%tf0fEI&;v?p$BHzNv>uXLD zzj(;nFn{?gM204RR4(?*M~B;EyEbI7TGTOlTlSS}*=2_7Ir6)5@U$GU9-)7D`J|5{ z4+A;_hR><9>Cu!?zQWO-!mflTm%&VaZg_;%+4-C0QQSW|84Tx6X+x!UJob9lG?J~H=lMyL{*5R`$RRa9K) zgGjB{$#Ax3=SJatv1{gT41CL#1_l~)?fSL1iwe8HjI%P)pdCMRnnzc~j^%=rF7uj~ z2^xC0>l{+!J75HV^;seZchD5c@jT7w*2t|aM}O&#okFAj)uPL$2b;fgQGOIDsUh%i zZSGH0&imd|!uI~Myj7MCfuOMvhiMrBTOh0J4022vQm?edCT;8g;Gy(Z(K2x8zb z9c}gvi{B(9DX@WEX4)~T)+)k5?;vT}#DOmOVlCLb&2SBO9;VN&@Q;NJC|=^#(h3Y- z@nUxV1Y~@h_LlK>Pk{oFw3s4Y4sG<@l~jI+HOU#(JQUAE z?{}FcJkp_)v{^4dnNtuo|4i+-u9w`rI#L!U5&fpi+{q4Y3gGLFgoeL21-p1|Ln)oYJVBC$slmy?|2FD8X~Eu z{_#9#j!lvU){=$Zmos+&1F9T{?Rlv zYfn;7ODjqMt23aN!a#ER3N{r{Ppc4YcYjl_pYYZ+t-JnMM_&sP{mU0~EBtf9XU>U- zt_$>XceJQZ6s=ydmAP+a9pc$9LHFpTn&e#gDg$VzaBN;{1z8m@)=u869Tg)tWnSxN zG3QERJ%f1K+3++X0QG2;#*rQ)fUK5)-FM?&0t%Ry_Zf&G%G*!Sr;7X zy|(VbK@o>4`Wojbx+b*JY1lbYE7^eU>&S6}_n?|6HrCCeG@x$M@XfuT)tHN)XTdk3 zAPUWo^`5%vyiNdz(SGt}&*mW7hPoZ>q$;NUrTci;vN@Bis#t(HGI9r0$y(DEOJ_m= zuTw?~S7W`0-ZtXGoGRg?;_7vGeJOI)Tqvy(Cfjwm?PS-+vr5HlPU0dmq?2NG57(aq z7XDi!ThHE?v|qg29PUrR8-l9cBv~u)Uh|GQT>aQkn|-k%&LRH{#QvbjH$dIP8t-c* zB%%c(*RGML)q)c`gZq|shZ>{A3zZ*DSO;j<5QL57kE_*u@x~cKtIObU7)wxSmy2P# zuEXWnfvi*BdC@$_ML+M*A>Hx@ey2$uU}QVa12`S&7j^?l^`y#y@*8hYUGy5NZ?Hsz zHx|v>idnw%9(7bzikV`fdjT(<=2ir0HI)2YvbP-k&I3)Y&i!|dVZmq+?L!OTc`EZJ zk?p@oTA~=)TQJg0L=I&PgU_=DM3hrt_A`j>Bg;+W95z98J~qbls8zX}e?Fw>q1X>v zL9PY6NTAa_5-+zsSK04!HBD93(U2{ePrU3MUZ}PD1;fjOP<0VL)!=`aU6Sn$jVj^f z3%8@9uLCj4d$v1|?d>rxad4pFd+BHcDRHatM=aU1=`qO)jISs}_MNm1>q<{3zDylphle(2Bh z7|6v{b9sPgv_Qs+WHeTYed{(HLo=}RMI{(Ivv*UkNt3T<^zsJ15Fqo#maxG08DvNO zeac|=dN{W4p52N!a1p>ueNx=`^})`aK+kPJoDfS|6|!TX_Mo}P^32D5nGc`jve!;kxw z50UVToyJ!toHaQjXZ+B*K*#e{`lYdh9jUGr+`g?p0c*=H?XeHKgecnA?nup#P*nCE zR9(&F0=^9_xK@d0E=4=mhP_vLF4NrFbxJPbs&wGkMB*LNE~DT_%GxXH9NDQEzRdRV zZhrcarwL*!5QX5p`OFE7+O=9vqoAu4mNVv=#p*Ara{JbZ-qcL0SM+}{{qLWxZG$3o zfli~nRLgq5tXYn64^zlA`BL@!LZg=*rHy}T?m!t0{PI7CK1>15n)kx;HRC5Z?;CS5 zGd37+jx1y`wm6Hxd|SeFO(=V;5hpyQ-pJjbSF@abq?mtP4ZVj|`z5*DBQ@|Vpz00q zVa@N`>Uf~jJBPD=%V&W^@ThTgp_^qo@Q0vwejysH1efc_F4<4cN)*RIeKW13X(Gjl z?xn)wo(^hqD`uS2*dejn-&`>i0%L{8*h8K8(wD0#Ri^I1&4SD9@yC-uLX3yt#6_mk zfkSPb_zzYJQX8kjv^5Ta%|zAK9dCPeag1gWQDSBXxHn`(s*ZDNllvjHb zA1@P9g`HXOCsHN3-3Aj79|uk^xwqUON=0RPZr`ti_oy>`OK=BOi>G0n8F4YI*x~_u zl5Z-8a5<^AHgwDPm|kqR8z!lUeDtR1_%VK)5n1m-tER%riN&Fp>T5_gpznK>HH-+* zF2~(pLsNKpb$J6FD<|mF?pI{K1j{aQzI)7`?KQc;r{RbFJj5`|$2d<=;W*fy^3rTc z!Q+p#{K^!yQo-w0H*9S)_Lbu{Vh{sX3#~TCe%aBEh5u~0Iq1HlDuqQg$lM1PFNWus zi+|}U{1)HRc-x@ghu~fGsImQP_^^MMC;UX&8Qe@088zc2QFbQnd0lgAnr~Q*S7`fo zv+^$OI3h~3_1gHTo?%53%{d&>#Yj0qCZm=o7K170vPn(Ia*d5S{>3N!RLMqPVCRv>G~B`CFRv+f5^f;Sfb==#8~05?LCy@G4$w)WLVH^A?tv&zdto*m#DFrtQc`~9-vIW;Iz zrh#Ue_9d|w`r}a?y*vaqeEP@Bdl}MAVfuFX9(A2Gp-727i52ZMK*)Ji&*>S!%77OV z+px&Qr(5qr`QdedxAznJi*N5uY>mclPuG*}jl3m0igUTuHq06KAkWtBu#Y);#NbT0 zseavog+TKMfzEE4;w!EjL!Vy9%s`eCLToaSGrCcCaB0-Q%c`pC7C*8QVdY$Td+PTj zZ4_E;G;5L(So&&H%j4y-wCz=w1fE17oTB8AaEqtuh30Ge*Q~n-W=UwTxVXvTtO~rG zf^B;D?3moXKLmBl$&SJ|DPEL>^X<@+yHEwfL5oA1L1k!9ex}Wl!%8-OJ;>|4O86k5 zOGqcWhxFNNls1EWfjd7giloGJt()=FNxu6QJj!usw&7JBl-$*_U@>;Kp-uS6#x*FeFP!VQ z=AHSUm4!grnMc8mN~&94(>EO~6c1>(^Jvk&8&yJ!F zevER0sP^T4*{2fctUa*JO&IIgMg9<;a4w&WeNDB-mnezr-v_R|3IY3QxxT`$FA*~B4eRna~v{QCUv4ec0Z=5afe>&-VSls&KP)yPWV)nHkjHJ`>s*B`5;`qp*yCa zbz3DiVp^nK%p{yVK+X}jmm=jE?~{+1zkh$XzFo`i*lj7wcPlxqo$jqlyfb)!;vX+#+PC*>_a;?I!~mq@jf@7fdJ;l;6}(y9+I7 zf9Hmh9%H?SKVRC=$kJWvrGD2kp`<<>et&0)*r65CTS{(iv(!tOg-n#$i)v`NG&Z=$x@c-Y&kc4mw=M&{5DB2E7!=M`B0 zVRBPNGf9hS&-+2Zi*>w(mY8dRP4%PG00-)k)fNEzOSR*vIs7%$z8vUQXt3%&WBK~wnY$`FwN!{EwuaHZqHo&LUI7FQ^w5DAtKKI?xZ zNGqu!`3E4V9$%L~1+Bq7GbgnFkKQR)C;>{69xe<~6gnTglH8o4OajzcY%GGC$n029 z8af*3uYT4aO7j2y-;PeEn{C@$7LgSK~$1UXh9sLC`BbA_% z@P7eIL2PjPJShJI_P>bzFUS6OD*xZtMVMGM!| zDQgIE_x!AG`}zcnuitgGMd%43R}Z#TSNA={wv=UR{u!JCuAp~Itm`1zGz!?hEAv^% z1Yvyn#;Y;62ko=;NS%#I#dHr2qcI;@xi4Mq8x9;~X`vTJirAUG$6CJjc%gIzKxTvd z45O$x=WR*}{Uf{Edc|rZAvpeyqnt=fzd!CvFgfiEhg}{t)mswQ*wXuL>vYHL9ZQLB zE!p3;2w;c&LiE8kO)d|gDQ#Fj`>WH2KIi!b{*_1~wARB+S}n);Zp3H=_kCuJzTq;j`h$5*F;7W^(H zk3UJGBK(HqMmV&@K^f~YaY$jdr@^56W=dIS|3yfJSOE*82IN7;7Egp`jp_n{8!33CgI9JtEwdwWLv=IW3+#?M) zW-HL~mkXrVU+rJ*n9f_SP}ZKYT@Uy*hK5;j_RaG;>Xkj*sJlyc&3q8OCdlNuFJdw2 z)6!p8w`zWs(=@?9)As3j-ydHp^AKJ~bcq0c2iq`RJt!Lil}lw(<>WL;ecA=IZf;L? z`Poahr8PLNPXbm6>DcFomO^Sd0bx7QH~Kk}^f zw7YZr#5X#1_~>~b#@F;Y4L>3;9e&tt@ZB#-O%bJyOb65laFz>#ieb|>`r7|#O*Sc^ z0bA&`z}>MqIvgys#rm1`tIdH>A>+Gn&tRQ+oU8;=Z%F(%lu73(sS1DG>*3y2Gic!XLI?Wr10mvghr|D|p zY+M(q=L8NyJ()}72U*Q6 zMuP&197Qk$2PogiE9l1t^gT__1eVwKAwXLMrE2kzYRN2o;o%HZI|_{!d%3_z**ZRA zf-*8>$5fw2s-liS*4gE4V2`F3D;HpBVcYFL${5wmBt(i7|tDD-xugc`Np3j{b9ph$7A;8X#pP4P%u*NZBY1U1*r5g}Q2g^lWzA zMJnpH`nu30afExwnr+^vS%Hbk8Q{tVm$ z2@VVZL;P3yj}VX$hzOY{WcI^hhD*>48JE@Z2Y#;Ij~_jt|0w?zJ}2{tSz!>Q5V@iM z+L|CnfTJVA!Eyep{8#v#L=S?>6B-o)f_&P}ObFTg^$7`qLjSA$NBEo!4fuj?j^ zZ8{ef;tx)$|G@r_@HzPd88--u0y+!)uPqDoASfI(BqaX7%6|n4XacyuKK;&h{OhvW zVJ@fOZf>q0_`k}3gwIJ4p--PaU;)>De{HvIRG$-s0#2GRYb*~YL|0&xb|29Oe*!R73@g7^+OD~CE0veR z8$Acic~Vq)rcNE|+(`S(NZYpH+00E{7fWmG<2%75Qa-+o;pL9p%4&3i$yM~lm)}nV z?knE@gnmMT`^D;B>uvt|+WA$HHIl+|OTlNTBNq^m!?%_nbcd(g`q{lgM-Ofr$!|8W zAA7^QUiqT$uuf#3?ZHyHxt&Bc`LAxPNDt~05AwJ})0k}i=hRAWXv**%EkUZfY?-mC zP!9!omccg+9BYBSlwrIp5j7Ys84vF?gj;W{jC2Tc;*eHpcgnqNUTUlMUf|fTf_D>@ zYN=KZc;4Xxb`y#Voh} zq3&w(*IYv1p08=KENXmR9m=^5z`-#O2;Ho$@@N@Lkmf3yK{ZNh8{id`LzokI@0?;>rt|O?)!gJQxrgM-w*{{OpeYXBU4JBrl zTwB(aT%j17_Az|~Sk-?xk!90II_TOm5)if<$4h|hP7A2LuK|ntp-;s+Dh|AJ`<=qJ4ws3bo1ciGvO-5A*vd_ zF<&Xmyk)K|;^Qcp3eue)@FO|1Taz+W`Dj;a5o6ixC=geEOT?|YEqCd^9F?30 zyGR?qdb!G2ezu5dLiRA*#4`tqowLZL1GhyJibn<5YE|;UBL>(D@FStmj$QvpHga3- z@b4K+*b7o8j8Ll#toNTp0fnxDeeFk_W3boTa`1ZC{*VjikS zRqbT6Zt%KxgL`dUN83rdn%sZl2HYsvR*gbpW4Q4;+Ab3ZTwO|gosONjp^fUu?t&tLBWo)|JiY3f6&fD@Vjp+=f z^jYGI$wJR|ILP@XHGBN1Ohi?4Eoe8CJ74PT0+%1cTg@1FLCu#<4t9Iw7*)AD+^=l_ zN&bzy_!)0eJnciqyIe@;`!{VGQyYhPN}ceo4lkk}YB(?Zx=saYi&PDiNvO}~^h|k8 zv~l*z8m9-bN}eIjsn|YYm2Zfytvpk^^W$=RX9p0)%(xX1?M<5FK{o`?{@s@9Qxihi z-UtnJ<~7u2?l(_WN3S6QQbF_UHaEp(!j_C}fI837%MjhB8|xVc6vUY-m=}CkhjK{8 zul96xuv4IF|0R-7#gFJlPW2iDsZ#)7$80}dC9^e28I`Jg+s0lU)LS-7`8whn=(d3Q zH~*495Bcz;ECm0k^qF8hpGTX`v6uUAl#VFIIeg)oZ?NXy| z4PROTkbe;5?GQvYDa?qpem2f3It=J8JU$puJOVn5fLg5A#f~Hz-yw2zKT0FPA+#@11Tb;Y21ARdCmHi+u?7%h-(xIG|Z(udG z5j66@6lpvuFc5kxO*#hO3SYm}KN?%$aK(H~PP^v`^7mIh z{Gr%Fq*8_m+b4KyOwE8JLTd0;);^2*N_mKRbC}*5Tc3$mZqBkJgm!5 zBgXz7Y<)rW^(sf7;L8-GkE^9a3#p0ciE?EAg(qUhmC5KN_*pv^)-dRntn~%wX2d1P zlQC9Jj~09rJK|= zj2RE#F1}28(o(DCN>pe)Nd-5%Y#(zmqHE;iN$b*MRB5TYK+EsR*+^4# zAn%%=0yah~i%*T+18UofvJVVRFEI4aTS><7fa??8K6Kr~{j+Ma+#YU=z#k2?pOTK6 ztiWx}!N0`UK3#CopwM!_$=A*Pu&4vwI81|82E*3m+ZtG0{IGUg!p))3D4d}Sklc5(zs8U zDwcaKU>-jZKO0*z@YXUp*w1JN@)4~UEL}9HUH6OfZZ?kMPHu{vnauaZ7aYqoQvc;g zUL?u-z-HkEv?Hc|Or>IMOk5;v9EA~n`TV#yHtuWQ@aoYl_2^RSY_n=v91-y<5q-yM zXoPijXcuz;FL^d7oBX|_sn$kf&50*U>|<}`FM-Oij~%>%;q!i$sE={9gQZ+Aus06~&A zq$eCVT}Nu$h}}!^$IU>O6zI=xj{859o(+lu+lF6`&iaei-!00mGSXn zC+~Z5`An<4UdcBiGo7s!IqjWo~3p_?GCD4-LV2{ z;NVlv%&msw3NK?LU9VFqex!w+>H?%~l8t?n#e%ayk|;L6hdb;`-P$P)PI@U^m9!Y= z$Cr#0>GR)lOe3g+8^SX(;^;JZun;lMruh?km9@`mwar3pmVPo7h6q;NXV*iMQ!nRk zxUav>^>fTFecz}oe*oX+ca7or(=DDkpyp8lwPa3YC-I>2G+c@kfD?6ClDx+U#CS(Py zX5mZJc$#P<$K6hoO%Y2?gEEd+GZe)d1}qr3iEC6AL>arKJAEDR4>&(LR{N|m480J1 zbZ;F*oqJw|D-0BPy4zMRX>U0vc<(n zk3^^#&GH4XU^KOp9EKlXo0jS~hq6~RI7}-mF%zv4v=;EnB=HYPj7 z?pawPE=H_E((>0fLe*1qJfdK8T{SC9tXpGtacMGTplVw(^)WjtzFJR0{VRMeQ7P>6 zHBu~wspg@MPpqMfH~&2XGj{bkR${d+o(BNDQ==VGIRSxp68y?9QqSZjlIG9@T7$H< zHLz{a>`62*8kY|2s@>w!?g7l1Y^NBGc$~nL`nPQUnzo_UFF;-$cQNC*TC!YUQ8}{0 z_w8&SbPJm&#*3EB_6bRdyne3S0PYR9!F+XtMV4`_%ZSM@7E#jUUr^~L2t7xcOZPd`wEK!tCG?N{PLrSyedULn7BoAa@cuEKOoC%4PV zMu}tfR$k%rCXciCWA;>MVjJH^qkL+%Hj!jovtbE8pU0itpLwz}*XgOO3&}r=MW)kL zS&6udpo$iOF~*(^W3y$Omu+ZM<3}X=!r-GX9~pST#9wZahp7DXL~;XwcT{r+*U)09 zIA~?jOe~3XatDXl`LXT5dhkct!tBO2R$0= z@}AoT544agwpokOevDc4jsN=6KEz~rve9Tce4gdWyTMcDo<+kEU^30H>B%$Sye0W? zf0VpXCsBP)aB=J(alHnq!_lKoK80vc8J4JNC>-*2hDUUbYT-r7R(O_J9|CbbCIGbu zIuK&^7y8CA4KaK+9O{tx$S;7o8XZYz(PCgwjkB7pHJuemyGE?jpS1!{;PYLg1xHoJ z0v2Vjwue)7wo;cnuuD6$-=R$MAkpUd%h&SYKFLKl3uPTP{Hl~BCHN!_r(+d9YPKW~ zWlq>AF>5>LRd7WY=shycfLAfy8fU0KW`CeM1vPpC%I^XMdLXisWcv?-_2n>052A#P z*trAVn6BKwrlDbbxLn9El>)Rs+hk(mbjA5tsOd{)@H#^(2vcgQeN}+0`^I$kE-qNG z=6y0rZ|*GwY1GEJcnjU01Q`yz?>^eZaV%!^zgVsYgqfl*^xeeV;joL({5DZdv~{N}ZFz=NOPK08*j0!W4i ze3}{XcsJVPm6xsn#!^n*_=Mke$nWu9#H&rWe~K@kMttEn9o`0f-7NOm&2kk$dNiQ> z{D8+6m3{Vhg4(p@*QuL~&$sSAm;O`XR#hGKu+p?S+l}8_6sikzF(qE99uOo24V#x+ zyVr~1J`(|?Z1@4?Vpo)}T%X>U=;7Q|Ep6K}4bhy#efI|-M!)P)puTY#0ooP0h{;XN zX1Gx;yec3hQI&sijS_zZVk}Mu5TY9x>-T4^VkFTF)6^<9HAB%Ka8)1Qyj(2>J^%Jg znGTMWbA`?cML-(dUwYOp89cS@2u@>Ib0|dfnUsU?d(U)y%oR))1nJ^9dwh^dei(Ta zTD%`?30V9)H1(|;4mCe%rE_9!cKjX*Dg-eoi`_-BH&Xr}AQ_ zl*V%Wrue-F5 zoMGtOvi>LFVNMns=q>SKNp7UtafYI8mq`=~fKSBX4L53XmDL{*(mNN_EnQm(*@KN; z#iLEWq`^o%Jr*MyQO56k_+)cfp8@FNoewV*$VY3*Uw{N-BqmY(VzV=vYf6&eH5-8R z*^9Ti@&$-l9LHqIOxuE8DEE8^DkVzwpmd)^<-b;>96U+Uo#w&>iSt^j@Ryzu`Vdxz)Pqj{@CAtqHb zGt>qB;7%!L=Ay`rJG{z>wI}hfi2H676CjEsYl;NXS(P@49JcQ|e3_AGuODsp#Np58 z09#=cQn%2rt0x({5sdVK5aQZGrv=^xsRk}E?6Hf*Kd363hge%<*DY%5C65ukeTZv| zTXIE{Zf`$Hmty}~mG7km@Q8q@qv=89Enh4p;g7$dw-ZBs+!|$a)E#9M`&HhBNFIwYBd`j#q%{6Wby;nURRQ&wv^9+^ltg zmTR_)+Nr^biMLnji-B40g*{b<$z?EgA@&6M0s)&(sR8>%Kph)R7KQPM+Ua((c~RCv zrBPkM`pS9S+*7GJv-QE4 zVEoK-*<9)7{=QLz-4vsu$N&*DwB{BXq=mTX zC`qWGugIX}Bqm(wVUl0Fe+1BzU@Cvo9@>>UK`0JfAn~aX+)=lyztCKAP$P47BR6XH zFCxj^qlgwPY=Z=3gMTg@^~v>eGubPS5ODDNS(^B8#kt6gtyv*kP>uee264HvP{ zBv4z8f#p(5Q5oAk2b(&T=9+7oygw4a#yEU-`{GiCb$aeS2y zdRXgtjglZC{i|ug{^;@PtB!}CfRT@nRX2?Iz2`2jh~^jPQ?h;b-DR+P$%hi*!PCLKI$P3@( zW!Y2YK{60?B?VyyaQ&pOlhY}~!EXJDNs19oeyiR=>_vzK<1eS*DUPa9;g8(Cgq6M| zDKCu1YFf7y>tDK4eLT)TL6V5pOTOfsPLVfSwQlNxnhtgrMGM>c8!SOY(u^i;oPWO1 zMDMqWMwk+7!VK+Iz@-H*F$8z;9j@e&GeXc~W3jo$S3cOg<;>B%sH^W&8TcUof=oJW z^Dh3H2h+e(Mb0_`NgkRoqsR2MUTkTUmJOVYV_vv0KR)QvR(=l*)YllD-U#l~`BGzY zl2?w@eGf~s-Dy9m%EauTHH#^uP9ktXD;ZFBuiHdVyF?xJ&(S|iw}1LSsQVQwPG+Am zF2rBv)&DgqnAx*uN195f z>(cEJ^(e`Lk#K}w`Q#~0Bc%D~J9#r}^aY^1g&zJA04n%>(=#GGf!T04XOy3zDJ12+ zX7>Z$rC(9kz;9yfdm@NSDoE%aE~->pHgG2Hht$6G>nQ_Xb@RdA6}yj%Zm~OFHzqH9 zsR8N9Y04~mSPWg%_Rs3~c@|z$!yd#F<@IGQ+iN_g@O$}sA) z=ppS$dGZ#9jCw1-r-Sw0`Db^K{>jc*EkOq=SZ}aHqgUgs4h5Fb1Z4gM zJS>>{ebCQy*<+Vc{x>gqWlsvf<^j@K)GvkwmBfJ^qs)5OtTMv;+Rf9qezvw8S#1dMGgB=|vo`qeh!lAoJ(&}j>R+oDzWhCq@e$&v9xOV@c31wG-ylh5 zs29Fr61GVFAF94Os;wtjxGnBlT#FYi1cyMOXz>N>LLqNapV7H*41$xIBOw+BQCrvFM-n@ zU`FO22*5Pc;pa;GAN=%~{9pXM^Z0y-_rLgg5sxhKtkVVfkCd#eA&9V7NAG^w30J2L zGkvZIQ3p8Lhy4%PTOs~O_PlOyM+@lZ2*>68e*E{jxSV*{zkDQCaq0V zaQ;+TT#|B2pG;2CNB!KFciJbT{jTp014#%C!`DSp2Z{pG($TiQ-_kf@>8ONp~RE&;>j_hT+lY z)H(*asfyIkp;{9Xy)v~2tt8dRrNW5Xv!DL>XA5&k-&;5h4}wM``atC034Y==&XL9^ zZoTna;@C2b8Q*M_WT1?-H@*B=^ZN8j^jZE!2vx+$Lu1`>_0z!rP>uv z{QfSBrR?3$D;i4myw__p1Hv!H+qJZe$e*%4qRY3d3;S~+{d+rC>^-xt|44uPcdZoX zSy;uMS)>0W^1S1}I5_}n0}dZ*5ZS-razBE5aI;d^7By^-T5GT|%aaFxm;IGLC*a>f zwgZu6D6{^%^GFj1wq^wk)ek7c53Nj^|9su>XC=lD2F~;p9*4%mOM{MDeSc>9okTEO zJ)Xr6FUu(Q+yB2_Eh`;4+#c-%{XOZKZb02L$X;5F=MJAx-CS77%3 zcHI7zP39GyZp2SA+E&(8A@{5M|KjwL;E*_O{ro8AJA+3}xg@jF6k30~u8C!5sZJ0&Q6per$M~ zZzFhKWOo$ql(Q{;xZie6w^rh7fLDkIqD$$tBE&h_J^kGV6jq?us;s@zVK{P0ip z4%uOh>eNc4>YF=gFt1slBN*%K{EdW7c-pInw2wQ3>(5_*<&Tj*oy%_^x>i2zwoA`d zGj{cg@BHl8i~Bh8eK$o5w5gQ;fz(JinJ53p3RWQ6JJ!j9Ac`uH&m~HCjST))bRTc( zP)tjzIS7q^;@D^_>)!86&H<+U;>D%(jKE$i=!m>1{ghonK16GdpZfRa*aQ-`{XQ^K zOVf<9B&y<$;GeFXhHqHT^vam*r=}A!G?U*%jIwGwWX16+!*^I%O%|EyWT~TZts!p7^zvU6)q73P@iXF9kt95#vdKgFz8etoT3#aa%ILtMD zI$x7=B~-Hgz0j0lHPZX(SSxRzD%$e6h$wsHsf&pLVj7!`)_Uia3ApIiD(7S*m`o-m ztzVZlTlSv`Sc;VlUot_4$g$Hj6Ra{wnu?)1sob?ckake)w8;Sm)MebF2 z!6N+#zT_{%rW-}tPz;OTT1I z&WALC?Y_~3e>ae7({lX}LxT9Z^mW{;)`(ha!r{h@s(~gj0`Mc}lRuCTrH9H(z6s-7 z?aGba!#RSn0viEH2>!@IzcfQy!Tq0qOhM#t2VA&nh4F4!h43d1>pI~)?bH;(7wp#^ zm+$_Ebxal5Rw%!o|FHs<5NnmbP%;H|F#W>*gN`TQYI31)gkyzriC&9Gvg)7Re+0wl z0b9ZGo;~A@7sT^5Xbm#qqXGBD=EOVN_fitpU$$ zlOB1dd7g>{PNyIyJ+M3a6XZdQyF^1N$MZaZx<8Thm1pu#`pF?n%MECft;V)>$kWz%s+~&#HP`_;nE0@%GxY?fvcXcnxI4qU%O?a%>RrVr>Na0hGF(<5P&cxiu z0=}bmyLH16iEdunKsJ2E_}Xfl{5S`>T!M2E$(2S0@L4s=Xv=g%5L?Q1>4_%`#EE)KfL}_+poV)ggcxqj*G3wQx6>Nd(8a}_InWd z0T*0nc(accRUO{GcQ6>cajt?MBAqeLrVLKq7u%-hjkWN!Yi8@g4WERPq*4ci5_Q=C zZiCy`Qp>;Bmg}CIr-QpN!Iw8%NXTR*`%ew#4>JO6E%0{{kjWVHHsKc-Sb2(IQ{_+K z_q!Jlmp1n{^*BBmeEkb0@qfn)DnFrU?SoZ`PR_GrKDp)CBO2Q*8ct{A)q~L=hoesN z-+R5*rwdAUOinzLR4QfHY(f`T4zSYxp6;N;FR=5#O6=F_&(OOwatG1Ls(W<`&-TLc zuqJm7?s?W0IfgPxsFaW`*JEv|z2Vy{P3y5QaN?)A2iN}gHE5*`y4_1_tpdUEf;J=h zwIGDe>Da}0o^$=zV`RgDf|#Xh-d9ucKb}gq*n(U>?bYH9Fytlyzc`S z@rl(O!%3feY0ZrEIYsEgaTAZ*9RKi-Q@#ExXG*mC_DUpEgC z>y9;X^qk|kE&7AWRCCso$#Qd?*qy1#Ula~BJ{l8)tr5S;zarOlIP-d^#B`ZgWWIr$ zWU+s9H1qp^O|Bgao31us?$zGGp)GXtOo4%W#?{0qSBsy0j$W5wSX??s79_&2Y-#mW zQ-)h=B_kI0()vqj%WTaf;SILozH~M!J%)d+EyKE~xJNI2V3X}Ur?FhYqPZFss*8~V z$5)g6Jf{nebFP7^i!}nr+!hBtPh6Opu6ZY5e4^Dv^7@O%brVY<)sLlb(T*1elHlwX zJ)60vjVbPvOyjxvHF#NJGS@Wl-+%kL+m-|ZbOf^L`}C$#Qn$y}MFuYHPjN^EIwMm$ zM_|=x^?)^}&Xrj#VVnU~?@yAI9f9eBJeyV^TfW8(!2Rs-)UiD%!_}6n@fFZG-cH5U zn9Bt(HOO^PFxeYwu)`K|!cs?5oscy(Npu)B#kh{ijNtY6d5>4j;bn|ixoZrc2w?R# zZM)z-3Y0E?|4Rc8b;HsdYE9Bgv+*fE9y1PrnIE6oP7{Hsnlfw|t=$eYMJDE)sDE0m z#dLMeozdHkD>hz`!dgL>)Tx6l0wu|o5z1-pCvB?eYR(G`kQgX`hRR3FxdSoL& z`{wrVFLN1~!g00Aq*>=DoKe+o`pIX%mriJQb6s>lsrrSu+aQ^G{FBIafkZoqdAVtj zyK$gofb!1crMK4WgYOtskTEWzZNGxAq6c&PB;B1GS4dvR1Dbaa9%lQSA}uJvemJDM zKSTc>Xw@9eUYUu2{YY1_17i+Tn_a5CbnKtl`g7XgHzisU=Mzw6}jEB$TY_ncZZOSpHW8 z`^Ho63v|L3pg{H^j@!{a69OM=t#p|OGb4^|$>93puxL+L^9*HhGxet-JFzgLtiKI5 ztJI_ywFGy>=v-#WX)Z=*R;F2PcG|KldOP>q*TR_?C@3XJI z)m&!*+NKJ9OnNt|+>FjadpM>|SfuxKDouj*yFD~r1XDv+?s)lOD{ux8q>91X0tEodMbovV;W0tc+(#&pp6(oqv6lt-R(wQ zrj3>9eNaE3aIon}lu~C##Bsr@;#v~qR{~&ubSIivCpKoXz=Rl!Nu!(q#*8hs+l_I7;FC5GN z<9@u@@08yt8ST&@1|_{t>;}eXdh;z(Wd03NQjtFsNsd5oi>>4AzV-}3GwluKJ|W6H zVraa%XYD|Pk1@7(>fL7%aIw?K@6MBLZ3A;HyXP${nu zH<5Q=Yvs?GX}9NVgP|E8I-nj>sAtaH0OlJ_5+7EURS4EM>Gj`(c7R_-e|lwYPM8+&(*lJJXD3>BOJiH8`jjHqHCI~(ie_nn&tZ{@Hh?HTH$%b3^*3P zMrW1==QF%S4?Zgg^{q2_GHuD&$B3K^|j+)+Y(YuYUB9QhdU)A9ryGLF)iIA*HKd_r8$Z2Zik!I>fOD@ z4iW3|$FcS;ZSA~CB~c+@M)gP$W$O?qA8+#G@7g%D<}T)NjRem7sk$X*!`#Wb35yV1 zs;)Dlr!ju76*q6m5>cZv>tz@MP+Hrjkuz=?=GDS^F>%!Qqq|gcJ3=_P(%Cna$L3!O zN0je@PHGpyz&yXt3-+W!kcJEE!sGGpFR!0>bN4YYYk+SB*IavT)1E`lmA+mAZCv!< z`H7Qyr#MVf;|!fLtlW zPv-sCRTXMY+sm6OgN{M$_QgyQaFS2MyVW6kWIreS{D-^=_z8^ft%Ju#JxI@S51)d|IW4)$fVh5D!&qRqgG^t`)I~Pp3tO#dV z{w3{A-l9vh;@z!ab(W-c*GM1Ww;h|+T9V3$KxsW$o6eON3zDQs2d`A{LHy)_`p*{U z5sL@5GN^MC#np0Wci=Tv)o?!-i3MD5zqsk=>Q{Cydjf$`D4bzx9 zm`}NeE6j};J#i&1b0+N3A_xAFGpGN zpfs%2*g(~1rj8`m7?yx8wtX<3`D~5b8hk`(&E0`ntrn&LJ0-kQ%Q#W)bcYoq zD6txZouExyygCeU2K{{uxM-tyT1pUuo}Z+&tPWg4?3Aq4|%oDHLbr= zzpxQoqafe#lte!~i!2=3Vh`^%YwCGdbvciFEcyezBuXq`Hk^GTYS4bzpTaZrL;oHU47zjuJ_%>dY8k&)4_O# ze??_wMWwT{vV1b3QZ7_iQ>YwP)lnnD*-ri5DKaynw;b@yX zZmL&9etCtHWeL5-&{i)>soLt#rr^FS$EqWmG=oNe415XfLnUj@+teDHm=@d$8oC+n z^ML5nV#IY7^+0x?ZmN4drJwUADdN|Jj*S44JQ){;bxs#og1AcE%xgzh6f=mY?KVPu zQ$8_EI|?0vzGwQ(5$&9NhjFs99Fjm=q|ae)+Lnd(u%#ed5r5aJ#Tnx z81-3ERbs*C`zbR>9?U49Ls6FYhN~Oy-Q8U)a;cuh{h;hx7yOc&uf*O-Zf@PGR><=% zG%p{QEX0VdXl%EhxuC1eFr8V(vjY?Rtm=z%;=R*VzMC+et=W_4uoUQvQdIUib~X-1 zLlTN$*C9I}amDzTDTL8=0{yb)jBeiGoE}7Er#fmNi)BUmEPYR{4y2w!_VZ}L-^SRejaP?#!}-WvwEG}Y-KC}KO(RcG4mT` zUh)PLAN@=$6XuU(RaZR(OM5hR(6d)oV54n5DK_=W^q|}leHByreu1XwK|Ox+?YnM5 z3B0ZQ&e$ISD+t}{Za|ZR!)tp@I2B5nwWot2_Q7@kJ5*>f2&jZpvOLkW@vWVH9Nl+T zn+_V2%?qjtSz5RP9R0$z(v+|{wN8*Z>br^uyWhV-N^IzEK>jO^jQ<1Sq!szPa$Uq! z-aC{CD6mjaQO3ZL$&z}BIQ3hkr)q@cfpyoRp57;UM)q?mskM?3d%jKH;8C7p)F_AIw-qiLdzXFZ zBqi(yChL$}dI0mzUOB#YRr?O=epE}SPrc^U4R?LmqcWsJn7&w^B7rNG*$&%ztk2D_ zSDUVwn&qv2#sT2t!+SF`oUXH(?*So?MdN1MXyKVVWAoW!L_=*9|_?@0w_ znL{w7e5Dr)?Pu8Me$NE3O_Bjtf+ee0ifX^-7$5ko^w^Rrc{{Z63eNp@sxNivl0=^I zqfm#Y2@WStw4^$B=l&`fcUqOVm;pE&Q{8KS30&Yq|-MbNZlcg zqHFxwZc2D9tpG0T);L@h?QIq+Tejst(H}8bdhJuJ%3g=?&G<`@A5qqXC|DAI)GRn^ z6c}DubS+>I8PsO8Q2VnKzu+fK524xXBtcWt&866&WwTb4WW)lvhqj6+%5#P7OU{QT z2to-cuv(W?@Qgi47Afv<$TVwrfANds{Jusi?_l~U;Y9V^SVS!Gj#SpW6`uz3rN||? zs60&msA$X8nbU0Fa)z{KFnwmCU}!UKue>Q=A3Iw_@lk#2db<}&0KsuK*r{!v+sT1h zlh1TuCJGG#LF6ZIp8%FfUB#?Wfn%D?E3RS~Uo)~9aC2dmBd_$(u-aR`1EtdLs48LR z4}?syeSCWFnV+9HV@8(}i#AZ%X0le2-RgaotC*_&(HQER%Fx8J7Qhk3;@=6+;jvkWZ&PlelPiC zrRiPbf;|7lN~U=-)Tz?vFb0!Y^Z5hvydnoId4rVgpL;n*va|fPfZ~HLzDEr}kds`X zu&UDB4j;DYR3Jfp_NRBaHa5NOC0UL`(b<4LnU5biBYd$%i0wwc&RfA&(yLZch{WoF zv1>FXgXN(%k_*S$v+AS<&&fjwE>BVw-l`NOV8zi@!eJ< zg#(Z~NhzCCu$}dO!KX>q>RLX_9+es;G+$ADF)@66Y~@ZT?ce)hsZy*@-jFLK&7bBGkpI{Lb*D%iwrO*_%-`b-kW8ygrc~h`&r06OI z5SP9Y+(F=;;?5NeM9vZ~1=xB!o;Vj5__>cD-47AuvQ8MmSS!P`g2#xgX<1g*t^ z4-UT)U0WaS)(Ev5^qNL{k5JGH%(SBrSodN#nXwmWsZ;+6C^_L zKR;E@X!=E1!FkBci6rlza?6By)T#u==y+(SovgV-h2)@bLG%5D2-NLvdUTEDkq#U@*%5&K6MzI~By+X%8;7&%6chNouG1RQz+<_g_0HJX zO9|j{o;{_61ahfGk7ORGPF7HESW1PZQ}=?LtndZmQ~rkvN!}wznWXC(fVn49BthX*CcbHA5wU3jNYO~_2^;e@b+Ln-PfM@KAs%pP{l4_87p8%}rZv~z+= z5@Yb}hC)w`i;l%Nm+=?}E(M@}Ld2TKr(|XiK0H%vg!kg!uG5mQj_d*RnncoN_~XA-=oVHJdGwe|c`im&3nYEwYI0 z$${qcI=KwD;^2cW_`XeKte}Oc^g{X`tl8^vXlMjmYPWW{GB^E|TDJ5gL}iW)W&!Ni z;K>jbI#SJQma~1T84QdPtITX=S}dy~TdcP3HWh$6J03of^+~lDnb*l{6pn41k#L$j z$X^WF)wlzhNZ{SZpez(bcQl>?`zQTUhS~`gSPr5ZB)cyvWy(s$ZK@X^T4*dwf5vm}tSS(|bxzz+egifC5$z{!4763ND(^DI4{rLs2zrkQZP6~O`3ZtA!Lq*flDKwOm zCdwnoU8EkteHGq)=UCHAGJ;_Sj|TXp@8`z$80rj0_)4AJVz|zIA%5D-__5CUTMJLW zhLl^Ct{N;iSjR-^cXlYT^+5a8l*(fP<8Qy#_tSImfV>E)s6j>*^aa38C{6f)0h;yq zaI$d{(z1kBNj%@_(LsovN_b@UH~0}|c7<<$JXGPwk3eI4NqGtcdNKT#=yka4ZpM}; zQ%gcpMEGEr<0aSNS0AxyEy#$1Ygu-jBRq1cfK;?-ERhh+j8V}NTNY) zfH(6NR3w2@Vws0mc_w-O`P_lR8yTj<d2VT(glsQV2%gqpC2C<&|%tihV$xhu#9VZ}n1T!)IP8eQ-Mmb0!I4JGyIuFML` zwH}9JmWv%IiS09zFQq1=hc2!>f$^n3MiuABX@mYOW|6nPeUQ$V*hwQ89o2V&Ha@c? z4Y%rdV~S?ILN$!OOQRy`cdnV(MKRgxriNkN-*$b1dq76JUL%$X*JPRbF8hEkmB%(z zw2(1G8A*GQ2z$azUj!&rzwEe~JdGMn0d4cJPgOvS!SQXVh@gFFk5k?FwcP0&c=B&~ z8rdW_Cs@HlpOHG^ZzAu37}V+Xl&|~AxtJ&Q(_Sq;Wo10s&IX3K1EbteiT($$=~O4t zv~2IAn8)*rnU0pvv)i}Qn)u2pPg6=JeOFBKSv?+LwHlSQh< zzv;J8VkDo|t`_BnU)aiKF(Tw*Mu}Kh2nu|$WY3F3F?_*kYb|svCOVTPlAzqv!EOwX zU@cSwFMj05D&TXZ+F0oD)Qq>N!L{1YKN6*7k4?hQUxNBe8u&#mDO(Fort$S8Jl8n#=Hx6~P@q7~*ReXL?nxcUn9y!5*KY4}jXu3JOKnqp z9bwl_t`WJBR^AH!OXI2dEa+UxF**jgh+cVU>D9U*@Vu4+d8kYak0d(HLS+xEW+GJx8Su?+M54xj#q8 zkLKk~iwm;^J1#VX;Y)#_TgK5cQ!(1L7MTt^k%%#|=EA;DlQsM7Ux%7FX+z=aFe8EW zw1VnKEgbejjXB+R?g{#tub-u*<9?uB3JnZ94%W9iCW~lLamPtsVDXBk<0J@VGK7eK z3x{dN<0*-Vmu2@D@S>D5PL5NMudyX(WF=Hhi8s;wOXvuSURWX~Z;SQSh#QP?UigXs z!M1CV3Y#bjfYOM=>}@RKe;AvxtSmRe)L- z*xi)WP|MmkTcVlNoT^5tOz$3!kMH_6ch`vQb+S_c>Afl$nWzH;TD5M?&vcwmZrQa7 zUfM6E*o6!;mBlx#fH)NL?xB?DuKgf^M9hTh9J(3mgc|S$h&ryZ_~ex9tJyaO{fwcR%E1(gdEt)Uyy>ym0 z=?jo+x}t(c znX~W`2d>qh?)-YM_c_-=Mi#P}=A@3N zb3|W&&fR`Bca$ z<)rSj$3Fm>^PREf7Lu%+LZiI2$5U}z3!PEPhe~PWhCFL>+3*fOj+jB!gNB0Vah)U( z`iO(wA3cAFreJ0=A1$>c1lgT~z@Zc+4b6SJfvljm5`#Kl;o6u1H$>x0xYKKLKJmMG zFV$K_GGwV-&Tq`-5XToC`y=$CXvp^4+3%DK*fT|~e|`O@C3Y*8+yqUtv07)QJoKf8 z9N9nO6J=shLbH9D$wF}WeTb%b&D4ZSiQ%=cFPD{;$z0j!DUM9X9OU?{=LL@IhHH$XchC5 zb5$0Hc_M_<`LFo_XdU->NW(KZT2T2^)&N>do)S=#Kk7;Q`=hvGEhD82sG4}aO{LMA zb((p^Q59O^&2<&MMhy9;$Gl=L6)(}?{LnmWK z=-S~=L633zF=!2G3E>w?xLbIwl)^a0pLc{`Ub#aXnq7UO#Or0sx>_X2x+v z{@k?X%A!F<#&vQrz2q!Sj^<8g)ye~06nesRM?Ri}qldwB^v6*N7fP)Y$IWCN%CJ6mnQIR?8%g&I`O6RdD-zV|Sdb%hNS1|@t z4ejhJy^lq83Cm<4H&hHUGyQLT@qwhbimYWY)40VXUMA^ui!3^HC6ao8w%|G05bdW#1Rw+Z1^emB zusnKj?5t?_Wf9O2w8Jsry;DFj4sGi5eknseUkeqNl4yKuMbEG|%glp2RqxwPUzi^` zRmO`YyN!(k8qo}m`>>Be7ztpvAMuN;iiAo@^hDvM@X=~2C3FWnmDDF^jF&cR$*bmW zzRv3Kx(>Zmm2gtpFQ;`DH)}m-VlK;cii^^^c4MlC|2mgLBKzlNA@7e4IBe1e5+Llj zV?ae)ZOWb`%fwLjdfs}n(YOl~$$dke4tCbVF2>rBLy1`_m3z8VS@Drwo=E{?jg>G$ zOB#LDr@1CdXy-b-m~CV6AyR*|R@PXGLuLqba2Zaz4Vi7bDdn>oLX^?=dmh(|T!Tw= z^R;PEtc5h0<#y8h^Ca}h1%Qf?KKbP_CuG}&?WH$<+l8`wNzU1E-g@w6n(kR9GLQO3 zHq^~~M`!^9mX;+Wn1m`*d#5raAgG*#ZCQ2BUzQ1K8xL>PV(W;ndMAQFMT|TFwe8`J zZ;vi@1!>_Ltw^4^>cwB~k|F4PdLl~~Lq`4c<)3S-mLNFCwrSTE5~s?DnaarY5JjJb zlsDgh2tkT9-??R%JW?`Cc~yooAwvw&njF@yMHc=Pf>9o%ua)3a907pUgze8Qhw6Bt z7AzcAr#Oj&^g*K<_ct^08OuIZzEkq`Bh59bqn@T}WhJDCH|5s!7FH`HKwEoK@66@| zen7o7q^?+IWMAKF8Zin(%1Y3)VyGiIe&t*mGn*5HI&oo%&wj#(dRDL(pA3W^uQ9Sq zHCa?6-O7ba1>-Gd;;hG#{m$H7V|4Bip)5EIcTP%G6({|yqm^k@-!R4YpHt!vhjlI) z7u~V~1sn{R561iWCntn?scPS!3Rg@CEMm)SG%HDj37{KnOIr$;{KkvTB48tH-+@Ao zZBIG<5Ey6J@a5$jDmzLk4X7#y$4L+#!p11M2vEEdo+xnvX}P)?7qbmF>3clwc5(FZ zABmua-V4mtCzrXN3J%u_<#q+6U6_4rwkexzl-HV_k`JcYCXH2=|EhvqDY@_CST|Wu zJC{l8HcvR(mzhgoa<|!OJ;Trkti^ymG&NYs?*@lJ1<;PLc!$Fmj*x(P?rtUaQi8y_ zFc+BI(an~rA=b$J+ddH;xX5Csjt9TP_byBaH~YMj;qGC4B}kZkH!+D2R6T1Aoa1+w zh2*)v+%<2sZ{D_4w*VoIb|=+&??HdDM>yXFQ8rk!gBIAPqRs5PVp*Yr<(|d~m1UZ0 zbSzz4^c1qrNz^-UD4``y>ts@1NkO^uK2Fkka9bACEF5s;{vB@t5KJn3J1?8W=UV-F zMK0x0I6qJ!-h#`2;%L4IsxOx%@f5zGBAA(-=i)d`M#5w)-y)2Lf)6_$c$={V^!^&x zM8hhyZb>yj%hEMW+XxPf^5yE8D8{a4bJ78z8HTjL1pk9Xsjmk=OBCz-kCm>q={<_g zu9w;*rs9}jUl)Iy{cL<`O9xHd#%`;S1xx|@;`tnr#*^A8Yp$oefE}vO*;+rNKH&bF zP9R7LuOc&%AGlr{HC6J+Y@{T+U(8@ahgdFj9CiGT@#69Aiol!{F`(!r9 zApT;gLMeq10Ng52=xWQ?V)0%UkPo$@=F7ioczY!INh7%NCSFU=DOTWFEmm9Lt>D0r z$v+nJNMhJhehjbzvgk|e|0XNobXDGfl1yfNRTO^K&FGHZF7ZTVDAN=rKommnh4PGZM z39T}+7u~2*uF3T&WrRc3#+!JNXXa~CxYO@S6Prs4@V4M5-}V8cD%4!e*KgUyM2rxv zd3v=IvWc-4?y1wpSf=5d@@49Wx(Z@$w)3Cd-{JS@zxwUyS_{Q*P*i=Q)k4^enhU-a z${eGP68Wg}&O9@8B=6S9jAC8KI(r9{=@K*hA(TipsRot(S5ruX4jYt?GB3O5@QS!e ziE={x52lB0UY}25R8%+0o|)2-FW|z0H^$ltrtz-*H?LQDITVZcwp5bpSz@cxMK&t( zi-}lUkQP-V$$PURCv~*q4y8bB0O!VXl9u}-=i_hRFn;eV>&r5e{?++Yllg6kxT@5i z+J&}+P3(y|^6_IYRAjsGfwh=3q`f1Jv`iOn7|gW$`>tLcis5?aQ4w*hC)Yq_jEMZi z8<-?7YbfJ%>n%^6W%DpamM&%EXJ482?^s^;(MgdxCJH;Vqux54swxd`jQCkwp7Cp; zQEqXxv+fu^)oagE>R(VTR{GiJG?w&LaAHMk5IX=xPw&Y>YAB8)R9@L|K^s&%UhZ4b zRKKXkAA&R>O}uJCkeIkjp#D}NEaE8lTKN2~t+!d_|G* z;7{4Hxmn^-EI(pgWzcIyA7+xY07yw-0qhmDgqPVEzkb}F4Ou7vi8(KB98UUcKZ!uu z7Fv{T^EM7pp!Cqjf>*h=4(UVNeJap%1ug6>b8>`su0E?~{OoFp!kz9RPO6N{O;%k{ zR&FMb+U(z!Q;N{kkghaGN}uJ>OKJ6~sOlrq7B+i&No-X2by@6Z&4rupE%I(nwS^@* zuC(h7oCkeN5zhKe_S^Cg&-zMJTSmZkiSjA7p|}nJpnH4f0~Du~jGkfq?NMJlr@o>@ zLkTpLZ&bggCFMHB?({=(s6?Bi5i>tFCD8bIic}8H;hwHn<_mvPNr}xbr(rvjK-*J`M=!#D;hD25Y5z_L*m(Z;rMWxbK&@_)Q=)8E z^3;)ipuM29EiI`|jyOuafL-_Y#wf3qXvd{gGpRE~Fm6ugCsGhR3kWR2n)Uz(CMX36 zMW`>iLwvgVO|)a)2V@eeEV)Ubp@p?oi++R}3IH2TZMU3L8l2_DoPE^V?IQ2;5F}0= zkp{8*fR5E8s(nCeNBkMxN|X5=F?&b2>uoWTjYl8N!mL`h^ygv8RV#`Q2GQh6qwJB4 zon6pIiW?o%4diEo&#G5IUh5?^`6Abzl6aD5LDp)S^@k)sF;_aZWBsg1q4j8EHHsE% z70S}ssAR(^gsgF63GV75ASOQ3Cpf((hms>4LHqdsq82J+gtbarbq$!zb(Wp26@}8L zB)l@eMZU%l96GmGcw!RhT3Pt|T)Ufe?Z|=j?dn<>;V2w81Y35LVJ5K;XpaMn@Gh|7 z6iLSMEu0zzrbE$mp8cj)q1A~c@eya&{(b%s*Q=tN2VC#xp*7v$e*?Gl{K7cYvXzMc zj%t~<0g}gu??jnr!kokhZDsKS-Q{qKXQqb58l-VeY+wvz_?itY6k1L_awNNJm<~T@GuFC@2ZnJCxXe?!hLNl|dorI00qesD~Hbup~Cb zcAZw@B%8;c6GvnC93s4<1m1|B65N@*lMxy2gB2Xl(G2o2xK*_041&CdLmyAGDNAyw zQy&)MvtS5xg6RiKG}mVQhRgJ)riQCb#nke@D?$_>g{w*H0MvvK`6Qm95bMlrYS(?9 zGr?W?>A)NLMeNcS29SK=futecPSx~u^zEb7l<$qklgLLRb;YcZ+M{`V$niW7s;2ur zB-shGDO&24#HNfF@6bq{tQf7^(fK0ABGk5isJH;>Np44dl?ke>${cn7VpQIA3PPSS zsTuy1>$a%jyth5S}ppp-9VA74D9GQpTJ&d>W88d5SJ1FCylG#Sjm#Fg1SER=!1a zA_^Z+rE`+Bh?&n>Kx=i!lBo_2VQQniw(^? zTq*tNCCDG`3poDGKPEuIfs=!MWV$Gswa8=+H81gZvR`_4!o5mS|Js)QDxRquNNvD*zxi^`_4l>c zBoxQ!zusG&fV^BYWXm4?Rsb3Y8EI)K*EiQrNk7~SYO1G;BuAoo6M5Wj=7UA`Hc=7A zj+#EcRKnd}pXCS1MoG=T#uR;tv6GZEjB#%(kJQ=ug+ZB$GbR-EoPR}|Dj0SpYh%4n z(L;Y;!Uc9u#*na>V zmC!gV-0_4W7iixJ?D7a+T<-p2nU?sGX5fy=x?aw543AtwJ<&~j<9d8COJcl+^ppMMv#6?q-kB)dwP9_g00&9mKx&NWI(SFkHRC98b{rv zghrRWg&+h=wT=eJ^pL9vR+FKmVrP01N zxx0@jBO}@-^+7fg{Z_5tGFEK_)T}q`;yvW`{PD<%_v+#6HJV(CY$88V@|Xg6fQj}u z<)rJUU@XhWE^ivbn5Wy;1I1uRJ>}`F0HSGOy$s|I#*bsNymj#46#}-2<+q=;Ei6$T zegcP6c30RMoq8k}RD?cY$&BO!GyS#nq~;}MpBVh?zRp|k&@JE)`-GWIEnvDaHq@jmu7v$FjJf_U z=thldCWwVenKPSiBCz0ezUwJ+{4T5&SNQq6rPD^2NerRQOfhY8bI8}S{zJ}t!n}Wp zYb<|!Q9@LTvf#>a!)gt~W?-32jrjQ`2gAc2V3+Fm;vtMsdX$ zTn0jcU2IxoYM>QR@y`4k);zu8Zp*}qH~Wf{dr^5Nd*Uw5S9YFZ1G>hY#jXt56DP?C z7yNlgSLRpP2i$?)_H)_w-OMlz?#VAelms@{)48-Fr$Xr+yYRVTcYt7=b0+MM1;CQ1 z)Qk#EI4;?DWz(+gOysG0Tn0QQk6PP=%09=t@eW;?M@=@_qR50=6w*JP^BbSCDaW~+ zcZsy}uXSc}4KyHUYR$=G_&N6=hIY2p+JFjFUQNIOSJdt4aFcf8aG_*Qw#C(E}`!>JV9|=s;F%!Md`+1;Nl3?xpLb$BC!O zl!e&U9{n!*OCyHHI*SJks(b5{yuGADXj~AQ^5LNIMxifRtOz`~2$xpV1QRjXPg8{fXXM$z`xEUMdD0uP{98K*FY%0JL~mp8d|C)Y?AKiqBJR;K-4M# z+vgS1PboBiaD6L!|9vhEqj~3_VndZV=WCrcnshuN;96)-bDW#>4WTKqZ$lw)SCF5D zwD?w_xt6;0DkJowZ$;@XT^Ui%MIP)us|VZg3MUWQ(8ZPWX+fT^Q(b9g{#{1~=FPF| zSJ8nnf>rkjr3mdG&H*~VEYDX0pDRKrzsFQcI|z;1uu1O=zOjjLR+^Oj$P&$4kt9|x ztfjF?+%0Ni$bqJwS z9tf=&pnRbRr^RWGbCcdm1a(|FFXBR_^jYWgDea|f>B_M;M57=hq`LEsO3_XzvX2Jo z@_7yYgFJY^TD;!z;hiFCnVYnjD*<>N2zY>wZMsL{?Nn`m?#m+smMl#$_$&o3WJb-xCfc8HzZTXi{CpVDl*>=~l+ znJnDAU_Qo7C6Rw=|jt%Y_p1sbIq+sZP;@Q)ez(Vq%exnJ~g| zU&wLS2Tj)}wzWJ>0uACSHaysc8qDKd3O4CVN0akWDllCkGw(L9AZvl%RvmjvYX@t5 zKUib=V8h>pP)miGi0y(kzDuF>V0l&up)L&myetKQ%m|54IpZ6kwH8eR4US^NtAz$A zLJj8kxHP4_EFqL8=Ua#pcQ_D=>Ri6*A}L`lO&xnmO9yK#DCLjxN$H!R9^9Sev3wSw z2||sI?elTHr7I)Do0)wul;OW{nwEV^i_E>$gP8M$78;7yF^;o(HEA1KBxE%`k{TQ~ z)MTf7lcru1Ata~H%~T|*iGbw1EM9AogDuu;En`FNBFn`}Tqj5^13GXX?CdX!JEa*& zzBJBxN9T1Z`8qeH(DFL7;1 zb%Eu4jN1i$MpDpn-h>teKo*UCAU*6#{8|Oe2w64MaXW@O zr9E{zY=tv@r$#)XaMtGqi96`VRsqr!daLd!T^QQ5Z=sHXwb-~R9703U*I;{0Q#s&&3$g!D!WfTAomB)jy~ycYPVu2;ht&u+-669@`sx1SO!wMX=^IAkt6Fa4 zeBrBn`4d-<96+UU%_pT3$yh*OGtMc!tU?(*K1T+n&Y5)Wkru8a=b= zzH8u&uDPXIJ@C=}TR?}nn)GA(twX(dR|&@bd;Pw|y{!_QKA*O|f_+@WrP*J4>u?&R zAoc8Ad%m~Tmk4)uWE(v^{01%XUJ+ExkvPmt&Cz~^Q{ ztX}x?nnjilb0u-5-1fnSe++BGqBT?th{bx{A0*s5SOcrztb|A%9K{;DI4x-B+sU>j zO6dwm)3#o8iQoyFgiv=&?2v%9*eqE75j>QGX?j^NdPdMat|YuxxE9_2rV-HF*rx>DpANb|%mQ|PS@-J$67&13g5{qH zp{^68=>EK;$$9fF2X}D_^;ToggPY5_{5ca{>{xMtrF5=jv%xDx_SzIPuh(wq{aU_J}J#hX$nno zu%`MBLP17qRVU^~_29Vf4s)zu5ArM;W;ss~EmT6@Z@K0?7FKm$8D`S&adVM5e~diA z>J?XY;vHw}y%)KBn$k8Q-A8Iw=PN^6jCX4)==<+M!sDDg^yR+btA1zKl)guyYHOsl zc$hUiBgk`!2B9mL8*dMMCWTPv5jCue!0fA^78=dnP5MD7Hy)PsQks-5cX81-JA`wg z!R}tTE67CRtOv)wwLAw3gjR>rBv4JmUrhy%LUS(N)tsC3hTt3Tax^rT9n#|LK=X81 zaX}^lfW9Ydd2VI zuASMof7BmB+wUe{^wyN=Mi#O_Vw3eVsK@!W(=DQ9>Q%WKIch=ixab;5y}(j;2Z2 z@5|DB!f|fW)uB#_D-!Q?DcJU9x`)HcnLR@ao&{m$;M9q$!OspUxUL4FD~F2jwargj zC>hh>&g!nuaRp7KlpstILjl2ALe&U|!teBG>QF3ijTAokSF}*vA~r72D5CUyMW|vM zV1-YN9f;DzP{m>zS3#y83Qx*WVpptpX)uf z(xf>;zM$r6$2LXxw@_TTQzWHpTp5+~`$$$xgH_zB2+Q+uKxhEQ#ig04#8ox)I@ZWJ zzSXiwh#hf(2P8o3Ib&T)El-nHlv|CfNq(+2=c>UdX>o_+lM=lE(LGpGT4HbFN~v1P zCw#CfMh^~kL}*dJJd8Q4tU>6?p>CwOtfqgVXF!TxZxMM4g0OX{!Tg%a(0E$jYeSSk za-JBx?mwX%`vlQ}v&Kd5*||PQN|VUY;EIE__yP44Y^W!luhM%-q4fKzlR2dq>l+9D zOZQZJy1o_77X%yj^2WiLn&rA_F&M1+z_UKRK2bR|ryzQfNI^~0oWD+g>ojfB_vN|z zM$`Q&pN1L??@l&pqriCr$mL*PMeCwQQo30}X> zuAM_(?!UEBmjL~@Hfn2(-dh`A3AysTkh^aU-n&oe-Nd&c z>I>A}2!X&{r_hTOCO7eZal%|`htEnVjVq;1LhZ0ss2#R*t(27FE;NgV8dhV*l}ol> z5v!LERheQ0I3q5#M&WFcS{~l(9x^FS%hS03T4}kOW*4+oWDwcp_A&>B#cAic|ow^4tGEi`(UP_K|?c; ze4DMU?Kee2DIZ+R!PY4EY7HQD?8RDm!q&h)J*LGc(nQWca88SJ3{`Wk5}n|w$upLH z1KP4)-ZygBH)9APjKt}us1HUt3|(R>cUF9!#TOzyZq0vPvKb_ad##EEN;-|5#>Loxcv} z)Y)@Jnb#a@KKdNj-f8^E3&!|K6tO$@QGMg&&(;l1w=#~43P?;Y#Gu`d_iNUfFwNJ$c{ z;rl5mMVe|MnDC)SZi{`?`j97QAA9-o2;?rQW9_5mq0;aderrve1dq~#`NVv&yb{or zX=qy!Co@V3C1aYZ%P677DM6RdHT2JF>h~?AuiFI9+l8@|Z-pM~i6Jh$@uUY!h*=?v zObIKUW;SP=f`^iL);_~~vjbL*d}`gB1Wj%1^OAimGQ2kd<4WCjdFr@&dt+tR^Qmpv zHikcZAFHjA(#-Y*=miOoQ<`+5s!3NMIbTMnf}ET54%ew6w0w0Kp?cx`Vx@_tRxg^) zj&`>G3@t_iiSMyS&alQv#1%OY4{4Vfyl`;dnH^J*guuE;#nNGX3jXZkuxC`lfw zh}edY2IPi-#F_Nl=PN|a=Xaq^dut()^m;fTm(kR*Y<5{ zWUENb=Q*N5F40gq|J=>*H0hhv-Lj!BuA1h|=fFU-8EwU4Lmd@l*5?{~N^wQUnW6Xh z)|Z!X9Qz(pJ}V#E0kx2HEY_r*LYsfZu+Hjbp-j**eC3?dZ=HQoieHBMNwCJ?a@ zY^ak%FG-){%JRH5==HgP#NAB~B^v9`2UV=Wncm--r$8LnO@H$e9unfZJs|gn)cL=l z`v-%?E(o#T6YO(zi0h{zu0I5t0|IhK(5q;!c78tO<-bxswKjajrE*zi0e)=5MS|{c zuOefbcZVD|#raqjq|5o$L9b6&WXyR{Ct*r)b=Dd#RFmFRO|dYaYeT738D2Dfv*VLe z9BF@7pt&Guv0jLMuP_QRKJZyCXu52miSwsl3zn|}iC%YBgL-U@^{Mlzbc`Gn-ZXij z`mih0yo`piY^WoGZ(J)iQE8z)K*LydDB6R9LRmlbyTdhMPr|kx?It?T^d1l^$b)l(RBc+eihSXN~xK|$JwV|+^pG8zB<=iCf zh^Q!Z&-t3rD!7%cKQ|&;0h?ac!ty>MdQF z&wfGXxbkX~5K8Ab@PPTmeiLOKXN^4razfyIWAKEyUhAu&cGxj+-Ysyhj4Co;8A9O` z;~J3l(mPxkxg+@Vq7@O-tQwG2LR@iwiMIva zLRaSVj~>dpdqaB+ySD1Ve2@qAU?i4@hr8TFU$4_3bmdU{g#2|*uut5*^9w=3EkijL z%c%K#(EZua`dTNXMcg~|lOgB&l7v>z2EFbGE#c9#^ZP@68uw|BmR~sJg5g;ed(Y~@ z=^puvo}E_+{nIMB#1E-Db-AfO-^3}#=~X#`SZtgoC|#LG>tsA&q;F?75?f=W=Jie> zrfO?&&iO=+rt=G=NzaB>9ZM{i!QS9xmP+vfFVM?SR;Q}TV!)On-O()2QS3?Hklk9fE!0byra!M&_m|-bHea;Qky#peokb#_nTfQ^3<+>>F6if!y8L0w z@GtB`UxlDkQMQ<$3rH!p^kUz0Ct?EM059f@wIX@|>8~uZ_UWYs((6hdYv z72L#-D<_56=dYIFu%_<`G;zfK?vP&l6xGFs^eM>h!JKtOkh2V{JhgMJ~bRhyaXA-4^B;hjg# zKhQMiAGuU+(n4WI{?^b=h&lHMv^+~~#x{H**ifJ2$~4PW5F^J0ng0;V1W;Nnur5wn z;c1hO2{YGU3Tsp2yxz@@Pf9-u`ScrsX0hN2r-sVM><-KF5c+;(OU~72Nqf ztcrOcH`6ak+YC@YQjlKJ}~9NzSl>Yx5pzhwVdLCk5U24|NmP z7FsQ?a(rk9%TONvA+!_r3~^NpF~ssebevQAOb8WI=kB2UgQ30p{=nxaK~rjGx;kao z5b7_%@)e0_G3ybVUS6I`aeBl)51JUNMrtk`YHECxvTz;=3CO>KJoxI0f*jYWp`F?Jptuk*%~_$P*S?Rd(iZrURALnGJm`hnzcALgu*U`dN9o?f##-w zXb43jpMXRg?jPd1ErhD73rU?gZx#Dif9!$JxIhzacx0gI zMfcc3TR8Cfb3m#Fk!ZS9ps9v`geI1vMP7^fY5$t~$P=doP^h`wk-|bDuLOK6}*YS>s1x z#8tm(i}E+GVH&s;;hnloxiH#AwBd-`E@jcdag3NepUJnkXcepaLOqdai?|beT z_C{Eeh8>mH)HwH2qg9h#Q!N2{;WK(Q;})uB*J81S-%I@!zZ~(lzVDW z)&(PFjXq~iA5NWq%~)GQJr~!hl`St;n$fEnKU$e=YF6!~9E&;k#K1Xf(F^B(-+>Lu zsWWQ7=iN&-W60zwc1{d@mLoJv;wjHB`YK6=#>$u0&*- zFNfYloa?Uwi67P;TmP@E;lJ@+bJ3dd10nXBiaFmJRy9PLh*S%!r!+dbZ2mTH1 z?rh>wcn+7#=!bhJjM}jX^n0B8b-1oeD1UVbcaOp#WND_mRA?}E&9=l7nqujMP44Q3#NFi-LQ|^5`Poitro^M@kOFZoPk+!E{l88h zRVBeLYAgx(tV1C+PS$gJaVnqnNfPD*WF7j^C&gZ0qbF<)JUgD~3l#x$Xc&A!rda=6 zf+6{bIu=%%rwA!A{#j^N?a)AH=z-Y>^l!QUswkcSB~pn62s8ysi>oUXNUt2)`3?nb zkF8y@cC2UW3`oZ-~zMJk#iSD}Hu-b*yF4A#q(6kL-K$apWIC9MePSP?6C z3koS9QE8haq}rBI#Do(bf!L5b2=gu?Ejs<4o`M_N^K4Pje4l8FPG6~LicWmU7ag*1Kp}}1zKn$3VG%y8pvZ?k8dFa z+$MH8;0_09ZR-)%#M3?KEU{tzEo5O&#dE~AMr&-N+a}bwza^HpnvQ!X@O8&@q##0Cqtt^u9H$Jpp7)m}K z4asI)w>}5<$G#o1?h3%KU)69+L&8`Rrnn}JjV&XxPo_~#ob6s zgxzHjH_u)x_xtEi(GaPH8g&VBi_%|yb{aG)H~a`8H=>i}Mhs>20C&?HVHio8D~K87 z*^S)2j2WCl;ws9PMp|u1CoF?eje@R82(+8ts0KKIc6b~R8YeAg?WB$!>(HkskQU%y zKP{5t4HZ1a2nMGm!eIfgqJ{P>N+_UZ7E0p)TFQp0qwVu<2p##hqu?E5Yo{GbZ0&s8 zIkeNZ%g~Mvg~L#2+hZFFI^!C*%iE3)MY5sLw#U|vw(}hdZ99i{w4HA|+RkCL&~_Qx z{UOSL@^>Zr!F75gm7s~^mm`Z#uil2Xt4Y~s#}H?1ybXMh9Ri>P6lcds=KLb|_;gunN9JimOH6E9kV+81}-skoXx|hT!yYC#*ij zYy$FW{glIjVmMFHqCwcTh%^4<;D36D`0PlW&L?z;J8hzwPrDRFj#!7+o`%NQ@o>gC zpl<-{a0?o|z!&RhEsFB?YI*tzddiXmJzmhgIw;Z`=Sg&O>f`QE{B&@Hz_%F69l!2{ zSn=#a;({yI#39gM=zgFh6Hv_F;))UyPcNgB>2UX5;yc*Eakmp5b$H;;_JKz487zqS z{wNZzK|pD%-_dEg)95A0y0US<9-(k{9(6tPR}um{`Y<0n$NSQtEj0vC+yO<4n)v|5 zdMlv3Z6f~R{2iT)<8DqmONcj12<8VKI5AQV+85|4BS;C9{Ybm%`2=Whqm$#r-HfNZ zN_G^bJ0ydRO1@Vcp%=pPf@WC?gx=Dh$gTx_ji3Wv&jjs3gccj&yBbCB^C-OP&FKQ2 zVqO?6y&>OWbm9s*SZ~~s3LR^}I{X~y<#ZOBpArqM@Y9ZWff6*wh!s9;Y}1ZT6x!9g zw2(r_SO^sB0)-7wnxMpI3j)Onv=`c;aA@b-j<)kvE$HQlN?x5udzxe}TA-f_`xPy4 z2j7NnrOpA0m8+UU%mFCIgn*)?E9r&YR($W>bjKk;c?O-h!Xr!Bau|gNdH|h;&tY(f z4vuHjFZUo3Z1oEY1r1;Wjss}l1~Xyadt?PmzF$RLfuYH22Wl>{vX>Z@!|D2 z;qf@_+7q-ofIdZep>WW3=;rgVX-?-$M9m*1I^^{egwWr!qbTl7O zGV<Ht#An3=SkR5ve1G%J>tg90rl((3^66LA+wfPfBY z(FJ#Cqv?|(H9hFi<62Pgn^9d5=la5DdVMKKjk!&PLHmFusZq2_i+uPG`D_m`_R&DI z6#d|8$K22s1bQjO%KvaT7yXpB38X2{*v6p3$}iIGUziNyU;s+rt;`2XXKKS zcH0Wqi@Gr*G-kJPwK;0WXrbqlV(VVoloylbAv;R*ViW9j1N6qOytu;wOQA$9>D}$k z1r%XEh(H})$^lo5954#~m!Kb9Cm1y5dg!(a(LjcF-Nr_*8Ww;qUnASU7<56}{g~1P zSBxc>l-+?4peWA^s(wGopc@G7ZwG7Ox^aj-!=Q@5qwDj_C2L*vwj|# z{YKG{-;qkkQYzt!_j5{ahdJQ=Sc{PI__JVaCh#v1^Cv*DZbBxNhms3a}m8dvJX(>3d1PEaCPG z>@b$va%c-|N++&Zu~hmvcEytRhi;(p(pg1|cyZ3?sww63Zc>W10n$;vJL=WT>Z{p} z4>W-PQ4)2=Ny?ZX&{OEd=*j5Mq98V`Z9#0aQU=HYn@n$31$3z4d!a>|FG@eS+A&}| zs{&r2SxK(bqXqrDNd|-|BX2NwA(vC1-@J^Wb~NC6wYhEDw7 zoKF4+^lGu(o4P1yu!9cL|2DcZEztGo1Zu~q*Ca&aD72b-cv4WzNzBeRf_6Bq&%wKZ zV(l@fA9T2reo7kJS*wF61m;MVG-;^&A}&T~;q%lC@_~gR4>9e|VX?)5-Yw`}^uNS8 z60=|6KD>Fe84GzC1&3{S@6=_gJ-*@&^nGSV@MJ+jTWk_(;jNnXn8{;Wp#P*3Po_7M z2U^U^fp+*D@HHoJnBJTnVyoZMEAqzqJ<#q=HUr;j&BMWxplyFw&(7H?t-isBI;+T_ z>lEy|(V?J_1M>F5cPO;&GR!!Kq3~^wZRVm;UgXK~^n?|rt z->jD5bdmwKm{u>y7k(SJOhLign<^aj;xgyQLM=w!(t-FL`wfx=@st$@PE zfj&i8p~ZYO(Dqf7c*C;Lf(Eo@GzNT876Y|!TIx6kdYpv=Rw;tku3fy25XSKg>B=%q z?GCZxe1mXfxfInEpruWQCz$gFKaATHc_1@T0tJOte@iFQ@TKPk{HC5-av`z-tW&%I zclt)@Br53Hl0a9aKuGI98+0*2Uoa^4X#(x5$WKd3f05AQ1^d%;=8hCOm%?j`@J>fS z$5Ot8js7M1@?~_x`4Z@%bmGc>Pe$n6k;0vv0sQb1k)50P+!J*jdzko#@1eGXjP^zAUV>;R9(i8ry3hbZHAO6)IC1}J@|qp9q~Q@oLe9FLtB zI2^)$U!J0^f~N>u^2?9pDf(Mn1|kfBo%Z+QAnmk+fa)7orELZjJi!v-`5*4|ZMH%S zbadNssIOTb$b>I$71@uG(sx&ql1p{SjuUc~H{F1M4^TUDj`k$bQlo%&Zb4ytehwO( zND*rjO}*K{CXjGWsRu@~Z3~;AEeHN(1_pOHkR3If?`#XWl&cfkLL;C?iPwJxJhuv#%-QJ+*3+;9Wy4zhLf^Kb4jJ1LGlLr04 zDDZ`T@E=$QJ!O^`=(Kyv)9WLF!@P8kBwyrQoG7DFc4;4XFN&;`m;>_kXDG}%xg0X+ zn~NjWUxR+&`yBlsrACr3bljNuLdVBR2aXA`okVBxbjVPiA=K3FxW5k$IHw;@wOgEG zM+lT$rKho$@VT`ko6=%54z!mUbbCQ7cv8?+<$o#dLA$1)Cta?AmlEB_L7HYo^G&Ydw%Q7FthVe zKP|W<=-YwqfOd$Ey9{MCroHF&w{B?!zQY-fd9m?@pZ$bRv|%vLUc3)aV5QL?XGct@ z(mAVT&^ZLu1;q{+;eZ_qikfU5sS8JP1RH$E(~0Xy@`d#8B`v@gBby~>#qkf&_A)XK zUO4BV0A);ZO+mNs#0FlVnf=DeAsM_5zK#E==SzxT+lt;GDEjt=7ON-0;f-AscRC(> zJ3TGd#s~s@yo^kM_Vt365ig+VnSl0s!vUiWc#0>5FNSr2;w&gE4+JAr1%+fl@wA}m z;Q{G;ho%?2<4q2UF)nz=Ncce68Wy07PZ46UM; z!$~8|7r6o_Y>b@I%Pf?JL3^s#Fz`Es(|7WCCP>jGB=&+ro~wyJuC_j%J?B**=UAEt z8jJESM>dAsc6??NL9ZllDdlZ!9Uo$rrx92E~H>F?-FkL9+G{li6V& zU`a|Qq?FC`Iww=+8$q)now#0VQd7rz&o$_ZLOWV~$HEK{Y&Diq~ z7VI#TGOAa;{W7Zb42H5wp}mZ1Z`j}zk*(B@$}%Nk3axSxh?kHjODc=1pvf_OOQ0e=-SJMCT z6k`K;x^0Iet!cr-j_%MOYc3HV)**AL0~EFdiV@yIi!X&?4r7 zYMo<8m-THHSftQu?S=O)3W~B3GH_}^R-7oWfpUq7e>iWav*@(G&|o%?$4n7YXY-&1 zhl0d&QE=vnJJ6M9FKs`;hyvRb79K~Yfj8Vm3BYy&x~ib}8+5#&j~evVg8s#z3kmw1 zL0={4pg{)&eILdr1d`3yK_L}Vax$I$bR{End>U4v4?%ZwPD3{(UB5w3@RUp| zzxbEQ?m+DuP{^M^kzY9kXpxsA2Rs2<#-)H@Ob94unFvFkOwjTsFKE6l=obtJeM9)mT@+fNWr;9->#!Y)bx>k{hO7gh;M4?y zQ#}PJY;@;8Lh`hnkP)Uf_tNQ7mspwO_yqQm)NJnY1Yr^^xw&RR>gzelMx z&_f8?kriQ=ld$L^agG71|LwQN^xep@Qe)oK(F7@h6BgosxLXrH9UOl;#_rnWc``E) zuHW$wHqp8hX;AD3uAqSZ3W_!i&{B%j9JqE?zOW|#Beuc;RM0C7y1Ag|7&N}~0lx1M z+A|ETj*VVyQ0&10$xiH|xYIXB(Prng0D6JYLYsn~E2w=(^g=;*Ftq0lgLWIk;UeK+ zCEJ?BLta{yvU-v19x?{dtql5WLHXTN*bBPdEa)nR_C7(^G^p}j!k|wH?NSDPT+jtO zC}P5C_W&jm!SM{G22r{oEo@;7A5!Eyv*$d zP)&_eZLp}MFY33lzG^uMiM&z#T;K4!*PuGvd7nYCqdVgMgF!bG)XvoC{LN*Cc3YwS zr9oE^)Yiv+dD+@CW?%Tw;$&_3#z*Mnlm~jOpdT_Q%1F=_e*i7~0q8y5bOXA&ptl(m z^G%>FEhft~4z_irt(DRW0_|=> zdy3)kK|zn}qL6+Sx+zv=*^7&N9NGFK?Td%$R*qg3nLhfzs}!UW@D^epcS+gir1+=*cDz z^=lFK#r{Y%+hNAW>EUNbkbmK7%iq_D_mwwiK+|4d=&GjQ8Bf*r`X#OClf>rl;H5>{J_A0d#5SvFQHwlFazgHZcjX283Oa$( z;E-qmbXJeGLk65Y&@Bv#5wb|D<1iSXD;#bSS{oZ`eQ?10vxQd6)PK=a zMnQuT7UgOgodJrH0O(DI7UO+D@fL95@HRnzYfy~8gVO?Z7FsRs=Qk)um_dt`6NMII zDnJoqK_M?tShb)uh}1z}Wl#b;sMYv+LQ&F`Rt5NNLMPBG>1pA+si3953EKYb8QZEq zd&YKv-yG8M8~nowFDcL1SM}Mn=HQMZ_nw17@(3chAQW-}(D8IKZ=e`k0otGGdU4Lo zA&tkv%arfc9td*ba6aSbNX?nMbyc9cR~>1v^UKpV?(wtspsTtmL=$(KVelu`@y& zi*BVIj51{A&7UGR9bL1dfwQN)XvJZ^m#U1}Y|ew*ms~G?grwttK#vu9KV(qtOO}!V zw4*hrKOZGD(%R;safAS!IU`V8NNksrJxcpQQ9F`6JB&c7WJ8hHmf%_v*m3Js#SZ8@ z6g#XWs2z*$&&#rh_UDn=4t;+ZoBn_iNtCoRr;Z)D8Mm<@Z@*1Y+8V=I=Vw>!z;y^J6gmU6xI?MZ&ScQV8f0w8B{mLIwAUyq#JmsBWz!fKU zF2U1(%hSWazVif64{}exZ=UWiPhXI*4~e&Hvp(gp=tF|@z7iICmK|vNdcrnC zOV4kcG&#Vf*w@U{uezr;?14i{&u?@%YkKN_L!+0TR@Pair|$H#D5X=GkA0GS7?uE9 z+66;FkyF6I&S&>$&)F)odiET-5^aZm3h}Hf>@fSRZ$Ro`jmPgPg>coqejcOyviHs= z@>EwP9z~Q~7a`2X@>F*MdYta3{uDdtl&2d>SQLmje@$n3s`~`#)5|+gVL`;ZSrwN3 z3n+mdP3Gv(s(!DOFq7yCZnqOv(QhXSqfaN(eUV}-_w-TobVK*_8S@ma4oI~cT@f#9 zpi;e>koxHax-ao!Oc77}F9ttl&_#sy8G|k$=-&-GkD&iF=$wN7$Dl746kB|-hrC7=Q3icG0rgdp40R4@Q7aU5 zK|y)EKxN=nboIV}2#LMHaNVCy=776z5kFkN0I;J$jUHf7eS7K420c_b6uyw-8~wEC zSaVjU1^gE0ev}qyMbM>_*4QVD_d~$bL&@3k6z#1t7K0~1krUt%57Ak|yp~WrMNTVW z(E3mmVGi!Z15P-=Vnuhl{&`=#OgF5$bXk#~y7YiV~BT02XK-UR}m zM`75KxZ9q7aNVvO8}81h6W1LKs{5SnXwY8@Eyf87-wOoY-k|3RiZ{>-t@7Q*pzUvJ zwDVPY&oR2~*s9x>grYoMhl<7`!!2YTpxYSq56Fo!#DG&>MtyVT1lf&?OD}grM^qRM*Jc z)$oe$ZfJG)wO-b}>ni*9FQxUeBEMY*jO!rfOU(e!N6i4VjOzf!coER0=q$eTh@i_G zbh4nU8FZqcYa0~r#DOo$_rmu^LEmD~YXsfcpjQcslBRILDnal?=}}OW7C?73=&6G4 zZP1?yx{pDBDClPm`h7uT&jYdb?aNjlY5QI%e%6r;HiDlA4J*OOS>9nDQHoOmhw#ZrD$(g-_}Zt(z$S0LHOQdP>s!UKxqsaFsoQN zXdAJ#HoR|NPPe{&$BzGE zTw@{fW|S5G>3TI#w4Z>Y<;4GhV%;Usn_AI3yC`zj9Q1=LR%(>og57q3;(e2XZYb#0 z2GzW2^V=>$+socsdkO9FhA&zOkO6HkmH|59JszO_5puQ;+U+HU`Xl6Q3ykB!OE5-Q zde>MuRubCwcabgM)rHoU4{sLKwiL8o z(%#mvrO{f#!J=4G1_^&_X$!pcB^#Mq{;uZ7JyJ z;zfp5?R&mKcM!f7T~1J|Ti=qL27ODi9cG?SqQ$f%`7K%(W2JS4ku2m`Tgu_P2S86Z zxe()9Kryma%BX&ui*2#6Sf{@#fxU#BM7#v~p}#_etrAy=AV+DNt9?x2{;nlKtF@l3 zyS2Sz+c3jvN0nXR5ZmkN#MRdG+K#gA9j(zP7uF1) z_C1%|t<&B&)pnm9W7<$;uszmI1ijK|p<`FJji_yya}BN5(styjy`+7=#P)N;q1W;k zsi^5Td_TiD0XW&Y@oD>6du-Ovl&{@^SkuCKpU&Fb5kvL9_7THg{A_2@?M!3e_Oyt8 zhT8vE6cVM~Ze0#QPodMIu;4QEgKN8W?&@*?&8l?bYD)s`XW90zw(ajV9JIY|V^d2` zFti&>Y&MNGEo`3ORA{d-9CSR@<_kq_D}H<7aJk{2d8nP%rm>a;KQ$cI7rs{G6$S0v zmpy8D_Jue1*Ozdv);WpNf`)apChM;+VL!9$R?e4P*k515bAYpIeFi(E32Rw=ZhQ^wK8CjVbG#=5jm`5(3^!8yVez2 zJVs*)geI9Mk*Z8j|Ymgpjbr*q?Dexn~%s4>QU-lQ(B%y}Sx~H`C>$^n0KVu$6|}zwj&n)hzHEmdikE!Wc**w#J;0z} z7qsucqqD}aZ(sIFjNPH++S_>F&jj7aphNNBwW!$yzIJu=$*t&;R0yLS?q!?+Nh*{+CI0qq46s<8o_IDTGn5|8z?upy=xY9o~9naG)3GMw~C~tLvb9 zX-~cs=Jo6>czeyOv*326q>lHU*iCuZS6kbC`*PVjTK2WQnf|Iuwzhg|8Tp4#?m#E5 z7F|xzz9rc@WmW^W>RXbnqOI)-rj+ZinP%%~X>Z3%bTx}z7u2_;wOKDCPkl?W&2-gN z`^er{v*bv(?X@NLOH)RT#wu~t45QUUnR!~gwEHcim516#T7)|+-5M%RYgwz96P zXdf}O>vVMu@<}EK>?tk06AY?#){OU1`j+IaBA`HHEQuI5R)UKa`W0A4uhzCcSrz+zM z!r6Y;#D9b3dnT-Ytw+NiVV-_mq^i@TNYzi1I>lamX>zFX1@%zPC-&=0x*zbg^9lRB z<}Kwo?R@f8lA`33uep3;Wjw?^owl!obMfXwC9LKITS_QreQNXPXC*9Mx@A}4Jd*ju z>Z=y)rwNjh(?q@N2uck6$69#)hw{9?9-sXZ`4rZ{$-l79XspKv-``7Y_PvCDTFlI} zKsule@;SM#PFLibBRf}px(THesPyR%=s!ydbR&6s7yU=rC+L3aPw};~@^k|i_G$BU zTX{N3I6rHi!dnr)elO?>`d>INN&oAoSDB}ayQf!op2F`DW>LAmnPT7^0rYM`HzSO5 zM~9y7p!J+|78E-g0mVAWf@(eSN`q?K4{;P)MdvoCwsaTlpqf6vraOez^y#M_tTDaR zL;7I%ZKTm1R9Uj^fv!Ob3v{AER}=JZgRUazy#`%L(EAL!f}m3jx}2a781%J*K4{Q! zf<9!>Wdwc1pi2q*m_e5m^a+D5F6fg6T~yGg4Z5(Pe>LcWf<9}|`33y}m=|a0n?dIl z^dAPDThQkXI+vjTGUyzF{@b9h5cIzWeVL%yppBpnwFpYeBhw<~UuMw%3i=9z{#(#F z4EirY=Q8N?g3fKwe+W9SLH{P`{04ni&;>iF=KRA+)_%^Xq#Sa&y||Rn&zaI3ZyA!I z(?1Q0G8pLJ4LXmYmcy$AMfqJyb4s*MePC%vIyQI(PSieiFZAJ#O!{=ZJk{C=Pm83B zh@_~`N^5ZD)MrRXoKICU6v<|u3`pyF=m*#K^(7CGge)0o#?y)God(s~_#T7mobY6W zt{@!R_m{iZ&?;ZcVI|?v%WSH~W+hv#m4oi?V(p-NTi98n4TQrrMqa$n4C~nO^|uLn zg`wR{P|J5iLG4_Ujzsn{8?}XSn9v&A#RlC*XfHFUaKPDHeZiS15_t=$f58rnOA zwq3V&zULW@b-$I>4d02vw>^bcGqiUJZP_OWy6r5wwa2!y;qZWPIMGP9hj1vn&VjG4 zGFZ-VxL-Jw)zP5UHPg#>Y4K-!`az4yeluhu1pxX^)8@KJ(6tSEtDvhG^lm}7HmH8% zI+g?0^t>i1AAhe<+fzmhUp(9I2p%LQH4psK}qgWfN+n;KNV zsM7xZ7Au*44`ms{LBF$N<<+mcyxq|LPGoqsK_3%zS%dyb(8Ub;q@e9G*!RXC5!yuz zho=Nx-=O+cmv+e(GPL@wmvs$#lki=_p!x+E%K_~)cq#>vUwAcTfDSsBL7x|NUV~zn z32<20pcu&jigAciGdw2fG6u!Izo1>tpi=}zZCyCrC+MmMy-QHE4GJyVBjEdbgWe=) z+06qQV>b`bmi<70VvG)G*^>k)_9Owi37sXjD+NUzUeL=0MQvSB)Y;&GGP|HC&w=7y zyn>!BD9WFLqHF>!_6jTL$%1~+pvMXNA%h+(=*JEEBSAl5(4z(Yj6n|<^m7LNo}gbe z=wX5$Xi)4}2rc^F$9Cv@AKPK(c;5w-nc;o?(J!_`fAov(FuRX_p|nIj^w+-scI?m} zy=8wGE2FpSzkd=xwqq;$HrNByHYhEd@j^S4F{b+s2edAcYwT!}j{4ZuuxLkuwtbAr z(kchEOpClL3J2``R!|)`YS&_tp;bGey*fP(x~d&*&_av$DYO{McvKk~K}a2Oxyta} zRQO(G&}{^@<3`G%j6Z;{j>FiotF48DjZIh1mQe|C(2)kTuZzahrnRxD3_mp-FdGm4 zeV=4I^nH@;(DzBUgDv~|7QiZ0?jp={-SQXc zNY4p^C(gl{78`WZ*|S@_x&hU>qz4T8T6%(~?O$iQ%g|!f3$(Uff)O&HHyhe@1hq9; z`@4wRhOup`0I z*g>ssEs4=T@U=5-8wlFoVrtjewt{rtseNA0zCWa~**2HX8e!b8*grGdul7bpB9`# z{j^{^yp;3B|Fd^(v36EPID}F_X|$52f)zNREg&Gs1A;NVsHp}MOuVHgDt*()3sFOY zF&H6U0*DXBC@&|7MvR(h(xg$5ZH%#Mq6IIdRby#r&`1!71ZZuI^X-}MOusU2_TD|G z2ikeqXYVur`e&^*Yt~%WnzaVH`}~ju$FilMTAF%(yGV`8LUO6rTNBXfwf`l7VVl&3 zy$Hz3h0^G?4$zemo_1Ef$I8dI4iVIvgD?AwOuu5-q$*xJ2zqa;n;swEJp)P}z5ijUwow_8IwMoROz> zrTnly_(AiC(LNi;!W+#yHAj6%c#^hUZ9mL6?{IZ-x3uBhpo3D9Uj9xgTYCo_O|=~9 zbtpd&ukr)AL}xc=fR<%#+A{hVih=S$=MYz9)pF#>E1w|>0NkhZq9gg7Dhs`GT9175 znNwf+cU^F5Eq7FtQNO7_v@tLYWX``Wpem#4m49MT&*$BNVV8ZUuh$WyP77+Mju>@4 z-w~r8k1A2090QtBpePHk7Eo9%Sr$>C|G%u(wlB)UB1{Y~joU=e*bDhz|br7iYm4IF^&^{62G}{r>!3tl} z46BOZv$VuO$>u(%S)a#oI`nBUr$e6xb2=b$3sU1$`=l&+CZO=F68i6eo-WYG0(yo( z{~pjji|F)4q)Jlq_~X8BS?{5bbbo06_R0Qc{Fd}RFKW{rG45RbNyKf zmKZd)ZgrHc_gL%QK8-RU9iXkV7u-El42)x{W3(pOQ`7y6<1fl~q4(69Cw*o$PdXiR zXRuGmI8|yXN2%O$(y4?s`P2-IhsjlJXfUo%_NgtWS?g}6!xrJ4cZRj15ed}(eUi{= z*1Fs2(7M~{(7M~{&_0gS;l&~+c^2l|q65Y~NeHWAQ|OE7G0v3S@tgB)XjlmR-lYmZjN&LDjn!i>Bz4QN=~BD?-i;uK2`Cq3%t6T z#JE=-V?x#T+!z=JWo;S115P5B%r{3de!WizI!CF$$+PzL7%P+`RFB*DWsIqvzAjMe ziyn&}3(R@SvwikE*FgFNvvbD@Hg`ZJwRb4bYFT~cD zvQxDGG$$X`{-x}zZxlJYvTq=LTW@k2+@dF8xtgzb6#ZE38+VGEl1<5J~% zIf-R69VKx>=46u#OYHlhH3j%qY9x-pzh4wRWPdfTF6s z_Q`${BcD3uIG$`PpL(WeKD{A&!l$0km#z6|JhiVwT7#!(4WMXEa|o!=9FqKc^f*Mb z88croWr*hlCpX_1+`MoyL?s$qLn-ljkrK>q&ZnMP`tW&4DWS59GoDXx8)81ZpP17* zCX>k!?FsWwQ%Y!0C@cBYX98Pu{UXbd<`6K)3z z_9n`zpM7y)s8IQLWm<({f$8)P0t z?J?U>*ESAbW-9jO$E_dCMFyyg%^P)Tu}FLgBs#gZu80zHz>NSD^2W+GM>p;we5=9v<=MssB<1U*6?!Oh8e;8P~e>vI&2OM-w^1B z0$Nk@Y{9!H@Mdjze2x8qZqclZJB)CEYL3T01oTbj{-pH$WkBoflNU)@W{JE?pxXk2 z=55>=&^j;Xm4e|{QMSIVq3+uo1;eic!)k#te`VdbE2Qji0>f(s$~=>G-|Aa?Upfmf-+5mF3$qO9iTd^n(M1@F(x_A*E5tE4RRc)nSn%q6OnBpuF>vdl1A&%(2% zEc1>khJQqRH5=(a16t3Em4cV|UMQDvj8B)ckBzKNxrF?y8Ah3-bcNt$_EhB(Qhm9U zeLUJwXHV4`K>mHXV0a=h)P1YRt;V2~eA?tWB<)aC4?QmYGT;yj?9`glNB2=vi_o+;2r0*X7l67SxC&IojOK=bY{^bPhj+5lT7 zF~H6N6gOHW6nYp?SS<;KMFS{ogM>np1BzRb6N+1J0o^Rn*?@jhptlC}MuC1Vpr01# z%>hM^vNi(_YwK}yI=t}4?T0pC;0N`k|5ZZwSUHSu?P34b#yUryJJ}kcL=U_6yB`n? z^jIhHyZ2k`vJKd8LwoDpy=SB>Bg?d9j6xeo%hubQz>Ariwb2v)nLt@Ky&1eopW$99 zOV3@`6xNQcE&Vs(rJpqryl)8%KNaY6y>Vc;Hp-^|1}Ock+RIO$0cGi-q|X2-FO2l zan`mOOIOFr)V2LZsLpu4`fih2zfGcf>-B2YSzOyzoyEAj`=q_(lDcKJp09Pca^Hp+ zAzgLndUdUjb4?6V?gN2A`!-r1tLEj(sagtLSJtd3*bzjnwJcHYqb;YDq*bBT)iR?t z@G2cRLOPmfMOkexdz|a3z{g%_S+0)j$aC*t9DP9>W*oa@owcKBmUds$%=uxy`Hc4O z{UW(2t81%RXY6Qwq5j)zf~!@FEQz_PQPx*QS@p9{iL!e35NjWpEh-;YMOmG(wXCLu z$$cE)<8D;$!T`#7ta#}q)iPCO6lJy6M*r%)w^@PGk6p}hJ0a+++W(Shua0J| z16~~%l#=r|LgPqqj$7`1VT`Fo7HZt;nWgih;|Y9Lb1YP&uMFPNH4yG^*4CBs8GXHh zVagR3N`kuuN;%o%=3}BdfYL~3%VLk4Z6)k3+Qt#T|jB+b{vTFddGn{ z9W(}`<3N07QR8$R$fy{XdcRv^1Ue4H=NV%qIu67+s^dVM4jl*LbeJv<MocPMzBO(iqu}Hg*ZiNb2dVOw7%v z&9X!EBYwMOiC+fns8ypwM;kk5z=HsnbdRa5I2{m! zkX(YO5Xh3Fb1LJTRueQ@y%(wxA04spv|1lD)7@%DeAH2Ylf(^bqyu9b2F4f3C5%U` zBLsE7``T!49nDhjqmL7t)Dip64?G#75le(l617@KI`nxFr?W<_Hup969V!v)Sf7@C z&LxcM>9cZ9k6O~U=Jgf&w)>n*TJt&`TJ!q6(D-#)cy0GNmmC{j$3n$z*D>@OZ~waR z)by;}>VVd#?KFCqCpi8r-r@y;p+0S=7_Nx2b%eJ1khH;TZCZPl>c`S*%e`@|M$lTR zS0#Fce7-H_2-Rmmc%OoDRG$O%@md!%tItk4J?<14Rp05*G;><RcLHdcNtPwIM1QpXE04OYGZ-KjZ+cfRTOD-Xz|n>XG5a z@cq_4_^vfry>6zf5tj#sTuno(E;Bj+uby?~x;nwBaslYo0lgTH z=1z_99`i}=8?VoaY?&J&)W*AM^b{^@OS=qZX|?8k5`gj+^18?MsT94NoOhmUk9os- z-im?RcvnV7H~`9ff^;l+?pSXu-x1?hpSPW)$MtCH`BmPJu03WOa%~B{;4SZUkL%Gq zU$}&)Wwpn=$GpC89Ji__pCPsfDDM#{PoJJ6wefcIa|OCP=$rS8pl=@zytxt!=m!H@ zpSwuBs9=-*LG+Q{ip;y6_1<6J9DJ!M5bql>JYZD}ti*ITD~Z*|=_y`%lXsO^=~ z2Hsm;_f79;=k4)zBzfI8y`z0sw4uhJl;pkO^$pc^FY0pvdPDV-(FQ#&@G@ge@TS`I zD{S@wI*uQ7- z?NRn8f|vLCq;>)ZYNr}+N+Xn|G|DrRfKrRhN^PT8nQKZupH+d{M*G{-;Wfr|6 z0_9nuI-!#(`)tc^KHmOq7m~>LoQp5SAK`_RCO#>%JM{L<^OZqF5ZzBg(IPrD)p9_Y z*42IxRMdAOFn9;!S-y2fMC;dnoIIen2MmN7P!*t7EZxQ2%IrbCH*JV2Khso>xF~G_ zl|y>BU1fy7II)*_>{zk&^zNPQipIwEooJb(#=0@IzB+g_KdT|rrbba06fv;Ur*E|! zedlr=BUa#q6Z}5PtF1*Pz0i$wuQvM#Ry|=6*aG4|GkSadWi78^fa#g(9mui#&gTI= zG;lBpqV|IA2ELF|xwdd!Wd~keJGoR?eKVxnoo95i2bY1yw!ZE>*rS_x#0VPdR81^@ z@oesVzpfDzg`b(#4L7i21;bBWUb-=XMoT|I%15ikaXQQg9pi=N&7hA}Y0Lbdk$%XoghBMznj-a^Z0Sgt>y+A?As8l-K}_m0>wSJTPcAMybvtd zoA2-czUMv9bM~B_+}%5KX6An8?(ED44+8_E=JgW{C-fnO{%v6G=5FikL+p$=UqFcNoiHz-2rvJ;cR)H_Yd0@jkTuUmuM!nOA0uJK&#BTv$nIE7-$eg^g#P4gAliYQ!M%V}XV_>GHliVpk9?q+PVTz;zS z?~`)y9qq-4W5rwp%iUV-+DtvfJTVxeI})rPY%LTdeH%VhcRlp?m5JVCZdLH3_R8`- z2zvs5xWB&mA#fWKdh*yhx^dd&A_3*SyCtmJzKgT2vUZg`zILvSYUFC@pLN|hjmh%f zH{)~+df4t%tByGk+5CCwO7lx_KW&(kBzCeaGXBpN}`u?q^nZA-Qf5+R`n|3GcX zs*;+(B-B;$Xm;ufwZ`zzIH9u6nzq(0t&ZdC7GUNJ?&jq_b9Y^TQ~#(^88yet)3wBy z!n_Ha%&ESs$<$1NA6!;K18&LY-gc0C-49t{&0BI|_Bfrqyne}Qt&7NKD@?DxGdza+ zv~Nw8CJ%pnWv)JDP;t@?clr@)YhZt!5{~Kk9rfU*e1>=+8hwn`TrKSi{>>p2?D&P| zHcvCFtV@bH$$Q2J7T@wd(9)n5A@r(DTw_YH@+25GX5Uy=ZKZ&RT~}DD zPvY$gRtd&<&6!bDJdm?=0~g&%?D#DfA6(sT50~7zb1BNx#N{uKZmHUiC66uZACW&O zl#V(s_ofAKvs|yg6e+1oxuL9XX*(Yim1-o~FSc&qqAu?*rRhs&Amhc2;lG3y;AEsL&glSXSdtBw;+X*dZW;#N@Dw34(4jO z7hfQftFs|J1gz^SXAYj1sv7j=9{oqEEn2A}_c>=y7)cTNg0iiV#I$kZ19^7epEm`3 z&RZGa5geHqSfuLOlG#)Q6+I*9>z^78V}i9W7}$d}-HDP_WP9C5!ddN$UL6i%6DHD; z6Kl?oawL*L`ATW-7(y%OB$T)L8&>JZ{XYO?_7S^qh+*3M{u=MS%CL>p31pp#?xSNF zcgsDVga>Ntb~4~ryGYEjet|{Rw?DQoK4zk|ND=S5FKn+VL+Pz#V0Q+cd><7!mMWhl zdV${LYu&WHUn~a3(I4CPjC6gc-q%?PTYx28dG|l`8c~1jqJmX0qrMVlC0K<;znG3} zr{76)nhV1`p!@8;77+T7b=Q4OG_S(I~ zQ_1jc;QhlZv*067#l_&8_JhuVPR|A7U=NQ0=dSBe&&}bZ|G-X^K-m&~}UD60!1B^jw?jwgI7}z2k zKZlU;b;NU4KD*5c} z-NiSW=bTtoH#?!TclM$4cS$|AK|LRYq=H>CgM)r#279?=<^hc+CdspRX{oArX(`Z@ z8cosTAzXv=D>S<|nigS|-Hi9x1?~M2lydozc_IRsxT37ybw*F`z%e+t4V?{$?6K=Q z`Xd;8|3PRoz$MdJ)M&y|3@{<`J$Bc3lKg%I(Ixg(GDfNQ&|w;EE89CxM*k$yPh6{f zO$w2B^3UNFF6W*UO~sPu(1b9pgxljYFm=hfy6An=Nut0ai5STuRkZbf%qSQI_vK$b@R7Qe&IhjYYq&8jH?y>Wo7l)y|TaosNwAp+UY z8uJ-y<77aFP28>^5t6~LVx{sUr@xYKw^%S~8E04NCc>pxD&f-m?{PU2M8_k)6w3(k zV$O7C%7Rl9SDw!VvQxk*Dm3V9pU(|YdvZt*taVhVvUB0umBh}8CU{s6*7wE20@vWN z$oa14GbQH^bb*27$|hJ?)XJ=v+zFbQ;AaTAK55DTy3S_jFHLZ9DrJAXXSSzbkd^59 zDD-?sz?)gbBFz)p_%N?}NT19)ab3ybJee)X9>6!7WMrk; zb&U9<=|uI;F%lFBYRmS$+&85=l9+rkBiScC6A95W4Sf4`H6N|t7Fs_lod4;UN&02Z zN#{>9&KE&v^;Zu3|I_juMXEfRhqfvFe>UAebl>k=O4VlTZTkKQzE_&B)_?R{TDrtx z=L(tLz^9#?c+}i{irDoPPH-}xdd6;9IJ;CdPC$v3fX_}$g&|xM&bDDQV##CoSQw+d z6EmSPA)>E4#2L$ubt}fgT_uIADd29+zRsclv%UAuR697fn+vdYCPWme8hc?u&e5M) zrx+X(T$XPzdPB?SKDeb+=h?)A-cpKp2xj9YZ2|(R_s$yng&>;7wfRzmo=5z{4Z=S< zDprI_MQh((`kdFNvf`8MMn`vkuy}E>k)1HMZ>Ko4?c@E%S+nI#=+{m>q>eys)S7{p zipC4$=Ep7%*MpeMq$pSQYV|O&nfGnq>%1I84SmHjP@+X)(Uo3LKze^bWZL-R=y&hI z@pitUju@lX6#Hn5N{iA*y~c`Fr_cc($B4C*d;!gb##O9p7e*n&x?c`>J>xA zCf`E?7e&}bYzwDy%wk%D&zrM+l-$JdwR@=J0x0|!b?cGEJ zQfJH#{3x5#gq^JTTzXE0y9mWNvgEc}<85X?=ICvc@`*XqJ=f@#gc05;y!ml;!fVrh zzbU815fn}sM>`Dv@$})C^84@H%HW(u%lRa;ja}z8U%gGeb=Pl4-Wv`p3t|pZE7R5< z`vzH#of3QFY`o=FR&jVv#4K|T9!=|qqYa)#Rs!VMHLl-xuO-@Ey@-KOWF5q>m(u$F zbdqbD-fQ|vGu?${>v2>y*6&$!9UB-=nd2K$`rUhc$@_7-qC;!lEApsyYO%JAw!-=L zZQEl({`Vjl-v9^)<;l&CwwxrV^eM70(R69gi|*o|B5TBNA|=kPIO5Nr7C(geQ%Z!; z$wOYqF1nm4KikE${2;P9_|sIR`-!@kMS;{H`^^GH$;gW@SM{v3p+K@)!OtPb~7yx$kbm zgZ0*f>sPyG3ffjg?F$L1B0_Dv(;F3 z6GC70dau)x&u-Z>Ht?nF^-5b_>s9%OtZp#^R^>YSKHYj{^=p*K; z_`R1NMF6VP?R(JH4T`+f@?s!NbB}v_;sWX4+Eu&?_q#26X#B<62@~IAvCotEC#};# z1W0yz29;9TlWA_$r#dZw;b%S=4rygf-6$*QJqA@CvJ^LD#icf0WxbDB36Zit2#Z_= z6liZNvfhci%ldQNE3W)J*!3f97uPM-ii>CzvhNPwZ%-C3np13k?hzxRfm4@f<+G1ZA2UL zY&wLfkEX2Ii-Xv4sxmyx1-#apHd+@uv39kr1&<>s2;D(I=_A)>377WMb7MkL;fl_D z)`IOhsDaAf{Rn-asw^v;*cfRtp2h!PU@ut91o)&Q_G@35rj6b!y_B$1p>$(AHNB-5 zbLD93{oG5(VPo~voc_o>=B~^q#%RHrxpNj%$y1Vc;3`zBv)gdkMIGN8Dn`d(Yp_s7 z;;56b0b;{hmO@n)tI6|gAQf1aRnTs2FN`9CXhoMMTYKVyDJ(wR$X30JnyRzG_0ia= zkc-|P?N;67mDhfRy{&F0%mv&@axB%saaK#@w%3^NPyT<7eIJoDW#E|e!;^|tkYj!? z%#ok9sjN3LV|;Lgm7a}1Z(gBVit1{!m^C`6miI?i2j%vxlNa}snDpk=!LhN`ezhW< z?aRNBGzXuVH&bJp0`*NDztOa6u%wBXM-IYs+x?%;pahxw3SVrcv<|9xu>rkA=w zeMpH*wf+x3e*Kz1=|$NN*)GLIKAglGIp|2LIaVY|Cj93<57++|g@A|YmIomz?> zoH>~^C6e@?`%tAT%N*F|xzsadOdjD4jVO_uKSHCD%=Fa}_B&x!D`<#U4DNe*?uK3J zZ9@NBVo@R8&u2SJd#Ul4c{@WXI|1LrpvwOPc=CTMd{qHUkYp}sOvx!p8o{d57G7Ax zc4i>1U`8TZG_TeFw-83_l374Byy5(nZD;_G{2#n`&hNoD&#kf1m{HqPQ#sm5n!0P8 zx*J1FW%7`5Q_f48QW%9c48TGc*{JM~!$b&TQo_1s7O?jMLjJ#SockxuYn8S_IA8L= zz!|b0{U2)19v&LSE&g+8TG%N?wJVv19|Pz?MfO_Ru!;$}1xvs_umq7qItZi=0Se2; z_xAsVx_zqOp;E%U-g}Ye z{XfEUrM`w}W9k3mUB5L)0FCy{G;Iw@Z0_Qi4}G)_%b_J)anLK}xKZEY4PX!O=zN-& zwKf1m(^sacUWbRiz+7ZE-&C4~@7{@GB@#>EB^ox>PWrJ z_c=V^R#G-NL|TO zP>ou2lIu23;OiWGrxf0AAaGZTZnuE5wggoNm%g7@|Lc~qoh8Ncript zMf_%%z5~shg&x$I;11I}lT#lIUTIhj9!goCLJvZy&oX=JH*8$0EhPX8K16QPT^1mh zYC~G;gZURje)B!`7G5sZ=@irlWH^wEnNU#Y-X9|=dzb1wAYkF!8^}esXTFOx0$~{n zx}WT+4{~#<4weEebW>3uY~n&LoL>x~3VP}Xmrx;X4ilcQ=vyvY0nMMx)fCVtx1TfkJ=U+JG_Acc8h=(cI&Ij2A_SbCK7wrSwglm77 zrjpuC*@2f9vYhPe{Uf%K6Y;8QUz@tQ`TVLwM%U@jrr^$klL5cCHXmok=AI~LTgB+x zJlaY2n2OT77ruWtE5z9;GQHZ)op`?3oH?E) zvir$1k0=hWQh7nXkSb35YvEDn{silbx3k=>9yrrhO}f_}ahx4|d6<3gRw`^Fr!{%% z^N;;NBHj zM*Vy3AmHPlFY7v%i^^v2O+NM6l*upLs)kxMZBI`F+YPeY{?oqCruF4f7yifE2pigP zyrDFTTz6VW0 zzEsC<=^Q!Cannw1(-Bm81Y@Z`Sxw#sb|hnT?=3^iVj^=zOm~1cIx-X_+}Yw8-kp5E zLJ4O#z_A@8h+ADA8{30Nc)emf_XE$+! zU^e`f8xF8g%Je_QTsqXxZ4d3YZL3(!ARDY-cXO4ruT{+^qL*@r_#<}soacLVO<9xV!t=>Dr*hJ~w$mK`PE_BKKWy}V6fHx1sy3IGtzL}v z(CGbNJDGp;Kg1(00`QM6f!b0L{1NqHvFqZYcyOav&K^7wj)1cf2gt~qa~|;(o?5rf zE7!Zq1D%+gLq$HUWue+LDuu&ck(je#=W%R`^NiKo^5g8&GGn}}%l>J0}gr<;Fn;D0=CtUd11J3ejv)34RnmO>frnp?v}Vt$T>lXZ)5WA@8xS~Lp}X+(9wrkg9%g;U1oij2R`L;{F5M@C4F>x>I!Nr&qb|+1>Qz1D z@_MW2#lZye=Uw5d$}bHG=DoC10u*5%xo>}Y$exy%jbN$t-R2!vSRg(!hFJm;jAz|U z2PP%_lYOt61l2etH5$+axY!*dc~AwrE4$3rPQW-}nw#6>qmS8>fBHO!lehE1x$@&3|HR#&RnsV7U}x@ zT0Z-6g9wgg;u4f*P-fQWC7SmgnV}4qP=PPnXRJ(5P&s-?ma{@|ASCu^Fzfxh*qg-P(tLc&wW zOZ*^{b@t`@KN7~YM~9lL^64R`r&PtkHSL{@7Dya_ZVunbZ+-Qr zO6m6;zEs+-9oFngo}$|I)vPKDrqMChH8KsS#kr~G-w5VAkzwX)o!Vzs2-ZYsRas(8 z^sjai?e!hInBmGy)6dNJf`0{8WKlixo^O0(O?O)f=9lF?g$5=EE zK$$Cb(Q?gY^eh=U(mYwTX_WP-xjEm@1xA(sw1~x@k48@-WvJvOA{q0GJS#A2SwPPQnOIMB4}uimje*RbSOlLEbD zkFL_zcawXKv*-o>1Ka(v+N>oTSwNb3pkYUO& z_qQXc*uDxF$KUM>>@OE>!~dr5xTci*Nsl4 zJN+nc97ZMYyH`$7`-VZ#y-t7;5fmpNfH0H0TY?PN(daYtx*Unx4ht)ZLg~fR6~hb| zvqeOenY-N*@OkJY#T$?&g?>}o(Od^Eik~PPNm3-|SWJhCnuED^?T3|U@=CQcJ81Io z-)5HJaRL`yakre2{X?W)ZBY8dJ-XDpK^fWWuye&(@cT1%|?uCQyI>b z8UjJ!=jYnAqEH5VLKq0r*g}pC*JrOfh|`>?>5t!v{LyCLh=W^}bZgQyv@kuFmtN8G z!8}VIMl=^A7FI6oRRg%Da~q_{_{2r$cNEp8fYrCwcnin8VK%Ps;Ai)gT(&O8_d@v_ zX9@O3pU*Z=IAb9RZdx0->CY*lo}a>!ZY&WHjCylx_*b|n7(x_ZfeG`wwi86CkoX)~ovDT^^?~Ow~zngM(3s8QM zvaP%dv*9o#qB3XD2$#UO*5WH(J^`xUhbnSC25k-MDcps8n;FvuhQj>~;KSlkJ6x$g645 z3<-0ZYD`8}fSs|Wo2U}-r!#!| z7iXOl(U~?NI0H{2MTyIx#9Osc)mo@E^po{gIWPT6h4#E%;alva$d+cTMR!_V(zcKW zxpjX%=XMc7l;#CN{W9}flwQ0&Cz)ZyEGIZitrDm<yJ=TUFm%l?$&j;sMX4L6fWDnyOVTnY0Jty-@Ju^vnncpn^KeREymwX;8~{o zv6-Km*~7~08R;TpUuI?i<@^1XS?sy84_!EngRHckA11{+SA7eB}n7@91d|`*WwFQgyqzFi<90BJ2Fp>QhFuuoPC7TCJycQ=dJ|t zs!?WgCFTJFGw;p?dbb{)uQas{$-i~gOTAmH`TE+5FR-n!*ssQ3DLS9*{oiT@SD$X# z!>A1();JQgJ=6(wnqE)~Q%cupH*}u*yp$|~SdT^^$g(^#EB=elnE{ZM8M=-s1e+8sX{Kj7BMW207 zt@+ZwqboGZQi1NwONsf+xRcg#&n@(y zzeZ<>3$9v|_#3JKf9BVAoL*k&WR%zZ?dvUJ_w-y4_FJWTzhwU)#H|hF-01yaIGhtG z>M9(#GO(|dZBC!P^GTn$Dm6fH(Ri6)ERa2}o%&<}zs=(xd;cqHbRLOW;b}r1 zMp@S@ervdDm6 zS4g0I2Ix;Gw6YSvWU0_LdC?7tvz$4J7~uEH)~5I$d9SYMHL9&HWtzGnQnQsWo?f|r zkI2NIvBEb8D~R%Lu;%y@|7{JNSzhSr{cfadu$K&7=%@fbww6FoZI0%hf4-q>(223= zn||{LaM14Uh_ga)1lo@llpNJZDS;ODd2`!ww$@ zFy7xa2eLb}^l;yGI);`P+UtH8+W_*`v-%*Sw{I3uA>%@l^$b`}F*drf^>P@5V@wvC_YNMiTf=s1G_!QNO!zX$wV+;b8;sT4gK z_{!A)ADWjU7ajV~_eagxJ;+l)%=jN^FR;5v<&KPdJ@!-0_H}<724k#OU{-l4!TecR zsQO1GSg8IiGfda30v_f5MuuOyx2@mWfnXuB!f!UgQHldZ5r^{vOuvuf6^uuPgBx+x#>os1Eb`gr7p82jezT3x*Ds&XgNAz=O4c| z<+!uo+$DbMgm`qV@5lxARtCFCg0?=FX-OD99qIwm2P|ku|C+k_k(4fq0BzB|Q^va1 zJJY?#!w`&05F^B#Vmq+MWjF(^sbjgww=7nz#dw`-`0&63IC`kC0Cs^3o&fr_Lpla; z=9o-7HFzAV?Gu2psc6jTw9&aeIOm(3`FY)pyV?zRO=(+_0*(I5S!HnJs^FV~qX5;4 z$tTcD{9}&>+3UJ*eX1L(lqf(oTqfU^>xzmdbvVzQyZn<%Oke948PVTmNkbP>7@z%_ zTbWMCOXT*ASp4i|ELVaIxYy8tpuhPc{T@KNe*Ee3wiuFYo1X zG%iVf@%x*BLuj5K`Fzy)aQiC)__+xw!I&!6Ex|HD$)r8APf|r0ynM?!TSUIP``Mfg z;`N20F)8>bKZ)&FjD_cPZHXJ;`irzM1 z!9(vhKl`T5aXrEW(o5{eACByM40UQS-(K6a>8=9}D%>R7>oQG`ifV{i&6O0WKgr_? zgfAlsDH%I2nOT_B=(^wZDe zoRI>AXD07Q5Tl}zdqyRa67EsM*tLWD4UOO6_-Pt?Q-TXJrWGIYbJ)GI<(3SqRN3vR zMosc3T^l0(ljdu15_A!oi?uX74Oyn!91WQV^D)$_jx}}dLDcctdni%19CXUJ>Pg4H z$QmYw48lb;-#03xIepaVDK{CpVg1x`6pF>nI3GH~6B_pWD9@4o)?Dr=4{UGI%;@$I zFnUhpx|=qyG;-eU!Yy>d;)$4R!EEI$UOw-W1`@IA{to7@u(i@XG`NhL#e zF(}Ezx=r1}R)|_f!LV~qwQ5?7P0l0V;Bg7*1;Ys^nBh}EnQ?+bB_zi0`6>oQVux7= z1P+kD^T`?ZXW#FthCn42=1jZ4=3e{s?&{Is&AQfp3SO)v1}0vM=X~^RmAVBV-`hD4 zeHo$3WKPO^689j}v4|_pVLZZf@h0lHMl~RFbyAW1CSZnV=w_|3+ig{0!K>wftBsQ; zk(IhQ=>(|!l4^hKh#9Ux134~${aTqb2rg+U*Eq7dz3~D%J;x~Fl6xY!@-Q&R*heER zyCh&xk81~u&1?L-y~%=aJSL%wtfJt#MNmyZy=YE69j8?<(R+@WX24rdK&nGN_qiE3 zr_a40lSUPe8J-Wr>q+S^aL-GT4%&+|A3mI;gxTY zXIa6p98~9`ahtj~t$$gOx4?$$D&BUIC;e^jRmHBw^e}5#X5)Q|y_rLp3vIIwYQA+P z#E*Hi>|wwU5seowU&n)RD;@T@LdMo7-@e1FCZD7?>Gy*r;4gR|r2&RAx1+@OJ_#v| zJwO_}UT=T2fTj0GDtT`r_S0)O%7)jHTykkO4wlI5XaCJz_LXztE|GTbE6EIfjq{iX z4Cz$!$TTB_pp&8u8lv6eD#B0(T^hI_4~B`X-1^Xl#6LM7kvJc--RnJ`P28DUtU$=@ zyRZu4s?Lji!y=R?#ZFjH+%q$Et>=xaU!|LIbRmkCvqys zkK3CRI>;r}S27XS<854G=L2 zgWPgJZ*~)^E2=LCi~<>rQNST!ni>>5we8TxwSF)!LBWYi(|BnCUXNp{T)&~^Zf?fH zP>3p!eoM!Qvz<{lhu$IusE-cXI{6N6BXma?=CE!$s-A;->Xwh2G0T{a)Z35Mg%;KD z-}VvQ|Ek|7s$-PD;v7zke5$ksPWi_*^I7mhMQ_d{M2q>`teNnjraLuF&lrbnN)TSE zAr(S6?e(!XYSvU$$#{OJne0OMC+maPev!m3DzsgSX`c8O!eF#L{TeC!^cV2o4Ld?0 z4hf7!0^_egFaZ~hy`2^lVbp|bjbYW5zo`rD;bw8O66X~uJ?v#O|8TIP141I7l~gmr zMp-RP#UICch#*1EYX9DlgT_zz-fq^gxxV|FexN(TxlV2TQg@BW0IZm8$a&N0Z=!$Z z5IK7QsNtQ(KOJYQyV)z-vV!9Q zoHRsK_NLrL@9{RZD^!c_JmNT_IAQJlo=PFIJlnlG5y&L@k|ko#MDnkD+qvTWyYu1m zGO>=d(BW2U@H+NrCvBM}zDu*p<`nD8jp*ST8xhsmD<@Ts+@qE6Cf5gvNE-9b@8?Am zB_w%eCcd<`KWoQK+O_z%)V+=MFrOuta7m%`w9Rq99GuzqmrYzZ1}bPr>pG(NgZ7`~ zyzj1r`n8zJ-S6gb?!;X7%<}CIm!3;4%;GhdkHMKMO+*)F(`If3A|@|V4*2E1S0>>B za1JQZneu5cte#dZYB)mgwS^2|t+iK-t1Va_*A?}$W|_1{_>wu*OZAmx>IZRwAuH#1 zpIjcMg|qy-44X=2H?NpD116a<$4_8h`e#3iUTvM@7EW4YO*cdOm9nay!|`)>%Q@OM zWRUi~kV-;JQu+_+y*h9S;=-^T#s%Kn&z#aBbN)CKOtpXZ6PTzI8|mjF-K+#OXC=OoQIXg{GGzzC+qsLM^TgXXb~9`0hGt0VAe>WXU0`9r z=;f7Y)Un{VNL|swh^iU!i!o$StrfE{)k_<6Kjff(zq-?2$o6D#OHX1eDkPq!9`i9o zJ`GYnnX^*HLl2(%+26c>LkPCutn)Ab#Z!FNzC5oQrx+6#j_nptnVViQJRRmvEW^Vx ze1WSoQt_&uF%4=hxV<(wnOErdPqmWlVf~f)^gePrh$} z3_bq*Xsxi|_uc=a0w&z$VxnT;9GcL*r$tMKccKC#si?uuxrZ*Pk# zN4>siHV>i|NZbTMXME*oi2;QowWZ|Wytf~tLIYnMM&Wn#0)2(Pj7OrlnRc>ojZJY) zM5P6&6Wea*ZUHyO`d&dnvAMek%$y%on%3(M7P6%$M{WDzgaae@MaF8bZptrBnVjd} zc323FyUGhrkR|-Iw~u~i?mlcZ<>IBMi~)o|dPJ40i-EY;X?my<@u4>C$O;47o=BjS z2tRFnd1Yg~acu$go&cQlip?QilT@QxC*wig72^qS3ctTlSXFeRHaSW6bGn>X3^$yt z%fK_nx2VCGS)kf?4r0p666E+j*#L(LzgPd>x&pgel=&95>nU*yqiiO)U$uj$G>yb3 z1t5msKiL-bVLnikq*udE0bP^K@%MuaM;vER^)9RG=mhGA7KQzix#b5ICmpSc5wu6> zl99pyD9M+IMm~f)K0-h)0~UZZe=!3$E}leGqCvUlJy&82WP0EMK7JQ8i=Ydt^Iz}F zbAiweXJ7)yWjN}+C-QaYe3{b^JQn%&JLZ<-llM?KL&jI8EXvM~hh*9ZbK)`XvA#`V zIF$4ql^$XY{peIRp)-Y}RZSqerO0jAXh{_-+p*9^WI5IN5Cg59Y|fjuSzoSS z8?J8?(*bochX>cO+BMa%R}JfR1h1_0iOoenVn1-#9DPX((Q~Usmsu0=HC5`hx3lx7 z)_CqSLyKZIrA0oZJVyXiqiVwHfS_2uvKXy>>O#wE&6y{0l#-kHgvCiD<;UXc7SFY7 zfRy;+!R3*YCno=~(nhyNnah2y0K~UpOAJqnF3eQXTC+;orm(TW#nh|jy7bn(g z(Y;MCW9-WnDw-k(fn@PV(fy)z*}$fOwPa=3MXd*NUD{B~(`js8HPQPgS3$=M?FCum z-HqQD)$~#Zfp72<^L_iQ3GYjz&l`ua%;MFHlM(&OR0JX9Ul;rSNvLs)xV2KDPJvQ4Fi?f%@ha!i-FAf@Y_>&EDWX2j{!LX&W= zKxqMt;_ayBThg6S&f#wlzIZ&dPoj?Frrp)BHX&9&7Vb%ImBQsw{zN*3|D(N96 zqFxp;@5Y#f4KQqAzh3;4i5S0_CKq7Y)>9%Rd5^9!{;2Z8wH;09cpq_RInNnl0oHd8rzY{j8@k|0l~gSY#yS>G>mRKAWTy^0AXn;p>eOjBPZbuI!Dk zfb}p~Cn9^_&78S)X7puXoC3l zC3S43wXFi)5#}V1+u_oWf*6yFF~DTzTf^Sqg8N88uC2Nj`d0v)w#QmF+@Wmk^ygl$ zC%JK+_#>7GI_p{gWh8?_gNCNt#4bswOnhS}%mZb8a!Czp@$AXf1cnHDc&wN)jT*ml zX#mEt_;k;FE8_=JH%=uM>Y;#QU)l{S#Gz4^?to~foX4BZ8(>&!g-0wWTaU5#hUVnc zwdm$sB_r8H^eb}8dl+VisnqL+_xah{+r%#N=V=gfkmF#xckwXhH~ye5jld>T zK|i%@YjaL-d0cO9#q}>rDyo(KwjN|JfsmkQ-xRa*Zj7R5osj+-Gw`RZYKDjeNk_%* zVCkYwamq*8vq@m_B&Tv7m=|#$(AhV=ZZjfvm4u$Ly(x?OwLY@%Dfm#Y6mXek`=t_2 zUS(wb>Gw<9Q1_g~*z-0?8NG{?8=S9He03`#LpTgGiUw5KEhuTR+4on5-bI2SD^tq* zhb*r%waN~3gHM3;t;;rH>LJ4KRqC&aIAesx4n&JN*gdeR-lgoIEOvN8u=WBtRAwvq zunwJ37546w$^p6sAdduQz}6y`?-=acsF?*DYBmQwJ>MsPKX`4}(3wo~HF#V4%GeFi zxBNw%-*+Bqbe-XE5j(Xan|R+Ox*IUq`$kDWT2qCjIiy>Q_^H7FEI?kehA+O|Nr)L5 z{p>5_E~ZA)w$ixNHzr98bg_Aek3qh>cw2C;Zqh!hCIz>U@Qk2@pAB6&?y}E`a8Z;; z+{L4+Wl*Pg18)X+t#ed1hRMs;ZjA??G+j<=Q0O!TzP9^@T6d8BIi?+*e@y<)q(|(T zb1J?aqKiw`C_?bikq1%2!Fd&5E9SYQ@864z-cQvF*})&QQWk^iQiJaapA0N{=Ri%i z%Ts(8-cas{4#7dV1SXz!?ooom*OciBsiO!+w~DcIhE12rZ}<uVN$b0Oi(=VBl!M=k zk>19NCgk>@{3PEMl!+rhMX&M7;b|S)H!$SKVj&FyTqzEf0=RyGLpNx=i7ALF5gHGX zt29J6?uav2OExpT^-#hkf63qNhi2Ov<*dtb&Im%^?cx3FdH$MMUx7;qe?P<5E zs1c;bQIm+}_v@4U2zmzi`HcF(LMINC{l(O6!6NA!d}T;ch~a=e*o*>Q8WoEQ+7@$- z2rI|)A{?vmaV$6%*>myWCY9h7)}wY2LZB1_+WD2av3#aM9b%Oh-~cH4Tao2#hDJ9) zd#=>7{=xav8L-CMTwnDR$wTxgUGw@2f{cr+klfLKL{B$3zqF2n7|#k|pwK56>ZkyMOY=m9wuUX7 zt7Z&Rsgkz|s3b5RLN{JZw;3D0_7V6pBZ_h!3cJ3ctCkw5>*jPuw*++7?UU4%+(*!p zH5{`5{ODWo7G_;w`OZ~OJ5N64Y_O*u^(o*TkrlcTNR;$E+suI3P|O%4ljW)KmWsgK z;Jr_E7oLUo0^ZBWF`AXsnMn=cD{qE8Rd2l?jI!#q(v1DbSxA=0JL!=(ysj-{u9B4v zeQgZ&nNjD8DTduudTr+IqH0*L-G;yNZz5B3f1y@ME$)^6n)$!Ity=v;IH#Wjt!h91 zI{Nq7s}Tvy^mjf3%7EWX5V@3Lg{K=lt&BSV_IeJIJ^mO|lK7Ah0UP(3N^)O1rp!+p@fmk_gYKn7HJ-GtmH!0aJcj^17zh`tMP znR4c+aAF$1!SoHI>r$wZVDG&llKDQWwwS?H(!e-)r^U9Kt+G7WU1G6_eHwFN-(F7> z>C)oMVx6TCwV%V?Fad7T44K+jSQ}%A+!OKA?c~eOeCjiOdCs=#kkJsfrRN5;&f@*^ zS@gHkW|S5RgS^v~HS;+lD#2Tur7f@g@PL~xmU83owO(()2V3@U-TbFj{X@Tc0%Wh* z{`vl1VhNn961D%V)MWph=BklVRyer#pwTb$%TtS{12;yM1l0v*#1&w58|A_n+pu&w zl!{l4X_4X?x~=QEkdYoRwa7`osTwd2VhCoX{n+WJN%C~EUh?BhKZxify2JS4QaTR6 znv4go#EaAKZ(HXX0@7+3WGew~31Fl0)@cTR4gH34fN}5qE~;T@G!(a%orY?{@qOl} zWar*)mz{pN`7N?bORxY(JR(cZi}&BejNE`{Q-akEX33OSn{;*s^Jb)MN>UQYs?5=q zzr_lW+i=teYW~Qud|n;mgi=1v_*ucT!9cAPRi3Jc2SalU(fSjYIj%CT1s|>ERn)o= zZE>7g+OaKy4&~^CHBDJI*^~6DAVm&2B8O_~@tyiNJ@!TB&f!BcbOI$`!v!{hJQe&b zm7)-xTskfKJF3sp^4?fWPl~znFfj=!ld(cj@|argBh_S@%EUWsV~+h~(O9N>2jdqT z&2|Pe$sguo5$KX{KCEx1xn0b-NPefpu<+aW82)*n9~Q!sh9Gx0A(9aVNBQunDcd4^ zYYifPz}!`x^%~My!jtwzb6hy+%XHOzY;p1lhk~&hlj2!8{wQz;!M(^At|myzV2uZa z%*9C=nsPKChq)iHbBdW$wjm{$D0go*M_77t@EzGKGDj#Wi1G%^p|Uw=Y!aWdk8W2KUd-p)U@pkM%(_IPa)a0XE`CNvb$5;5s*+U&PZ!G)k2w;_FV2P$m{ zw8oN(b0UZvqX6Xv%$Kj}D&=APa>Kxc^g27JU-QxW*}Wi-l^Ror^D;uRk|HbbrqzHf zuhNyt)Xr#+mZag>1O7N7_iaoJ?BZ{aAvOpG-z_)ow~m+^%9DW(=tn+@Pz8kBrD5cw zW@)N0q^49`yYIIWn?Gj_|FpyLLxgXR;~iYE>(E+Lv^%YH#QE+Y&<7-wim2+?Vx*`Jau;d0V&*;LsCgGn(JlEzPG9^DDORp6(!P7`Ujwl6 zLM2Gpw+Ppk_Ay;eLejTC55D2P51tJ3{Dr<5+_A7*yG*#Nk<#@fOVz(*V!k}T(dV;a zku1&pooq^Kd{<9%M(C9-YG@8CI~gd=mR}}32{b^ga2=`+v8#wI3Sm0d#Y5(I5w=Mr_8`j-H=o67SewSar_|mcIf-nV{k7-4wA+1oQ7`DtZI@;deIGHTqIIhrL?w#=4x zp8O-<($M4Nm9hiqWGq6c;I^Zgh7QIJX!~Jz<$0Fid?oBKA#a7N(>4O zhiRsWFGXbUIgSiCE2W+LU$}m0*A@Dl1SU>jL-kjL9U=ZLF?Cw(MTuf`UT{lvSIkH=Q}PG&x%LgW<$R+@-GA$* z{49wR#5TXDwY7&*JMZGgs}!g^ZH@#wTNELh)^KLuQH(1e$i^xfWF+QvJQC)|U_FTH znPNVI5xlG?c0S_+12W z57&9*KmS^wK={3OmF z{zp9w3|qPQB?m&P6<)DRZ(5uKOyB?+1>+7oabkRPy)DtN8=q_pU>QkOYgJP87Y;d# zBff>;w}4{`S?;5!PUwoAhLA zMG8!8g7L44y)x_8$49Q#lv(G`!_(}X=~FJR;JNQt@TO|Vuo^LW^b3W*IF$ z$d`3MKL8FT&&h4GxSP+JoS;@KQgYPQx$Rl~_i5RVJUP5ad^vD4Mt^M1v0*>79T86K zLV7OJ$|HNf)Q!los4#wC64w`dTMgU|Ul#9*esQ4~o+el4w_g(Xjd^kDx~Uu^2IcwD z`5Vd+I1}|OT+MoMj>>q%lfS>Lt7;4|(gCQBT8@i=(^bg^L*w~|d7hJNbps}TQgq69Q@0^nYzKuV2IRhep=lFCO1()BTJl5O-$p*%ifXrzc?lCEfpGR=>mpEv>z~b1b5a_U8zW-GZJq$$1Ej3G*ghTbU7+EyX+ccY~oFh6ClT918Z|ip4=_x@cE_QiKO0k zTCLEjfn};0J?SrlCvs>`{PAPR^!{Nda>rlxBACWbusfJ;epp6BG=(bwc#aQv3+?TMY%4+g%!s*%1S zj&)npXbV4t4!a`-P{pRN_##-T2)>Dz5_Nk&9}Q-Q1o$ts}RrlutoOps+uE&M1rp7El2p2sg=H^8J#Dw%0QJqBmXLXT2ns zlC;{E;q`R6Qi_Bxjz&UenAc4*BYz(d}DfxdA=8j z&iL3GEa^FpZ^%U6@u%b;<+X`7>$-E!_}t%8WfuVxB7d>Rz{Z`Bx{9o$Dl>=s;-zjs zwfZ1--78F+5~4r6gmFMfMZ!iD28-*o$Lp6sXP}cbNK$uu*W;oZ)L3uh`aRnHss|%j z@9gFoq}JG!lx|yapo!32?_+S*Na1Bly4-7}dlqc(os>lXo}D#8G;>%#oq#^AOl`$W zE_iUzz=&T^2BqXx&SF26hrV-1#b(H-`h1tcq31T-ag+vqUoKo)>imzQP0nr&j%(FAsyok+0EzZ?0V0%vvjJWI&x(q4#)DIRp-e_2N*y;;-Vt zQgS${W+_~o$_@}~@O)hv8L~tz!;(at8w+E^-%pUipf*+29?lk%+x9O~b|;3Vj#iG7LbsP4R~5;NC%=+Ga}228dK zrxKlUqWoSqJqd|Fd967uXPA{LWqIzO(@(RI4X11{)4X%Dqca9aV8-Q9lY%<123~PW zuM-|xe1Nne@Qr=ZbIS@1qQs_)y{o$8MM|W;`{O5nTa08|Y`NU*scIX~eZ{lebNbXt z)W-daIntkX5aAbQ?=&D<=k+wCPc7xbd)Z8hmI4YKt+YA`IukZ)PB;QK(%&aDzVDN- z5xPOQ;A;XQN1^B!MGS&|qpG88pioO_w$%hd}}6^ijke!CPs=Ac~Gala~(~)aeQ6 zB8tK<@Q;^^0XJ;iK+OP2zq-*{PAi2um4Q|SbJ*i}Zcs!XZSIp5AVt_Qe zk#ti1&9Xw=V%#!;_YSpZ_12~LrLp%09l|gvH1hp?k>)@1R>(3eK#rh+X=w1L>k{>N z9EYxC36o&D0z!OaJn?L_J1e-T%2F;*SxwTZ_a9s)w7#S$ zlDvJSgHG?Mz7;#uc4rwSzhBwsh5naOR$zL)c?aMod zEH+5=%sKLDx#CaNvb^gFJZSdxo9a<%4LrZ5K0i^S^~`A;cX=ln`V98k7j%{jotlz3 z*r)jRNNQFqC)Ryb^-Cah?xUwg+}d(S8NT$40_W3ZAJ1n2-L79L zmQ{K$lQ=nv5|MG%aUz~x!g2*f-250{uPI{{eo7+fD}phkb9flq6+2(4fz5F))LJyz zx`PJU1{CwaScO?{{9d z@{Q5P@1-$62|Hri%?!>$*;yp|^Z;r*6JhaY{GCVMe)kd#y~fIR$3J$D@8nPLO+imU zqCEbFX^e5Ww*F28R7!OemgT=VpAa|052w6FWQg`b1hW$#M>W*2ehD|ihs$Uy}5Ap z-PP9v!~=KR4{JbDk<6DAmbESj{`wSguKU?i4Ks&_lnwmWZQ4np*k&4gM!8%FwS%>= zH%2AZ8aUk{g8$@IV3m)tz$P}of2NBI6rPnqJwB8ml%N<*>W#V8D!;3-9xzKhOCdxPyE5Dax-v*{dK62>%?j}Z+uQU z3H^$@ggEgSwsJC0d+FO>k>2GU++e9nsPQYQQm3ME+x%DYjXB-FKe+r!+1J{rzq7Na zwO0fmN1^Q)#XH8dP0oRGqF_gH&%|WFiP;#E9}^x4HVk-9#1px*>4YyOAXi#_8FMibXIjD7nSyvW z-1Z2o73-R&vg}|9R(BsU@ue41T!z-k`rL;Qq>tr|Pz~H#MsIe9` zQFsdr+?@<5;CP}b2{-b_egYMTm%_4oqmlCIl1Hgy>=S5kb1Ym-g$N*{{(cj$=KWIY zPM>3Gu`XESUVs4Zi8=d$V%i*eh~Z@6S}VUg)19#Vubu<-JBb)&=T0Lr^QPh#tf#wt-NNIN zh@_uQ4yntVHADaH4}t#w=$6$Ihr=KK!V6LK+*10}=)c*UHEs8VJgkuI^B3w^ zl1n7f(_~Yb6gLqkLk-LcXOT!=Q^%5_)tHCC|Avb4p*8#iU_k`Hmpwygnux$m<$1&Y z*R@Yxn+tj}LqiA-H$)(f9DmN9SfJt?{sGw7KTZc3GXwmESi_~A+TGbDyHq2ni}W;O zLExa-*j^K{CstEpwXI{3CXw)xgLoG3-uPdEvTVh*@c-P^r>k<5D}@GKwsE_@v5ebx zLrmxRBQ&iA?lyH|mGuC3auJ6KQNk}8iV;#lODXJNj0EHzmK_HRGznZEfjEqon8lB?S)ROG((|_+5sX064@!CRO0Q=nNeT^t~bH<})}1YUslN zI8-PfX;e}ri210`Vw^bKg`}IZP;m1)6xsxYa^V@+mRi> zyAYYnb=PPeviL&D?03a%nAj(>I&3TGic*|4%G zhgbjIBrR$~;tA4H>7q&?^pE(uUO|v*FCsUf%Kdt;8QOPf`0MEqeAUHg*v^Bq84dW%qwonQY&LZ!s#7+ zLm`iuiHG=@8i;p;JU?UV;UkYtqynLeQ?>_n_S*DhMM-;DN8b0sZ$ycN*h3E?j_$kq zd-{94CmZ!Q1!FykWe1JTRrIM{L5?gQ*=`;`N+#Tvc<71Z&W9DvuTTg06t!~1@Q7wb zOmU|3DE++ku6(%nQJIGZZYTzEd)=zTzUf~P770q1=E0Bx9%65ou6L9xa-VWq;oEmT zCPNhoC#eqY$Yo&Suu%Hrb*?<-7mJLE{_=UW%)7r^^Eapbp4X z$?}yzb)bT)Yivh-fcaR07%cCvFr6X;W$ODbh0GZ+S?tfUq~JNeOm^{JMZyBvwPm4hX6_ z5}D}l@~x!~%F9-uU!o+6?=O8*B|Mrx6connL4#XcyesReonu#^MWd?2xtmE9Qr=uBemCt6Z-xcPxGp=a5U2NDW86xxtzXv|BTjyw_$q%VX@3a5*pLA~*CA2s2w z=v~K(rnmMqH^nrXLdcFDq1)RmkNx<~U@N!tJLIG$cE3V%H@e<^Xv~*X>Vl&o{Krr| zcdwKuf{0sl1;M+TmqGd~vv^~p>3XpX5y!dK z;3WRg$YZA^QdWjfj&XrV>&aTDmrdx;!UNlJxhzEsTtYxkL*3^E$vbAh6Co9oFi-*X z*5&|lpr*D_A2F)y2YEXL%HHZ%d{*H`^M$IB$Hg;Tb2;cGCNO~7YxnP|g;S<*6gUys!${k5%rf3bp z7zZd3tBbK5KlZabRiQYyv~L(R*9VwE4+igRB zM2W`=(BD5*NWjHayRP3rR==FBC86@GPLVMy-NUBLXWHi?R$}T=TT;fdOr~z25o6~b z2e|0{`=&t{VWheQvDi(*3Ga%Y-KsRUrayK*$~#LE4;+}LYzQbdfxJvcB2CK+1QrQc zQxWY^25niz75VgIQrX_o)B>TO{N^y*UrzqLQPxz#gEpxx1++K0b>AIqR7a;4nj%!J ze~KL%Jw@t|57R*1PHN+E;%4xhPAALI88@9WGXB=Jdc{EERH9Wzs3#=z-=!Jjga>T+ z?}msgzm*ZdG{CTVoT>oXv%E~3M@jR9hZ3NgWt>Jz1Ew@%L%3Iit;YZxQgW(M7LT(w z=x@RlhcPCtUR^@*Af+_ElM1{-1plCz=ak5uX%po&U#c#BS8kpyKA6Cx*||kEuCE$QfJ~2$-P)@d z5TdhPO+YN-C$3$`XG^c$J*YF~+u+XO8zLw#-h5GD!kcoc{okf2^r$8J?Em4)$ zWy*9PcAmSe9mEGb^<-+Lkp4*akHmCvxfG+VhY&s6^wmTbZ8|{aZyAAPYn)?7p3s4h z8Q;juK3Gt*2sb(0(I|e~6z(F4qjz)M)|L(rjV_xmO z-?>?GQraq~V!G9A#spupAA-#LW%4I7HzrUpUil;6QnOz>)jM{VF|bm{nqha`9`Kk9 zDW!6}WUdHpQb4F_Z$TnHsLH^N<2XXmvEk3>CTP1vK>*Zg4CkQPnz^hJnLOO;Rhr%rstx-1d+K#xB{w^zFc#T z`1__Is%b12ZV8rV4AR+bXO}7J$k-s=q_;O(!NnY^lW)2;R|Er(2x7m;kF>)rWEL`( z{e&t@emprEX-%a1hVzojQ-1o2$zWb1?Sshj^nI|dOVx-Fgwb$f53BR%#iepLMUyC} zKJ2XHnL>`V?_}CFn9^+ z>vVbE++kQtRvB{d2~AVY!zPB#)riYP4ZDH2w<2ItGZ5qQux4OwIk`~hUlIDMnOUMJ zu=grw>k;YFi)QulV@`hj`M+X<171}Xw8)lpj7G$nL(9_$tC_J!TeG9hsGPl_?hS>p zd+5zHYud#R$zgGb$X4>Qqa;@2)J#;qId7u|R=D%Ayz$b!~8wog#(0p)<>F^gO42k7cP6*3BasSrh9jC4{@g3td-PQ^dT=^yA{bv-odNqr; z*2-?Qc2nTFqc^f_Zap2l=|!}T?m#P&Yd<4KCj%_;!Mk8Q&Kl7 z?QhPA0Btg+EBvn>T)qfL+IZ~_x)kZCDXZ%$8vI2E-xgAu>NH((93anFzz~;16i5@L zz#?R+Or`IrU-|NsyX|t9*N33%U4ce1HaOHi)wT7LFa4~8Py^bQ+aKI|$|&A(Jgi{8 z3buK5w>*Jbc&5~*qJ5o7qpJUFG*p*;V)nOYddi%$lM_Ekkg<-0#Xn-Sz>4!1mO%YY zJpYeJYh?sxytV1~>6o9)|8l_INKykG4ILVwQ3lKP4ZUNepzHev$b9i})bk&TF!_dJ zwowAPl+MP-ek6Nn(B$?;!?4KDIyo2$>(-4Womv|6*l;S_!OeJXXoa1 z`-60hO-GSAp~ZblPPiRCoH1J7VI@^cVU;}+KhP zqq98|$OA_Ks-+_KVPD5|dYk?)hLM)lpOel;rT_OLj6koo@B&#HO5EKDK|L@?0MoI# z$uT6TO5~E%%>C%quifv4r!#ToE41TiCn)ZJZV$H{jHAklJIqPCvqMhYf}6 z&+DKaB*F#eD`HX)&J>Z;*;XLMF;*1Vza~W>`sJ?x?#;$jk=Zi`eJ*%3)tT^Z0vzj8 zaZ%^JBqiCdbxk+5*rEg1N>#(Am)VsGqZZRG@M*Z*hgs-{`wr$1)U~dzXG|PkN|UYRFaQ?eLsRJ<8%r z%&;sfao2c$Bu*jE<7YITF62UiSFI5Y?eZoS^VY-f))bC8J zY=~rYv{TPpn4h9SVgwX#1f@fm975^}gjr8TkJM409Zp3V+qN92yyMI#I(xTd<~RzW zW04m78`+D;RxiL6KDmra*2Ab!3iw@NZn?~Tz%^-@k@-=_kyG{Yu5t_|>5avv_FT>v zt+1@Flr8=7F(=|vq{|5Jqu05_#P#))S=dR9_IaOiB~g+~r26K=SBt53(gaF&r^Fi) zb;GPIXn&rKhpD6qhmF>%*Yn+1xX9Pp;c&tw{Kq)5+?UqspOV1vUggcElL29+G(aJh z&PLMCW?>weu;q`<_E=t0nS=n$JSgrYVq!vyo%M4u}Wa9{M=>{8_YICIwLPgly~I>So* zIo62;Lfz0m5G)ZHJhn#N2phV+wincvw4lwI>Jtmqe-N>SWTcI`O*i`TjSQ<)E#OZ( zllYgI+ZV+;K%0d zX6T%@GI$%cNNI$j(cL$ViYOO;r>k=C7!W(vLMly=tEBE9A}^^*1oprT@f&kcdi_(r z5}uwA#u0hU7UURmA<26>$clls4yYJj^%t^k6WY0aLS>0|i?DIp{p;>z(V716O(`w+ zN6b}}z^^lCKxZHpcHR1!9nPUrZ3LZ|vzYEY?eY!S&HreUZ?)t^1&L@@U(^T~)K-sQ`$YmseyuK0CckbE18)M}k(rV{yN_ql}6F zZ-UpW$-AA5AAGLqe(ofExmKYGmj=W2d0Bz@KlQFnKiRA! z>YHS4(K)bvDx@icCL%>*?o5Vdhje776F(+k-;x5SH3h1igsT`}Ur1(pJxO_&8I?f6 zRi6KrK>!w260T{(c9h&-oxtx*Z~Z;nRz^5rEr$GKQO8g=Yft{Co63-2H=5b>wj#Lg zf|%M*fk*+{^SznQ$sxhF5^nS_+D_G+l(-YH4#Sf2`hI%!)x|a$55I_thj3Xf1}C0A zQO>>B&ew7));*g{IS7?-X3PS0#yN#gs%R3?$sQWgHY;A;g0>i9na)^rzuMek4Kwr%5NE+nr9`rm{`^d4e3K;TDNgW zxF;C-H;slCw>>s8?=`u-ZIllAuBI%Un`|NrQyhKPgy<6In>G_O91_!H@xbY3If1Sm z+F)*awN8{TJNwFl0=vf3H2RBPBl(RsufMmel5k|J@>$OnZT!}IY$_EtsDs)gXVCAh^88yAHX_^UL4 zi&__hi+byYEnByi0RGK8dAE(HW@>&QG$92`@F3Ek$kh!^HEbap0d)YDSmoU^jLdF#rFfX*DvQ z*dF&wy}E0-PlO#qvcrC|dR3}xKi5NOo(IQoN=ky$QCXo0j5JX%3TsvN;@>$j90ZrS z;1aAr@XE*3UCNu|%L<O{(nHn}$Q!#T>-HJQITM%K&V!MSr{A;{~2+HeB zZ&zH*ZxLUa&R=^x)7n3Qwn{tSIR#AkFW3MaYv1-!KFaZpVs5tIAIIE(J%;> zRxYY8JtP$jR*fa-Xc7OpSuM@g2h-N=^nvTmwJ}ZUD8-c6{r<)V?g8v!tC*K=#TJA) ziRJ2vjK+Co@KyWc;jpes;8LRs&8HcDo)1U}dnUO!DnrgS5*+7d`7}#>unLy>Gtu8&AWBzR}6 zu9{~sp1!D4zv_yKva-9K1TTerKKSksS2y;1X|}+C_YqrLRh1mA1Sr;sJqC*ht04w6 zlP2=l5<=Xc@^(7Qc++Qis1GHDgL^Mq&7wF2OZt!M(P}n_ncxZqdI^sLXD1D8jsDqd zzl>l8tm1-FDSf$>XT{E&nhyle8yJYxd~C}G^;S?Vper^Fb1{_0=lPp;3x%~{td*y@ zGyHh7oG%|tWwjCdaX+@#<(4%~7$6)NK+H?WGVA`+57&q~SbgKU^m+uJ@lq5b(@k=8 z2uo)X>LeXDv{`k_y1R2sIpI^fu-$5saT~ zADb$SSjy2;;q2EzI?f_8b^=l3$iIJD1Iq5+?q2Vie+78-qysva+Q9hp>2tVY26-5eEtb%QvO1Z zAD~bR1)oU66$i!INa4X*+FK^RUz853k>#zFT$x{3XBCO}yUcl+4reK(Vm7}XuEFgWa;xG@ z1=<(^;^IOia@US=)mg*ZfxFC>Shr4{_NNKR$ z)G7Tkeo?CcnMMDItn}G8{=ot|yyK z@rY%jF!F~*RfSyT*@_^;z8a=)iJD5HI5fQ5hAr?%=~_8BNFtXRo`M*t`Oz7vg#H)Bfn zhS!8>0*@E($K%MT0aj52T=qrFS%g8?z!T33t@%+0X(=T6C!U6Y4R}~$-Qz?%pCYj^ z?==hQT7vF`v?9fO<&dTXk9V`jf$r=~B_uEYv5N(8#(ay+gitDa&M|2pt9>}PlnGU062XhtL;IP?KnQ+&$0sS) z!FtCclccG~rW>TzQ7Xae^>EGYaue%qXZt+rCwkmC+a#xqA{5hYnAh&D?X*5Or@5BK z&8Px_KCgs}(OPrvIBB;xKRg38xr2Oaj_09iYECMnWhxbLn9gm;uhBF#$+d)Q+z&QS zD^DUwV7cm6t@YPTQ{)jY{_xz$bUY&G{1+X<+4)r{<3R_<#MBRY5?;c%J~@_2){{$`F?t3o+|XJ_$}<;Ki!8d7Ndu ze;OS9%q++0?!B;ks!_g(`;<^?xcFd&1nAw|owX@Hz#OkUPW8f0b||qa(I^@F=x|U*CU=!;S{b->>Eajvw&>zAHc492nTHu$k(MqP@#x;%SpS%P zIb60Uoa@qjx$YfywK=}8p-0NkqO^8*n%t5aJy-Z6?^4EV@{R29p+;iX*{SY|rVN;- z`|EcRWdX6W&sRGE%0y#cUAq`tVg+kY#vzyNSG^Ksa>%EHHS8!6sl%(6lhB@di7VIL zO%&qQ&QTjU8=9LDre3xBNOjrpQhV}G*%8*39IXdMiR=<*@ zmA}G!Xb?ut%(0)}9*n)Fy*=KuR2=$wjfgfVt>dfued`DUvfmDX%X%}p=l%=iw#mU%CSBB@JCPIV;7$>Fm% zoi+c6vqi%^4n4?Lfqt8HLz+f7v^YyuRPkPRRw!En;7rcfNW4!JozyZ4Ugu5es5vsW zID&~jFd_YFK8Ey5%aqg@j_g?~ueBpt2*&5>F^aSfk>T z*JvMbl*LE~1UFM4&@Q@z2zQZgBsgr?F%DU@8jcnm8%m#N&!P1`duB*xzXy?v%pC{| zU_1mKw+RVTTD8#40M6(-Sa)U|6Dcc`$GVU5v8nV2*MDC@jAjwv2wq&?!fD^elDq|k z_#N>5Pu4`v`S0%2N!A+-dqf+@W*aOqDn!vQpYMH|Lb?WW5rhn83TQ3Gd?H*PT4vsI zipn4=4^Au?_n+W|2CdOCAb*W#@et3VW9a?YSu{PP;jkvzqfuRR^IQ4(wPx0dUaQdd z?E!hKy@iOmO)>V?vIP{U59i~5TrbWn=P+*^U3$fy-QsmDnS0OZwll|ZR2g;@rrA`z zQ}a8Kyz7e;;LHV4*WQ^A!8C!aM}z(|Xx%#$tcRD~JGi&O|LOn+d*kUzlTrbh9gI)) zwasGWa((6YO!gEAF6uaoUvo`lb@};$-?qHF z%I3;s3<0>k@Ng-Fx~Cfz?arZ-EF|96w0bk_yPwb6gGdg`mn?5r6OP95x_|w&rr>F4 zg?~a%)1R6CQ-WI)H0NH3EsnL>A7E*Zeg=$FL#AS8{zT-zDB z8f|-8TiB_$INe8ZHhMSrRWH`!l^nv=A=domziZt!q;rJExbmMDp$R zlG&eA_J!-h1z1INDf9<&wsZER*+1UXC-L2D2t7skv0jB&!@wRhJYkf*Q)|DF{E|pg zOWM-fRjx($A)=4=V#mKR(I5$Q%&YucU*f#x9ovm;2hmKZRD6P*)#KgW_^ z`WJDY&h8|T@u{yTuetf_{AZF^Ifxvhhc+}*+EbEVrb_jB9mU&TOptF${FO#M zHE|QU{j!?$3n%3rMp!qhxBSN_6-6z8B~??fo>IC|&nD4&*T~*FXytK^G`x3d(R6uG z^03ubu{)$$dsupBz^|oxGtH+H-a%%8 zg^!W};HDzIUPZh4-=Dt7tZ5!#a$QrncL7elBMe#xV+)K~51Eue_;G0sgNJL6IJtnO zJw&vU9x$TezKF6d0r5ThN>$g?gXnp=!D+!HQ^*W^B|Q9=&Tc`7V~(v>WbBNeAWjym zn-yN3kW=px%a(CV*TQN(^x>nJ6K`87sjo;X!z7!?rygNYHU2PKAg-k}w2$SiIFBoR zXp|qMb`n^qpd#&=oR+$rAHzaT@a&L*rvMQyqdt%tr#f37rWXNb?SKlNvd5_g}O%5dJ0mB=fIWl-~%fU#u3Ar7j8 zab-V2n$Bk&q2K5K4pD=EZV+xU9w*3s5a8nQH3+bOmKwEfGC>o5uO&vi8|WONbm1gQt96!y7_Q~fU_Ip$W!6OLgKtb zXgl}!Dr&zi#|0Dxq|hsfZT_}qxYtD{Ws&I{)8`+a(|u|vyGCr}*^0a?IJJ!NNZ&>t z?+j(cxdPN5@@|X&#G@_HBR~RMl^j3Q6~T36K!-7SdF1vgpjV`Poq4D+;*ai5kkc^V9j+uFbcmZ`r)oji~c85O#T_j>WXDg>y_{n%!qTys_Of7rdsN=3tf%N zrBv1nO$$iW4<>UT9nHuCQb)qfY3!g3@+Hq{WGVnHISQk@64z`7w~*x@ll~TM?0Mbu zcTuhqwBISvLL#6%O#iO|acgpRka<_M!V~Z=$Y*s_msvO3J>(P8HS>=YA~$yaV6fW+ z%?O5ZxvYo6asDzh1wO+6o}J5H(`H6i4p)Ol#*K{53dZmSWUf{f3n;)MK{e#}1dHf#7FR>+>c+=3T*2UFQ zEcik?XluVI3&}gYs8Jy0Pa6c*gwiP+dL}DAJl_ebScsUxb}zOLgT(scN;~pSl?Z${ zd&?~1e}7YyF(PmJ%>aL_KsDKeeXYh31Z-w>Ir=Q)ftB(`+vFwBO{BdCD~~USmV%?A zSxPHUN&=Y*dP^?4z=2@L0b#wE3EzT}Vug?W?Fwi9rU+vl9nYD)kF{pZlu2wEt@S1m z3MNJ|b?c@@_kLL1Qw>8q@O+!=*?+3^dZRI?JQH+(Frc*h>uyL(2Oh`14?yS`Q_ZP} ze9UqQy&G0~HV|udQeWSrahSbZfkdO)Tdj?7Z&_)Ld(9*h`32`MB+iryiADTpOHn6jf%=1H23*Ej0#6FdsWG!8VbKF!%y)yxA`g#DHSmRhOD` z$U%4C%7heoyMVK`5S8NXtz6IWmh$3{O|j%S22E@sCan@O+I1Owo9<0Yn@i#d!|~(J zn70?Ku;zwvYfM>!%#P{`lWH>aM&T`n9}V}LjFMMOw%S-~0d#Bqd{ztx0-3j;*iR42 zOM^N>R1DB@xWw)(@*_1R^|GgOB$8V#s7>ctxgNYNh!@-$eHgFhTN^i~BNcnrW`C5R zG;0>Gm=H#?cz<2z6>`6`Ze%^zeHWguVf6rt{%~M5(U?~rRvR>W7sKtIZ!{p6s4gIg^smLiKjyLr zCA5T2-!xT_P_Swi8`+pHNs#k!{5wiqvg}*FTq%u8(*zkNW4DtYjU#tt8su~Hf+{}b z9M`*>{mZ1kHi37-O1Ud(t-}ysR4engBaGyB8Mg@PS`j0RW=(5qh#xjZUO=r@-o0-; zW9StP<8<)hvz%`6G0h&htpH-O4_LH*AtWxW@uSCk+h7dfD&V( z$P0>A6SYC44qZ!713Oex{D7g{-zRcJsl` zZurH8m1J14)d(AI4(_vN-m~n@GJ*&f-l8Oc&b6!X24!pow9RxqF=yt;#T0f3+vg*@om@oZ1EvhKC%SFIm`7qI{>26H_vJR_}P8A)sw0 zujoO?fur}_W*&=nbeuhA7Ic)n&FmIkZQ~_trj)n(=vvI0=#0=}Ph0xI)FG2Me@bjI zx~8Ln(^Fo&IOcn;$(Uwy9>u>b?cy6vy7CM3B$xLMf<|95YXWE|YEJ(tmeJ(3mZ2>S z0iB!?sC_-Q^GqM`}~pK;#M#O0^TI#ub6lYZt{KIMGuoo2&m0yO=Ck)V_mIOu$Kn>{aDnd;edN+rHS z%b_ysiXNuV`UY}`H6A~+9O52l{oHQPdG^i{4~(6?y%>_59I`% z4BgY;r9$NTkzE-Y=LALQd0i+PB;LITy<6-H)W9w!vpU*H-|GI(wRVTwo%sWvfWaOi+NXS|Fl63RYf-u;YSrA&j8 z7u@f*(2{!e@@i{`pe4P97Bu{bH3f||ExLk+bv1B!n$Qxl)ipz+1vy99lj)7~TKQysle z&~+SrP|!6TeN@m@9p#9RV&UikQzyl%3i_x8f%0|qq%b+VV zvD%?B#O?&ztCWm32U=Q%L}xo%#tTA*vWjl-xqrOL* z6f|}(yP1blRNCyufa`-eq}#7h$(9uQ?~HoQFW@F=p(9;&0_x3?ZPD z1T5layYii_3?-D3mha$w1j;){b@9N7>ysW&crdpZRze63j^Q^EUxqF}np8r=-shMM z#8*-fX!96Lc@BM3+hon59wRy<7rx{ahnYr`Hf*4IMS9>3tm3m-YJ^o=|KC({eWIWI6?9~)6oM3J>5}upe1ea z-Cxl9PK`R>koP;1wu7r_d!eD!r@LC!m@-^q+5z2P&>uLuouJn^s%N5I;po;v`)fxR z2)fMCy#>9%(X9l%$<&{B(LeHQ0Z)cxwqsB~X0)M<4W!$L>TuX0Gw5f0%w4hZeO&OtrAmpV$VpOVGe@TT9AZ%w~5$9@^tSl_If zy5iBERfFO`f#NxpU!bhJ1ih$=UeQA-S+ndPcUEd-Y2mb8Q1+W7y2#NV3mRop%iAlR zwx6@LUhA~~SNP%~AOpTvk%2U^j|a4kkQeRXw`UHu5%Qu7#&NL{#t74PXtzNh5p?Fy zR*LK*I8tl3{mmiOsZ^&K! zs2Izv>p`_1qoEpGpmo2mAFYQB*)kyKLc6#RNQy%b>M6wN;#oo)`aMI?gPgCT^BmQ* zHBFX0Xqqf~m^_=rW7^p47Vl!Fcvp;MQDU{0cfo2kIGZvh;28!xNAEf zew6w<(V`ECyn8x_y3cjC(AI0CmpKRZA7a*1Yoq#^%XRJ4`=;Ud=@`=@mqB~1OB}sG zQW0ZU>LZ2^^F5)BR$51%>NV}(dTjqJ9Qv()$;HUG(c2m01aQ*1@!{>P9-G=(@YNHD zBQMnYV%AvFo>u~@*%`QdJ;O6#^dtE!r zdK{qH!7lDv6GT5t{onBIuMrO6uWM|f$pN8V?6GMcM_y=|-^*#w7Y;F=s^ub3_2Lh7 z4(ADnC_{Bx&Eu#EP7n^eJ6}!lwvIOa7K<94ezE4ZzND1un3G5k8tp_&*4CF4JJWS5 zXLu=W>r2WU;8d+Ip>^8AE-aS%vit&#^MjuwC@liCe=R6&HYh#q6d^K3-`6{D!+K*kNY2uf1<=jJD+1>(6lvsMMJGlEp_RcW)oORt5ZwuIsMk` z{n^U#EXyHkgJQen7o{6?eZa2tgZ|#pO$EKx(X9o&-ceRkBnL(U;7cDW(Y6Mzl#-_3 zqK7ZImAqZ7kCZT34cYYdxyi%l|iN6qzmvA!=m`W8V)V!ykX+XP=-9ervQ z-PkH&>fwII38;6WrN+&gHs+=4<1m+1X{pJRLt8Ib^xE`W^suknnT`s-)KNwm({|c= z#G(I&1nZI$P=%rE?t%9X(%k*7RF+_B_{4_VQ^FLOVJ#-Sk^@wlcJD zI`dkeTU_L}qqB%nM`^wON~ayqb+1@z%mK~>c^Q}6$!Z}+!5;0^MxgX{K}WY<84k8_ zZru5z-&hCTk3YFG%Yx74i@rC;sMv{jWw+hM6W z@{dg(WEXcu=Q-LmS+tY08tAHNvS=l|?E$If+M4O2ov7_~yd+k$=(?b$N!3|DBTr3} zMQ5>Usy?ze(=<8WzI}LN-<3LQB36mhGE7ttW%5$7a`#&%stnafTy&Hw+D#pYiM65X zE62Ku`iP;f(~ULAr$`An+&#RL1&uaq>^+pG$x=J_Q=i59R2|D)$aO7iaoTFRrH`X+3KjGmC32?Y2&$OTiwq(3D*4Jz3|PM z{36ZgZJ3eJKY1wUjpkXNaSkYBk-U2|fgM*kZ|R&P^uv(!LgR}x5qf+V3VYs-ugWlL znx~Q?2^T2jAF+RVl4*Ro1D&;^oOPVhdrRQBL&hOK~3X@a3e~e3Y%= zID9$zkV%o{iKaI+ z*z$y|EO`>v^=ZotezO+7{GvW@>+y?SQclqhSNV^2CSpB4e1GP#>Ar-P7n7M6FyD_#BvI%2>qP>E>eQ->7mQ)H}C$=o*zGyua#x!!sXt*TS8y#q1QU+ zdnFWWCI0wc(E0W|Id5#g2ZvrLp&Kq2`r|GXyXT!be&54lC?x{)Do6J=tdx$9-kwPH z8g?bhiAJETlT0+)iPZ%S-;X$wHqf;N4NrG=herPV$ewsR@~7n<+E`KUkw2WiO&<2s+=<>jd4#(O(NX&(RwN-OACM1l_{Xn+4t6(OU)G z)Y01o-PqCJ3A&-9cM3Yk(YpoRz|lVlI@{5E1zpe4KMK08qkj@~ZATvvbWKMe5_FcM zj|jS&qmK#tWJmu;&{Z5=#XN${c?vB#|71ZQb96O9A8~Y+pbt5^rl1cvy0)Nya&%om z|LEv?g5K-s><*2Rf4s@sO1@>~i0kbQy@tMDYIAlOnxg;WK|!g5LGKfE9Y>YJT8>hG zXKiko*0l#pJKnKj6>u~~`jQ(>!Xg5Y-+@& zk}armh|^tE4{^4I&KfOr4*xFlvY#34==l2c9X(%Y_jXkIE^<`ol42yXpV_E=ox?yi zwzCD@-)YYkG&ty-M9frNWACIrghzU!aCnpR{ZbFb*5jmyGXy=rX*0#pzQED?cR+Hy z8rqmyIaBz?X&!aHyO2#?353ICR%rp`yfkRc^Va1(M`DY$x6c&5mpk9oBz!}YxsC}m z@}e$Tu4shzMRpOP{9$Q@xI}7cvoVK2}I^WYJ#c|%sj>7j!=UdOA1wy;bY4e;M z(sqcatsdKU!r?~e@KurQHO?VVor7$dhoT&=bz1#O z%Jq)!BOK0ibO%8r6>|l>!D;srG`^@(|9*=~7T-hJTsXvcR#e{js>|O9?N42XO$5E& z(Jcl2t)ovD^iD_XGU&eXTb=f4!r?AQcNa9i>Qa|%eW8tSz5J!17dhXJ1dT7iC!GIpq%>)?L0w$?I^8va=6aX z9RywGD850`;*Y?0H$g9QG*9y&#hm5=ZJq}L$`~DJo=F1AnIxb~?8?|KaFjMY(eoUo zwN8{a8xGXjiBg|~vKKGWe|41lCsFDqXgMn^(Ni6LouFTF^bLZZzg*Nnnzq%q0v8o*(G->fqNySLUqjF?~ zH)F)*LgBlY^F2$@{T>Krt-SUH=c5^#u-2K?(x@$j@7TgY&N za9}nb{>>(f9-2)SJv5svdeFMB)ssalQOl{7Jdx|kS9m>{J_naU+x&Az9v5}^jJ-f7*Hqe+$x>3-rEQHYd*O`_HEu&u0s$aqg8R*4AyQ`zx zlGXPjh7Y5@(RR*3V++qlPjG*()2g?^$QzPrtr4-Q#}uh}*yyuGpJi!+LtT^g*6A|Q zLug$!$><+^b*62hqjis|o?`WaV&17fuc!NmA~yB8V%CUpztls_faJUg(laK=J!dL- zI?U12gu`nbJw?#a&Z@lFl@xxgVl>=!J~n7oXN#b>tDig$+o^lS4;hz~VJ(+Ib2Cmz zs(ZRBS$Ko$_c0QSyy~Y0ZM_E9910GK#{ApaqTfKjBWTo~>U)M4-}0j5P|J&=hn2Zp z&~|U z#%^3~8KQNna~P1c9q4J(Ryx`Mt=FTr*H$FPD(daAj#Y$DpzU$A(n=fWaOUa-@*wmu zIaU((pevTb!yAc}s6QE&s{Um7eRX@#x^E53Mf9QmS=d8AIUnAY+QXi15BeRk@Xz}3 z@P@aYx$Y1qv;_T2Q=}q-7Q8>I!VRBg8e?M%YiLUGDJ%Z9N zB(`i#d3w-j;Fo$o7r)r|pO++WDeu=dGrb(gvZO&pY}yLEDaqqO)bL9Y*+4XN)RhXX%Nd z$!2{;XKg=D(L>t}R`k$zgB3lna*JAHO}nx#dB9P6R*C-6(G3K>+tG~$z1`8a7qRG< zl`5&pmCyRVW~rw=()pqBn@D>>&tuaWv6#8KQfO&KkxXYm<~ypi!9my7ZR@o4 zIoA4YpRO_pJ~&MMAXxZ6{&TS!=tC z9$MR7^w8SwqKDSUDSDVG^uSf8qV7dc-Y zO^S7|n#X~v@42sY7^udU>vyoEu#)k%s93+=b_W)V(*9=bwXf%~qLD-OeEW{%akNe+ z2pa80%Zrv5l=-O79K+?9S)zesu?u3oeeD$NEAFFALnc}9&rpeLP zJIyAG?bOFIN8;IxPLu4AnPv6Ds&@Z#S3iJovCAM(a`z|$@=K(jcuc=Yd<5jZuL>{! z%}?IV(0JEabl&38uZp{-{hiHu!(nKwBoYWHWb@K=nFIdHHlwrZZ1QR)=Ux;``H4OK3`>u8h!95<;4{O>rI4ECl12&K7dKSqRqhT)WCI zO2N;~c0t>IxKv#woeXGQysq2+9?&~I{R`YpcT*!FW%wl1-M>|a>l?6~L` z^d`Hw*L%r2X7`xA39a_CcXAH((E?ppzJt?F)L82kJ?lfx`pU>yuYRG>#*CeEh}lye zZ-~`{cUl~&$qkJkvF3OBc#3%&9mj~1!p;>L>a%-$85cO6+M}hEywzi?@4IH#M3D^I zm}fdi&=)%29|;;V=)U$#J?HsObMn2!(VSrxLjkF6iR@fE{=75%={HH5P6q6t$xKYzNDx+i&Uib z!6Cj9d6vi!>l&4Bd>t`Q5`@DcEmWu9-W@p4^; zt%UDyobSn^hr^x2KMVS|j@C7~h48(}`DSbcA7KAzTlA}o%S>^g`i;k5Ir=>7{$%a> zGe_%RpWIPs<13MS2>Ns9px=IZzbrBj_Nlw zTjaRFn5x zeNIi=Rn=XOi(dwID7~w!X-%LGT}@ENXyCAxpuv|B?Bu}66tuGi{ez=(1ijPIO$5Eo zQO@v6zPC8Km7q5|nrC;BHvDO1z?Vr5_&K1QXq71KFeqM2qIfi*_y&p6l7n(8a-y7i z3wpSqCp-F&f_~i5_X>Kfqwg2=Xh%s=#%5p_Tg$hihv}DZXIO?|589XheHFdQ=*ZuC z5Bqw{>&*F>$<`H0(ZjCyyDt+C(PJG+zx!d6E@fc84SDOcdk+Y0tSnQ>VinqeNVccF z313QX#uh!{9}60^*_+|b=rf!zw9#|dF@=T0#+Lmz_(nf#K=>|k4i^YIv^Nfi*EntV z-$0|ERqy3zp8?wFp=6%{lzNPN_Ok|~CyHcma@sEm8mmm2^)>6mcJ_5EY1UWlq1Amw z4+BzbJTdCNSRJopx;uIasIR4~k9hYpwjFEf>T6}{v0W!xkM(@@vrX#l+elj8`gpar zSuwV)ZC0$iyHMl}E2&FXZ|Cc6w_4v~&mkRkkMZhydz@op)N-$M4w|;%+hc8cW8_pl zg&0@Xuc9y`NUZg`M6EA;In`u%73y8BYubjd>LGGSbMqNatMW#Qb37G(kqV`a(Q(cB zm^bh5~C3g7sLi=x9yH#r|vZ|L& zU1hz8(`r9!4X4$;hm3ttTC{%H%xSg6*6Zr1VR9Y^elZ)BvoN4hj+JlplInG;)=^Pc zYi!YfJ4N#1a8KLGf<`}fD)Vg>*RQtzJG;D^oAowebLXI%9KRgurv{IF%egP|I9id3 z%C~yS(sI$(6O>XNd7&-(hHg7L1`_j|b?-{;Ec$u_#wkZ!q9!<1(5NR{zLh-DHXv#v zEiF@>Z#J3G)`6G~&_m=!db*%PJzdc7blWz4SZjDQQ zzFXG_v~?h*oW)v+whp9NR9gp9^w8FU6g`Y?9mtc-vtce24`)rg$}dn5E_3+39{{?p zqYnzYj-&VWP~LpVp6E5!SHtG)B(yPNc#d$05rMYaxM;GiHZFQ-tBs2u+G^wC8#FJo zd6~2{;VZY*#>F1AClMZWTWwslQ}2E08rinmxYV$*l6rJrCS~(}^Xypt$nWA=^2@-s zYSr}6RvQ<~pa+4Kv{m9o4{eor(Zg0|6IpBA=vLBJ_ZRK7T^U6WtU*XCVO0oq$>i+H zIL%_BMXS$+>WYuHV!!BWPuH2wR>z8u`l`Q?tQ*vo4zZ?T!0aNeB-SI=R|x8S_iJ3< z`f8T?JbFKCliG^?Vh^!1L{}^YI+9hZ^_327zev%uu3Bx@*Q{@FjaXmn)9SurC9$ff z?UgI~sMoZuRHB zy>i<+THkG_t9N4u$FR;~o4}6PTifGS`JvO$+^N;UlKLw1xQ6OyqX?=0N0j1f1m&LKzBkm^~c2l(n<*BE!maY<+c&E5Z?2iOIN9Jv5p z>gY}c^>&TKIp!ldZ@j)wYp( zO>vyCo~L3ETbz}VD;z-MoFL7M*mtZamM`;stM9iRNs8;asry&sd~{85$dF@8q#{mv zucx@4o7;(%#BNzlahzjbKX05J8-#OnL zi3NSNqxF3k$(INYwSTNW(o>P+Y-c_9H%<=T#Tt;3HaJ{nQNrOFg2uVZx)L`|4t}Q4 zUOp^``p&t{gu^Y)p`HpoqdiVoub(Dxr%uPY)%CRL z8SPhjY#WITac*@zZF)v~oE~3aNnTHzp3#1d%TVW_nv8S7>nBv#Q&Ha+peIz{>oVwW zf%VKVu}$^q=UC|j?WZ3!cG}fFwt8EbtsW9>>9qayRQEM{-WjlWvJ@_MH0wTS4s|r^ zRM0q2LQnj7fzzHReB(TyY@Of`ty7(E)<)1qZIpW^L8BEpSz;T0l)0|S6?qlJHhh13 zbbQV5nzv#)Ko4OL&08sDvw16}rfuFz@r~*&dh=F_c2;I@h1xUb#I>Ilz1w=7nk_FJ zTA#PHL#@wS^w9deMGxWswm$E0HLdp4qUYZFyhUfN&s%)Csq9PF=PK)c^wIS7v^PF_ z4*y>O00030|9AmplDkU-K@i5rXktziV~p>BrizV~5U>#8a<`GydwFbxSa@J=GvC~267Wf3WH1D`16z0WHRj*$ zepMzZ2LtjAkib*JAgC_5)l6I$r(|wg5oJ7w6=AY1ZZJ~mWaBC$$e6+ogwI%D>O#Lb zI((gxBIEK6a5)b!){6xjtkFvECnqG7j-k{SDLSsna&I3?3HZczO+{!}uz=rrjM#_) zw>?WF9`5^~4>RW|GN%ZmVOXxiI1em?Syo`Uc2y32thJt!va@AG$siK^!?`Q5m-nBO z0a@=15$d-a>$r1swXoSQYm@mjdgMyYa&;lSS=m0`8~LfS}R0qzt?iw;5V^a_qeTsQ;?A{Q6S z!@UyA#>A+n)#i681CMwsFmftubHjI|RtDjj?lEYK)Cx||@JEe^oZK-HkN}|F#-T7fSRew%6vd^%Thpu?8|7T4K`l$*=xDw>LrG_Ge)=Lc`67C@VFIlTa O$t + + + + + + + + + diff --git a/adder/db/adder.db_info b/adder/db/adder.db_info new file mode 100644 index 0000000..90ca49d --- /dev/null +++ b/adder/db/adder.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +Version_Index = 167832322 +Creation_Time = Mon Mar 07 08:48:37 2022 diff --git a/adder/db/adder.eco.cdb b/adder/db/adder.eco.cdb new file mode 100644 index 0000000000000000000000000000000000000000..6612017e10ee479d46d8c5c373ee2759c1d1f0db GIT binary patch literal 175 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXAn<&r(5U7Pv43 7400:inst39.3 +A7 => 7486:inst36.2 +B7 => 7400:inst39.2 +B7 => 7486:inst36.3 +A6 => 7400:inst34.3 +A6 => 7486:inst31.2 +B6 => 7400:inst34.2 +B6 => 7486:inst31.3 +A5 => 7400:inst29.3 +A5 => 7486:inst26.2 +B5 => 7400:inst29.2 +B5 => 7486:inst26.3 +A4 => 7400:inst24.3 +A4 => 7486:inst21.2 +B4 => 7400:inst24.2 +B4 => 7486:inst21.3 +A3 => 7400:inst19.3 +A3 => 7486:inst16.2 +B3 => 7400:inst19.2 +B3 => 7486:inst16.3 +A2 => 7400:inst14.3 +A2 => 7486:inst11.2 +B2 => 7400:inst14.2 +B2 => 7486:inst11.3 +A1 => 7400:inst9.3 +A1 => 7486:inst6.2 +B1 => 7400:inst9.2 +B1 => 7486:inst6.3 +A0 => 7400:inst4.3 +A0 => 7486:inst.2 +B0 => 7400:inst4.2 +B0 => 7486:inst.3 +CI => 7400:inst2.3 +CI => 7486:inst5.3 +S7 <= 7486:inst40.1 +S0 <= 7486:inst5.1 +S1 <= 7486:inst10.1 +S2 <= 7486:inst15.1 +S3 <= 7486:inst20.1 +S4 <= 7486:inst25.1 +S5 <= 7486:inst30.1 +S6 <= 7486:inst35.1 + + +|adder|7400:inst38 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst39 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst37 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst33 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst34 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst32 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst28 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst29 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst27 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst23 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst24 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst22 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst18 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst19 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst17 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst13 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst14 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst12 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst8 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst9 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst7 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst3 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst4 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7400:inst2 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst6 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst11 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst16 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst21 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst26 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst31 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst36 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst40 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst5 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst10 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst15 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst20 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst25 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst30 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + +|adder|7486:inst35 +1 <= 4.DB_MAX_OUTPUT_PORT_TYPE +2 => 4.IN0 +3 => 4.IN1 + + diff --git a/adder/db/adder.hif b/adder/db/adder.hif new file mode 100644 index 0000000..288d8b0 --- /dev/null +++ b/adder/db/adder.hif @@ -0,0 +1,120 @@ +Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +11 +936 +OFF +OFF +OFF +ON +ON +ON +FV_OFF +Level2 +0 +0 +VRSM_ON +VHSM_ON +0 +-- Start Library Paths -- +-- End Library Paths -- +-- Start VHDL Libraries -- +-- End VHDL Libraries -- +# entity +adder +# storage +db|adder.(0).cnf +db|adder.(0).cnf +# case_insensitive +# source_file +adder.bdf +24315e582bd755b4b98eeb6d569ea2 +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +| +} +# macro_sequence + +# end +# entity +7400 +# storage +db|adder.(1).cnf +db|adder.(1).cnf +# case_insensitive +# source_file +..|..|..|altera|90sp2|quartus|libraries|others|maxplus2|7400.bdf +2bbb3be4da5c8a854468ca6be3dac +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +7400:inst38 +7400:inst39 +7400:inst37 +7400:inst33 +7400:inst34 +7400:inst32 +7400:inst28 +7400:inst29 +7400:inst27 +7400:inst23 +7400:inst24 +7400:inst22 +7400:inst18 +7400:inst19 +7400:inst17 +7400:inst13 +7400:inst14 +7400:inst12 +7400:inst8 +7400:inst9 +7400:inst7 +7400:inst3 +7400:inst4 +7400:inst2 +} +# macro_sequence + +# end +# entity +7486 +# storage +db|adder.(2).cnf +db|adder.(2).cnf +# case_insensitive +# source_file +..|..|..|altera|90sp2|quartus|libraries|others|maxplus2|7486.bdf +66760dceba984b0dca8067dd21fcf +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +7486:inst +7486:inst6 +7486:inst11 +7486:inst16 +7486:inst21 +7486:inst26 +7486:inst31 +7486:inst36 +7486:inst40 +7486:inst5 +7486:inst10 +7486:inst15 +7486:inst20 +7486:inst25 +7486:inst30 +7486:inst35 +} +# macro_sequence + +# end +# complete + \ No newline at end of file diff --git a/adder/db/adder.lpc.html b/adder/db/adder.lpc.html new file mode 100644 index 0000000..fd4875d --- /dev/null +++ b/adder/db/adder.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/adder/db/adder.lpc.rdb b/adder/db/adder.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..8bd163af4afb4f0b0723e98d8decd3aac45d3a3b GIT binary patch literal 399 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXATNxwJ2q2J#(kmfchJGl&f^kmYd9FhSJng%? zn;zU>yxgvvIZ)usBW9N9w1g##PxDn*W?MyT%JHh0$iF?mFI}n#-$sq zdH0&mJhD3Zb;HD2XPzBe$Lv4NH}j-Ho8PRSM|ZDJPV^~uX#S_}o8&snW!tC3u2r&I z7yFf{e_5>aL7IW#|Nja`p@d`xCN%{yrbi0m%YO*CtIJ=n2$v6!58prGeEq*UDQqhf z9xzPi;rZh*OS;3+>+jq>F>{?w&acZ$W;}haaTTK^`=Ln=JqAV=DkmHh}~bWdy))Y#0}0Scl2{{giDmUsXF literal 0 HcmV?d00001 diff --git a/adder/db/adder.lpc.txt b/adder/db/adder.lpc.txt new file mode 100644 index 0000000..a463804 --- /dev/null +++ b/adder/db/adder.lpc.txt @@ -0,0 +1,5 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/adder/db/adder.map.bpm b/adder/db/adder.map.bpm new file mode 100644 index 0000000000000000000000000000000000000000..069b60fd9e1295dfb453a06f20a429633b48a3dd GIT binary patch literal 656 zcmV;B0&o2f000233jqLb0B!(300011WpZ@6CZeO{(4mFP>EJ9%_?Aq-|oF{z?CX zf55XK;zxXw?mU-3(^86vxbS$pliBxXC&?B?QS3R6^C|ha#DeJg5IwHs4GE0JQF4|{ z1_y)qxb--G73cn0^StZLs$*XJHpSa@iB>bXIy=9(3>w=+8bsdZ9hICTB5(nSue)9h z$5)O3qg{vGwZ>f@kypdIX31UD$p`NJu$|?@f!hwlD0Aa}CyRPfKW}$~@Yd}ly)^DdVUP+b&f}zSi2GTR zPaANyU&a00030|9AlsXJY^YW*}AuVy6J#cqji*KNrWK$oK&NppbZ9 zM?Xh**PwV8#}G#+$6!~_`57vVAT10DV3L7>2}tt+F-UKKV^D}^h^N1wOT1AQ$WR7` q7BC4>CJAJNlzDi%xVZYE+Vt^TaRtaI1_m84$-tlnr2zl{0RR8ziY5>M literal 0 HcmV?d00001 diff --git a/adder/db/adder.map.cdb b/adder/db/adder.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..ca140c24f035192efb4c7dadc30a3d12370e0238 GIT binary patch literal 2132 zcmV-a2&?xG000233jqLb0B!(300011WpZ@6CZqP*l000000MG^i000000K5VK z0000008|J7000000C)lHTsw~)H58r=3E|NILIJ8>R)7!`Xdzkzm45}$r9(hR1>H@V zl4>Q&q#+W&0Vy34LLf*W;=R0=1`q;5UORlB?Q`ec8GGzuX2o4yTbl8V{rQ~Z&u5P9 znY&xpb-h+q)xOVfGH1aJTvSJJA?a9kP3oj*p5K8h9&g<;FIv1fhT9uh=-B}%%Qe5Y!D`?M_e|tI7vVG6;x_%btr|p%~`j>BoY(~UgIDT(QV);UX)s-B; z_Bl+a-9ka)=AdYB*59hM3k@hTQap$TX_S+bx*_RcY0%cJFb#~?GAJud!%^V?d7;6Q zvBEUS%7HwrFb#T+TVWbXh@7u_Y+zXJhk_fOLWA4hc^*n^utcmd4P`NHLu82!mWDE6 z9=-{auTx}NOXbZAn+6$r?NU{EYgG3=6nyQno6;<=TqMXy-Y5@W<>I6@-!~i#4YcGQ zQG+}*Q>+LLdQb`t)+Y)Lg@z_L6mKZrP`m*QEcHxrt-d~AF7dl0*A4Bp1K%QcmqnL> zJlhO#^=GsEF)YS9V4WHI&zI7uwcqAg#iUIx{I8KV(B;ku*j;I@gdy#^K9Y1Bfs!`p7GLwu<`u1JivJDV&=x9nTZ)6 z!tL%`+wEJDjkUD#4(-li<7xN+Na7v!U=R;AXG230y_znd^L{ujFA3w9kNH!FnfY_dYY!ds&mCsw-(Ftk#j<#`VYrlZfNrz5 z=aev1-hUrXNG!px6lb5|*mS>KG`BezGyOYHS87~l&lmRHJb@_Eo-oiQ^C4tD;$^b) zNX+z)CT(G5TxQP+nO}ruY6kz4%tyO+BCxl5HNeh~U?+Yk%N9oUowL|tGU_(b_je%k zv593~4$Jf=E87Ox8IdXO)(9OSGcxX@#wa3llrMb+KJn17XL{VbPso72UxLhsx-$6~ zLybyCT_=3$Tgcq#${fXKMCK?y`Fr}!uAQU!q)a$C*)qtPn2qW?#v&whRNt*--BYXY zP+__tTcW>{qM!%avUAmuUt=_Vq_wUA^5`LFEvxerSyHiXUXF_ZpPxgfpAizs4}kQ~ zdjiR5lZX5+8_B5nVArPtJ>v78ryVcinFHGGsyxQEjB& zhohRP2vTo)`;mHw*^kuQxqjrS#TlW;&T|l?QV&w^3echlsh8j$i2!79$wMay(dlD1 zKDwwnfjb^LQMbO2T^C%KnZnC>Uaqu!^+sK-wHIRKIfv_li;u_qp65S&eichy{%vTh zg*oD5g7A%;F=`>PPH=vohi}2npG_*T!C20?-j>-vBoBBiPtW}!9QCf_p!}mg=Z?9x zfMfOCU)Y8PA$i38;Q7E}b=HTI{`SdZ9X_gCIb88j-c8uoT?kj^;l?f#(@S~$+g8^5 zeSef^yylpFibKXD)?e!7yNNy=^?u^@a{WO4F`jdHL31NG$z%3C11$AP3gKwqZ{DtT zkB{+8EM=*@yw9S^OKi1)$<|pn&&S3d_0w5A8r4|+qdEIu{cD1U*gzocnaJWe$DR-Rf!IbIu7md73!Ii0!%<>dj$o01p$DEDjromZ3>#_{)n6&(5&95>D%?FN~Ay4>3$JUVenU|HEIf2g~9m$N$(*?#1=~KE?~< zS`IIaLpi+Q|9s3C6D-L%#r%cql9W6&x9g#leg6Ug0RR7Z0TO3p00K@R76)Qy7pHh< z56^&jAnocG5)>Kl=^Nm4q2s?LD+41?egTMp0)8M3QV&(?=^C69ty}<6pa50F1f*f+ zdU(19IR-g0mS12VvthD5P#oz zPd_*RbxEI1dBF-`%B+DrkO)YbpKD0GpTCQ1-1}!-Agv4x24Iqb0i+6M7)X_Wh-;AV z;r>6ZAWt$dNPtNOhA1G7tjrUnj7=yrMF6Zo2CA$RNOJ?Rv%6!wzmu1%a|p;?f?!#g zyI6rdkgq|aa4!I@_VEl3;kjwBj}08&IY3!yWECJ!#ydwk`}q60dV0ot`Y$l4nZ(T? z1ylnvSs9O7A7@t|pLl0~Unh_r9tKgU9${oX@JM=L_x%G4*bNFmc`;-)$kuO_t$EB2 zw*CQ7i5zxi2%E3xeCp)@+k6736qbU((FYFli%&E7xfmRPaysbp;IOXbRQKm(hzH7P K05Jdn0RR8*3lRVS literal 0 HcmV?d00001 diff --git a/adder/db/adder.map.ecobp b/adder/db/adder.map.ecobp new file mode 100644 index 0000000000000000000000000000000000000000..e05efff040e83d1723ed53a45face13651ff8ac2 GIT binary patch literal 28 hcmZ3(&&U7*6?2TAJTiJ>^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/adder/db/adder.map.hdb b/adder/db/adder.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..7d682f8cf646351c36b766534d2894174d943db7 GIT binary patch literal 7920 zcmZ{}1xy@3*Dj1NrMPzS;;zLOEyapcC=QD)zCdxONU>62af&Q0E{jXISSb$0-5rX% zEPDHX@1Okl-sEPUO$PT9jqaY+LqQ%j6#gMs*DB@D@H{d2hV@g!bs5nG& z)BKM>OU3!GJfZ)u^hH0&d6q)=KC>50DZl~aji|=T#lw}CZ!Z+X3gXY1>8JS#7JD#f zUzg9)9A`;_Cgq7gw=uOdee=t5J8rdyi7S8j&jU zPfz+w*JXQCtt&w}N1E)D(q>gILDvQ=4@W-fWj`A(+Jf$`4!hkAxl)ZAY=`C%9wdGw zVfs`DX&{n89-f8jdwNhc7t4MZ(Obz3nFk9|t_|#qp;ZjnUX%L%pQZ-s1W*3usSe*D zw^ba;A2@>Uric#U-vh5i8h*7Q9mWP>sSPJ9!nMOn!qy;hCdU`!7>+aOZ^ozoyyhO2 z@ZOfjUL@qq`zX0j2UO}e}NHW>S7@I=xSSt3+j8)mq-b^mtAms(#qXAuA3 z*NI7AaJXVGQre6P;~zW1I}{^Pwi2xS)}G?iP8_8^{59kPeSFw z%HF>nydsPixPGMbAzRm6J;mqp%?=DRAgre10q>k92Wb-T3{Up6F3cDJDG>|3id3R6 zP8!peHg-GmbIR(F9fkrClEyr}n2^Ohxi#Bu^qj8l+R5wo`dxh>}DT>q83& zSfH!gPM8}Ks_b|FSF)l3%!H92PD+lVaQ@#1zsjKL(BJyb%Yl8-YadKo$^r2|&@Ti- zFhcitTSgb{M;mozQX2t>xbu038GkPFj&_L?(!jNxYpg`9yZ?`+X3!EzrIcCG|ANcS z);n&@1HWB2h-aQu4w>*1wZ#`g0((mzjrFx(1SY5rn!L+n=cey2=$fmR(=xP2KGmjN zlVgo1L#sZh1fP`X#mcl!2B`63Q-L2U7@~fhm@>)`ElkD~er$@k(a%~(rDeelEHyul z-M!lxL-$LZ!9Tw>liT6H7pED3J+_q`W8?;w?tGfoZvwdXPaS45ByJ!qSc`+};h;BJ z^+U>7p5J$8yl^sC+lp~A02m-JaP&=cL$Dd&d?Mk&T}Wt<7G592v%c`F{j+x?+=00t z4jDELZXgybc{%D6R~GQ?UkctU>*-~gd| ze$kBQ6Mf?vZduD_*Y=K+{3b#oFItwGK|dtZ!c?E{XezWWz74v4aPP(r-s5-c;jnt< z#fc0)78G76anfpyUW|EdQqh#$utQ}aTTS`GH_^gv>DXdTZ$%DiYz8lEfvJ9TEnjU6 zuJJjpV8564F1@U7-}2KBK&;vEN7}ZI41UXuP8QS6rOy@T(UypOMyoR!FgO+{cy`N* ze;ybv$p;{P=o;%JxW^bv}ai476dwUpX#rq3MujHL*S z)OyeH)A;6-!V!Ahd(rad0DPZL^`02_@l>z>fya32Ke*PV>NJ-x;q2;gTfcu_ob3t8 z`46_TJ-6b1^2wF2Ml(2k6P`j=xQGdUl<7Yq&V6@@AMsG4RY$EbHvg@UpCsmc!+I(q zjDx!MwaI^^5-sIHRNFtrxR($Tr9zI#j~stVs_2xi3sU%Q4~M zn58Oup{Jm!-RBeyw0J9o=u|!XdW0A_0r7rBXB^h?bBvII|C5~mGWEZa^IxV62TMjS z{y&EBD5|+fwShB<4T1mI(t7i4{7@lb?vOvOuIUWKHk)*vCwaHP+0(#ui7m}iQhDBq z*7f7a0w}Gtz{zfA^gobbH$x`!Esm)m&Z&C5fam_B`jG5S7FmJHrL7?$)KToMUU^x< zlef<&&rDM|K_;Z&ADRDzDwqT-0wOS z!>AOm=ySIjVL9^Get+#1etvASw9HlzoczWy^_omgz6)b}AJCH;uif3dhf7ielF$7z zMZ#p|74g%vBA%F}ocWb^*M9@~O8<3CZvBf!ON9Dcnog-@fpsqDXGD~PSkj^uv?NNb ziEJ~DBd_ji1T^WUwC|$5?G+>3W3Fr!BL+whgJN<0c+zmE)ObkB9t@c#d#s*KB}wQY zj#7B(&4hdQ$(OyHx)$bNfHC}tC@)0440v3EQr>{tP5LD5@h3aJZlhT2rctSey!m_s zzEpC9@#m0x>aw())xi>jLCq^jpuXIo<~gJ#ajJIarWSxHpWOU{{a6}jOm+nkjv?_1iGf9fD{=EiyS{e&qgwu>pc#;UFt?_XIQ6Os>AaK5Mjv z`1X4X%nYV_yh|7hHjAuF-SDnE9I~GCl$>;mrhlF$!6<8SZzM3VFI!69zFb+{dJ_@W zn4raAsf#_}<(KbHHbV9)k|V(btx$|BVQ)tiy7}8UpKBxhppCjl(4~vP}jVnw^ z6N{F~N-kHrm|x#F37vrjVu1t~S2)-BJu9)bql@Ti?4q;@Hk#_>QBJr1KV!0pl=}WQ z$Q=N4Sh-Otae>B4GR(~IRM-f52fW*(97erEA<7_I(E%bH+cm68g$+V%Fo~NROl(*e z6j^1HQ>8e$Pz@CkR4C_^*3O_H!|urZ&FPSqI8!cWW}!20M(^toJ$?7OxJ9<@b46n3 zk8_6b*Eg(aEpC8Vf6Rd zo{!L3T$~g?Gk;&-aj3;M=cjlP0aF^<%EENQRD;=&N^098Y8o() zoK^e#vKLK)AXS@Qg^1E=u-pN^-vNJh>v8ST6Y5sVj!le5grWlU4ghHZUBQ!?0C`ZM z?6RGI?3=g*o+nwRg7;!+Cqi5uVxx2Lm z8Jw8vNJ0+fpfz}Y-+IxG7Tp@h9%g^|YI`&7TD)6q(mkzOTS*h!ieJrG|I8660f+`E ztjSk0uzO0ERF7iY=h^D+G-B{$#L0T)FzCHY2*E9tZ@Q_D3sg{&;bLY;h9wO4X#$b- z(XHmP+}x<_xJAMUcApkGt?M)=uPSAxkmvEOZM>}xCM>0GD2Tw>+Z%&GjYiJUDv&@3 zK*^pmgrE6|kr|u}bH!L|nbR(vHK_dVGZ{o06R0+Q2?Zi`mD-q@efs;BgwD)>sNA?k zX6EaGzH7)PK*${)w@B2rEXk0bD)o|i1XF@c1DE~Vmo+g+n^pFHW&D99E>i zk_}Kh?8)UIWsm8SCzkh-qQgCAyCzKKqwjCJH`8~=CXNr@QboMd z69h-Jko`~63`mK%L_L}>roh2^>VJ+n?fL%8<2N$Ym$f-HJx5~dFN z*z8Gh{Nnrg#i`Yk^u1S_T*q#c7j~;y2Cd3U%iPSzm|^W1#uGbJ$I7*ys`u!C?Qp_B zU~vHkcMF+=Ux8hrs1nR`K>@xmha2&Wn#xDi$}Uvm-#E>lV}%HQk@Lygw_$}R!rD_{ z`;g75k8UhNnmUgnX!nJGl)q>(Wj1Ym-I0w=y>P>xv5?+cc6V_2X>4u_lyLn>-O(U+ z+nBKv?V6M-b;#`?Mu`H#OJuFexGUR&^;vB5IpiHcHU(-*KOvieK)=>FQ#7pZ#`^u| z5LVYjnAm{IYo%DZgK0ikDi8MW z{>!cYo!c5t6I()})aY8VyI(fsY6;5OV;i&)emCcxCe@8%S~38p*$Yvi5q1RT;5>Je z^YYIin=dt0!ms;W{>(JET=s2qH@YIjd`7H0{Z+8dva8qrNW0$g?$a2C(bP@7Lqwvc z94l0DeXQ27>>FmQj(D$#t}XR9lIT5VT5;-^`kNKjjbT4DS!B@VPkNz3XI_Hvko43= zPUaODta&K9?ePZ@ZV))69B}IPgCWa%T~=sh+=!UN-U;}mFd(L=KEQtf-1%*x@(K620g;lZI;7v z4qslpbdHG&^~R`QABg&xF#>?FGNi#0`u$hX5gltAQr zB^iEZa3X9W^dzpOs{Z?=9j#y+UTn8{V6KDQ&DZQHSq#{1pX{9@&-)Ype`jGX!xgQ@ zV3V#k$rS^pdO>G2WJc(Cgk#-w9l&Uq{7_i9Ww`;IuB(+8=~toXrLxQ`>+j3@I6LSW zQ?WTDbVdpEqaiM3>Cc?s2;D~Y1j zNJ8=}Y?4bh!HVlE3hVn!rvb!a$N$PJ#?6~icQ)eYnc;s|9*1d!GG|AjK|%+}&b6Y02m^af7{qjyE3S($V!_QK2s;0Th$&5#SuElczV6%Zb-sPbY@!ccY74%*9m*=f?6${~J@?WFM4npV zCts#hhy4xD6Hl=Pfh5nMhqrI6UMqAnV9c1}YysgxFR+dYiBfUyVx?K72()((xi_GL z1GQ|>wpG})&m5VnGGi1tx+|Y?Qp4d%aT3_E-u$jZv2 z?#%c=Wo0(_ZlCSXQ<`d~OWnW~rXSGH=gHvL2C)?cxCNZ8p->Y~Dd(HH*i)CB@6?nu z1|)ERHQ40iC-|EQxYUOK_l*N#?5pT}7|9(`0DAk<^q%phG$M{>d50{D6N7)9dzSB<$+(dXqVV_>M5FAh3B#aFrApGBN)diKxRvCdTjimhoi|a z1V&f;$UF3@6qs03WKPA{2<{I;2Z z%Kk)0mL3gtcn$sa%RQUQH{fe?-@uWQ>?>a2dA*=yHz9Zr54g|X`8{cLbNuCXT}edI z7f2ml`9jb9OvRoHIOp(SAa3jY@X%?dehcKDL#JV9OVGV6_QsM_K70MhR7Uda{;-eN zno2teZj$5VdQ5wGG;CXkYB?Y%|VJ#653IR@eJXMm}3Q zJYZw%=;~PiHp`D6EK@_7e!Rc-pRYnNn|IMuD+qT-dij-O%!FN%8cGW7?uRepyN>>m zGQ1n5G48-642_#mM(!B&P>arPeh^I-L-h<^$FV(pXwj3&0;@aJBc^J5PaFMfwn&Nx zdwNmb+hDR4$2P=tIVV^*KJREKSQF?{CUrNzNnuwUaU z>)v*KV^i4j)fS>?i~+IjTE>tpHOyx6(Bzm9Bi7$~o7sLGuOc1b?k{Be#D+Aev|VO_ zb4as2nEPcN{!8FbyM=vQItF)vpOV$fuK1|ZpN8Z-)vVhVn4(mg%l1zX3b4!C_S|*b z*pP1%-qMfdFK<)-zVPw4KZHCk`jDg>RfU^X;PZ_=38KNiT2w%ne{;yM(Yhik19XZo zAa~8%Q_B>g+%gDlh!?JNH7)l07fOMyyN7AI@_6zzdPbxEL@KHr6ERB}v%e+gZHFgy zI@HL8?}oC^#y`AY5APFrQRZLg^M~^#wr=f*CKxF6hKhB)hD{uCykD>#0oOm7V`Pm)%?{h2hI4xH41lfDUcQ0 z)rSg>=JtiL7IANZAG3vQR=>{1N*@}me;zPg0(#XW%d6F?Xvid!1j&YK66?eJp@6ZP zk2~u4QcrW>6=kn;+Bi$D9^c#z!IRLq2i~YSDFE41cu3F;*T4h$ngGB29`c~_cI zlZz`FXZq(Nr)&=ZRhL?i@0)-!Q-E3<+15_Xxb<09DMRKa86=@t*{qXF+*e>WeugZ| z_58*y?;`8|U}}a(#ObeJ?|DKgy)-HxC-&Z&arQ=_F~IJj?xQizC`T9f-Ub(=SOp9 z@$Twi(=98Qvk7Wk6v49@AR33php!WapFocFBkc}ncFfR<(nHbl8zuQ4^hNXhx@I9? zY8k#lty(U9O76P#+gNymTVxFHg_cESmuq&kXI)!lBn_|X8kWKf@m;*ncT6*FaN;wwPMzVF7CSX+>yS6}^kUThX}Zu*S$qQIPdj66 zdGOIL5tt$Ax^hM1R^whn<0`;^xtHhpy=C>T*p}qdrg_kijS*g%b}&*3+3z~!PVce4 z=M(6!e!H|q_P$d8Fx@xBqWzv{SNW3l_Wekm7K@5wRRHo`yQ&^k-yTU{4X_nR}?jf4z9)ewA`#F);u7!kBIHhRw~o z>@4OAu0%o@jZK!d+vO3^pSCN-<3R?HrPO}ri{Ojz*E0(p4Vs@#vcv~A zZekL5rP$@EywoERh}j#z$sItD`>VnClXxf#ldo~&vOYpU zf3lopu+QDTb#V4akn>?}>eb+g`mE>ft0yws8q_UZ`m@HwU4jR~IXtHH34n(7^yG^k zsHdSqp+nyGb$;RxTqtDVkLo^WBsK7DSp50+5RF@K22$%)Sd2G>M3Kg0tmuj_)^UpA zQ=$raD5*SgeHkUMaib$Ff&>?)AiE| zP!Huq<;m-wOUPgQMw0Q=)u)B0!h%eciM3QC^i>D=qt>u&&f@PpQu9w}UhnZWOqe$X zkxUBZv%F|J0(mA@hO4$gcp5&?5ifk@@fj8IgQA`&%8+ceYG%w|z4?*e-YlRv zE*~@w)&_8A)xh)S6of}Q!hZOtgBHX*R8XoPG1=?Ua_2|UE{;aOWhK&`DQ+;cn)+p7 z&S1&1t7ed=hNIKIqldja`RZ!hLBpfT(tc>APE{qJG7(R^P5$F@@2&4gL?ZEqNX)mR zhId`og}j~otrzwdfQ9!0tM6(7N_uT6L;G`cyBhd<5s7uV+doTKQ4T8k55d?2y3wNM zpX5LvbcdBmRj7lFC%zyjxPt(|;udkGvHZ!unLqTv_>{2Ft&vy5ac2pjq-o_NoXM76*=-X3feBC`l6dEl|;> zuZEQQh4S?_s^%ov8>u=;T98__XWV;vC~sO6U|aMKD>ppUwK zTsSQq3KG#DNej5(=laCk*wo=PDJ-7O5nEmGqwJ+#6z$W6<=d2JEDtOiUHJ49K6U29 z$0|}I``{ADSNgEh>^$f+bJp@jKeeDL2YDn{^yP8XWby4Fst~Ep@jib1I~CvF32Loh z`0hr&Kv#r;p7iK|w&V*Ynq18b&FNul2MRH($c7!A+cfL#*Z-cVf;NcIl2#9&1B%uf zvn9cU@w;@)`sn8ceA(I}bUxF2hr?|TIwnavkidLnLa+S;c$5LPB0KsAf_b7;2kO$x W!o{qI_cJW&7^?#z|60&bPyY)(XO$-a literal 0 HcmV?d00001 diff --git a/adder/db/adder.map.kpt b/adder/db/adder.map.kpt new file mode 100644 index 0000000..ef3dc47 --- /dev/null +++ b/adder/db/adder.map.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/adder/db/adder.map.logdb b/adder/db/adder.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/adder/db/adder.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/adder/db/adder.map.qmsg b/adder/db/adder.map.qmsg new file mode 100644 index 0000000..fb8e01f --- /dev/null +++ b/adder/db/adder.map.qmsg @@ -0,0 +1,11 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 08:49:21 2022 " "Info: Processing started: Mon Mar 07 08:49:21 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off adder -c adder " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off adder -c adder" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "adder.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file adder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 adder " "Info: Found entity 1: adder" { } { { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "adder " "Info: Elaborating entity \"adder\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7400 7400:inst38 " "Info: Elaborating entity \"7400\" for hierarchy \"7400:inst38\"" { } { { "adder.bdf" "inst38" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 184 432 496 224 "inst38" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_ELABORATION_HEADER" "7400:inst38 " "Info: Elaborated megafunction instantiation \"7400:inst38\"" { } { { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 184 432 496 224 "inst38" "" } } } } } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7486 7486:inst " "Info: Elaborating entity \"7486\" for hierarchy \"7486:inst\"" { } { { "adder.bdf" "inst" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 2096 304 368 2136 "inst" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_ELABORATION_HEADER" "7486:inst " "Info: Elaborated megafunction instantiation \"7486:inst\"" { } { { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 2096 304 368 2136 "inst" "" } } } } } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_TM_SUMMARY" "47 " "Info: Implemented 47 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "17 " "Info: Implemented 17 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "9 " "Info: Implemented 9 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "21 " "Info: Implemented 21 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "248 " "Info: Peak virtual memory: 248 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 08:49:22 2022 " "Info: Processing ended: Mon Mar 07 08:49:22 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/adder/db/adder.map_bb.cdb b/adder/db/adder.map_bb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..1bf698d9b82dc9d90834e9c76b2729a0149f2b57 GIT binary patch literal 743 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXATP8cu2q0L+2%$BZAoO!6UBUP!Xm`+I0|5~O z%>{`Io~R!b~XkIs9{q<)#1o z`6u)qkX166xpV4WNs}MKE?3$YGfJ@=%hWGAv+elJvwDu{Kc)HB-;948{eJegkDoVb zJl-D2sNl0wdmdv2>%VAQx4Gh1ZZ7>+cWyd|CI9PJm6v5>(sh1uzYsQfqnOYvd+ny8 z{)3|*I(~@A-Q_;)uJugT(&qBwZ!6Lw_xJp~7VmMBzj}q}>X$#n_W%FpwQb_u({ZP_ zZ8F~!SLP^vwEvO&$HhMa|7g^S*mv#UlYH&dJTpymWT@-Ff5CAE|HABr+su+gH@Byp z@7}?GSnLwBM4;+ItJ{V8Gi9E9GdM22iP86mL8GtZ|2->L*8Hu%{$}s2`|7|z{Qtj# zQ7AE)fyqxnj6Eq`e0fDW|M`Xrg}1N7g+wIZzm$GZyzudURc&VFfI7yG2Bta%-XQ+a zS1+aJ2(OJ{2wcDrdYENN;7BekEFi;lN2|< zA;E0WnI+*dwZ0>J!uyB+?AO{PunGmFD(D}6!=m|_MKf9Wln>+00|ykC)g&bxPB}ia z-feyCw{_)CtM-OFtd}|p?6fEF9x}M!wD-Z2$1mQzeIoyGYv9aY**CqC4ysKKJl|C> zM9H6jATVz>+wU6(w2B%w9Xg@);NhP`?|!q3ZIEJJV576^fLP<-@{gHp_Uaa|N-sP; VD7X4a$oJ8_1@^4!_D(b&8P*8^7 z{2ztlg83i%CG~%3Z`320Pb&5AfPN*~u&O2*I4K`70MD^iXc2A}vCfs-I|<_bZ?GFhMgn^N z+#jDfATJ>^KYaxZD+Enk{aWty;7>X;jir92Wkr64|Hf+1dAl~N0I#U1V6_n4X*Z#5 z7iS5h{vY$K&U5^$epYxn@!RCUs*8lMTf5JVZt9rinIBs$%?*_*3wAj2Th7LyeUHR1 zghFY@$c#uM(Iij9mgo8EJI|C)@qYT{X39N6ZCe&s(TH}Z^YAV!UjXHdvclZIxgQNQ z;r}w(4aPhvhgwm;OJLmwWmXtxcDd+FE;JK7kt+(7Fa2^E-tHaPexKX+@pQLC`#|Sg z{;$ia;J-LdT$Ug=7sTvuma2_4^)$b=>ErPn44Eb2wj&3gvY9uzUiB0NQ;t6@c;HJYmcItx~iSqw| z%rKnGeBZyw7p^bNw+6zieLOXqKk;s8eSOb~BRTNH$=|m(=o_OQo+N7CQ8o2v&nIj= zy^SVpprKj2#o>$X5n;99m#@|Wy*r-{gCETh@h0cYi5;hEsF;ZDTc~*b<_$x_Akmss z?!?7$4BCSus*QQ)lYS@7vKKhv`!m{$2Q5m|4D#H8v*B2#PY>>!Ds&w=UH)nK)5k$* z4VlQJcw^P zu=MTdp;2qw49<#mbdfe9>mCI_jKG~I896Cm4nkE_t#jE*A1peY_hEs`lg>vCT6HJ7 z%WKLkaObz8f6sX}O%@*Nsl8Ai@xAy+v|CfVORPsTwTP3rSHtXJdhvB(DC2d>EH~-M zcv0++`&5u8oOkF%OK~M&h`CjRBJG+b?^4pbAfQk6J~r)&g6)`3chR=I4xw)kubz~& z{J#MMw&U7=fr>hWp*_5QQqr*?U{>|MJniZ~0=spK@X#ro2=OnNvack)SKY8JhlS&d>FCw$A@Ra3srt4T8 z3`qqlqO)}sK9v0^)B#O7|ijR7+^+TM~3O1Zb7HepfSVb{x5b41^28wSC%Ds2$OTHrOnE=`d4u02GaGnQ?@hae&p zf^quk0euL9if25jpUT9TSG{gBAM&nd-GO9jaZ{EPDgA9b_FmgD5r=%`zq0Sy?5O5S zvU+W;y;>AId7t|KA^txz@;?#(pBX8e3Pc?{YTPnT>03C*Fm^w?>(EpZ|Dt{RkYcg? z?!fR*2O9boK4^|LN!}zz{;V-<|L#tSiy<3&eIhD~8y!#R7%Bs~6CQh|>S>O~SPW8yTWS$$B@^=WDZ|lcv zCe~LgL0OfQ2iu7@Tt$z`*Wai`bofaH@O+wGjx#@ZS79BkDea6e#BA)8z5PX_5BS~U zI9K`Ls^-B#4VuH613Kp&IfXI%E&DG7BD-?9mPDcxzH;3w2XOC}tx`5R12X=%YyQt2 zexm%{KPogIWE}Lz-$$LFevSSRW;UF|mC$l$Qdq>7FnVV;meCI-0qE@(-;D)X9yBwH zT}<7=ouMmkqDw<1Y#Q3PKKnn#+9A7GjpP`9(T)oSSA7VI>+m;H^x9d!Y)qJhoNT(< z>^fx~xm!QKdQX0bz|Rgl%dp-irMTrHiKBSM4yJ+7#KI%8kAU)RJ$#vaz2tOR9&f&U zUzC>nVJnOtn>Y~>lF26ugq}N7+{VW~G{r76)30HKN`<*QCQ=qei*^u(&n&~el60uFGI@40n*};x4gXU^yDZ!LcM7{J8yR-ZFSNn{SUq$B|0#Am3VIzj68v=aKo73GDvk8S(yAA?FQYjPGj7Pwbu zOV(-|Wto~Xr>Q-%naS?xdV5y9%GXQREmzmWiurB2^S;#-y|Fy+dj%O9&z#%KNbi-i zs(C+lB#wjKagX&jHtnD0d}}79;ej)0@4P7ZX@D@d-?-VL+u%#Lbz;;lu7X0V?pN8( zcqOtw+l&<}6O-7iw^=D}qhpOZ=)qVPE^}1YOlxULfEOEj{qvRkI|YVecexusJI{(; zRa}>USUyq4`tv?gAyVw~Z|HIVxOQQ$Y@S+epIUDVQrx~I9P8AH{^DK|ohQdM(J|Qm zWTF_zO7Ar|fUw$jp}1{|eUMcQWTC$v7--{lhsQ2+(G#HX0APqgU~WcISW=V-oRQA0 zSh@9p$ayho@Q`L>&B$?Y%{8*upSryJY>|^*f#Ozz!hne$oSIY?@~Lhu+hF$UJEnqo zkAUEKeK75}4c0SJ!q%AyL@mTXthkxx&6E1v`5MVZvkdf{gQAHDTg_O^FEJ0Jm#*i7 zg`3M2_HzRy41OBbdo8n$$R8$4ORZ80masEWMIq+-LcP;*~_pI6*@@R5v~i4qHU#=%~P$Am*y_3q>v&(79( zNh@W;*0L#(GyZVbvgyf${qBn}h7V8rVTQ#7SN{)iltKp%C06)8cF+e!(~P7>t8Hfr zAFP4bB;;7Lotn;{iqlUjQgzP^y%em?iot#)t!yoU8j9XbLbKmT+3NJgMq*leT;?~- z^b$WxA&YfXnKpAYr@#lNG(ritXBXb!W$~*>cz>?jg?{kcO}O24muWZAIa#7*9#t1C zL!?$;SVAIlf0{S~vr8eo=d7A;`$C2`2V!E%lFO6hxNqbG%x8MTWMyj0XMk8>}fi*X(Y61Ikc%ev}rQ52`Bc0?R_+(S3RRw9HSS9@OL&7Ec75E7>Xj3 zF_+kFX{`ST#dc2mHI#0?q^rp%1j;&BffPK5c(6ajj=GfOZc6SkGwzgygNxK}YGx9r zC+h<97g7FWx*pl13H+-S6Kp_!ph!&-n4Xj{IKU#UX(0y|(Sf>W$NC#9p7VZ0vEX!W zJjy4>E|PuRpxR9Oj+1zSW;C0C|Fg~8lL5{0)teym>7H=3ged+acTsl8LVY=gP}G5| zAg0T}hX2*Ov3I^|yMs+*DYb9+A>i+mwY)2nZnC0Nn?W2>RS{GYBXMfivVvKCB;d`f3&cYS1L)S>*QZ_*7bwoJ5<^)`?P9+U)o&{jN2PHI6$Qode6`y9~m zM9ln2cr`{|b*-cwFU~vQ7vL+ygHrbLb|(>1nt#K6?D@GfNEPlDBrlSW05|TLL7k> zRVg~O{+V8WQ(5FIKVf=9g~A$r{Q0ugWusy|*|2-8aXNvoHIIoPHJi<)d6F=Yb4W>^ z36KV(=AvgB7>MGnQC9@MphK!k#yFg~SGX%0Pz*-h)%IxYW0<@sVbdmHHcz%)B}5E%%wRIdt?zLj(5G-ikfr`QvMOaEXUsj2VsFIO)cX zjB}QQ$j~J;q(C5BsolPli14mimklOnZ6Q#K?ar2udbaG>i<)Uqcr#!xQ zbW5r+jFClo$Zr}a=`+3V;Cf9S)$2<*8PS6|G5nseyDG4DZ=1l1iJ14Ckq6E-4E*;V zlv!_6QZ(r*R$e6PJ~AIKNsllkpAO#ogB4wpk~$KTmaMh`!OCst00|*XlP49$K)hb| zl@4DZ9=U=PDjn%H=fWt0eR=s+pYbXPs&yM?R0vY45V9Ou|q-jBcc4TVn}dELnf zJz!($upD~&-Jt=dU}X-Ub__nJE@N79+urU`2W}hWY-De-a%>2pp|7zbXKGSCZw*j! z7L6ySMh02~f}A7h+#-~hSzez86V?T3D3Zw$bPZQakCeXU5^FM0%>BKu!aU?6eEWCP zk+i*4n?|1}_TSI{dTZCuwpEACZ`j1Z_1j!kv#}&>g@In`@|=}ik92r33UKs^Es3 zd9^QRzRup=`(mJXyLr&_t6+DcECppZeJyZ{zHJMuytWs>d_Vm(Oz=eQP@QLmFg54>He9+(O06+)s;Z7MDGc?)+;Q$;PFnNxi8Vw`;l zvMM$9zV60}b=q~WkVhc}0lqOH+%{tsKrznK!UMJ@@fr;XuwDLka8XA*LI;;$*D=sN@so$==?4Z;e11mjQGz9I3X#HO7n} za?}xw?pHlylDV;|FDThE&C13hixI1}+sabE&rUyUm`JK@5Xg!jn>{nGtZ;U!V_eET zwsXC4=n?FP9amb4M!PSkjauv4wz$J!O;=X}O-I>IMn?Sym6hu6Q>Yvrf34hHdZHii z=&IY6y`$viGO^%!djOd_16{p6GoVf*K)f7v5Q4#f`R;kcR-EB#MU{AQ&Ys7GwTG7z z3txAXcENgJn8|K`UpvOX${c1T#rfVFpUYQFN4!+6`GbC6pma}tkdK!$x$%vEPV%^x zphZWip$B{`CwC+ESiUQlEFOl5%|nco>;C#)Ks=YdnTevkwozpqsm(B*c>zJq&1qNe zL~vw%G=MVFgHn6JhgjwJtpFkEni%1(kz*>Xu~6H1w1JOnRUQN#<0us_;@5e|3%NOq zyhumU1-|Jq3*On0zVv73d*riJ2?QFA%p;l^edi9}ij$2$UWl7gc#D6o$Eg+1rMQW7 z$y`}I{$wf+=AKWD*(Ju5Tx@OI$>g|?K(6~FZ+Ph2s8OW2PXmmiXrgF@#V_I-x`J+L;e~Wc#}_276+9-LOQ?Jp zJXEgbyG?MMyInK5`n8iVd|K{%%zE25 z8f(uRzI`6;0NT!&zG>PHgC`8p&>)!oj3tkX4DO`%MrsA)TOd!Ktw6vIlkpmJuAKIw zj^QUj;Y7wc`82)C)M58i;hnKQpB80@G!c>!$3bGHu4z25>xp8PFO%$~rK|35AYa9> zdgGc?>Akw6^N?%hp+zY;xzA_r4zcog7knem^Oc_u>8P~`K}dL*OQmpnyc?aC+Le8@ zX~r*vQ-OxWz&PKqENU=#b{(;pe+ z(Nzh-pVM3DLC!4PW)aV{i<;K(C*?!>(1M7;1% zytB{B*^fhbv%e=427pQI?OOXY-Ns)xw9V53%C&1kG&1EA<cU?Ls);hUwc?5`#qEu8_)9e!MI??F&v7#_l zqz1G?xAm3zmG44?$YK7R47*|5IhkudyJ(L{!J+&t#!TRl`p&=024s=(;B&4NYSV5} zSB241g_5s{b>I*)cOy@mJ#;5$LC2zyM}+*S-=BdR?`nr zHpVu7HTsck(N_YdEO+5}fUbmmIefFu$>OW3@66@NEcsO?$ z4bXU%O8hegv1Y!nHZux^ot-yvt#?p00I(Xu+J|U@sD^r(y3TONX8V!t>*VIo`_x7L zfGNn8+EukH0H$jf1omscm+Aj<-1T_#1T&Lb1i7`N*(%)v9|W)c7n~&8u3IS(N^W;t zYafVCra()pEk|@0$kib7EV3Q8@KKhf=YL&?=VxzJ|5OcS5)gGiys*%wd8RPSW(nX( zEEjiGMZ0%&bac`=2C!KTSLB|kVE?gaLDM|FA_s!qDZL|ktzh<<5FHl%O|BpKHktXw zyW~B@>HSxqG1kd2Ay;S+{I-3q<2w0Os3O*=OLl=j=QzY(6uLgtSr<^U&`gw>Zp7K1 z={v>hfPME_iU04rplx#JOBOTd!Pt z4h~li3Ja6HywHk#ZwTrUMw0*M54DR`Xz?35CRc z{XM4^UJ!sVrBn_hjeEeOLX~+D&v$iy9>OomLZ*=Mz3)JHG2XTUlF!f0H$?}rNNjyx ze)YH6=165rF`iF>dnklqk8!F3LIY5%*%5qPDqv4<=@Z}+=3UY!u9;RY714x>Je@s; z+7TB2;mKx}f-?I8f5$!I*KRg1>^igaDQBt*j`cXyTzD$J7gp3Z*6~IDrbU)G5oc_@ z0F@&0RrK_{A-nD=OkQW<#VMjXzuw&p^o`TtqXd8CIf~XPn53^%#2LQMy;N_}|8hi< zg>4{70dd)q_-MggQzqe#Z{oyLC6`lh)cta%d?Lx9c_L%s?W&-aHYvDF1#Lwfxx4xs i+77LLm5s}x$A4bt2Pr;hTX^S=ZZ;hBuQ&Jn{J#L#Cl(?A literal 0 HcmV?d00001 diff --git a/adder/db/adder.map_bb.logdb b/adder/db/adder.map_bb.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/adder/db/adder.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/adder/db/adder.pre_map.cdb b/adder/db/adder.pre_map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..5723a1e60428c98f248539fdcb0e1a56277ca097 GIT binary patch literal 2341 zcmV+=3EK7#000233jqLb0B!(300011WpZ@6CZe(Ks00000015~I000000PO() z0000003`_k000000C)lHTv>=5MHuc~FTB75L=nlp2%?DDHLm(%db7qDJR&TL2f-i# zZxaNJ?Pq+Xtbh$vwsJ|P$2G^hs>goeSd!w@t;op(dxIy4}MCXr3>K}-a4EMs% zDYzIVgwmo0BrKgRy zQ`+jf%35qab#kd{>(gWgXlCVUW2tO#d`~WuqC>%+AmsoQIZh`x zMQu`!L9SP2^%Cvuy&LP2^;3@P@yC*51wV5Cz%V==N16M@ESnNTw>W;_z)^12dY$9D z4jfNU+}HDd+~L6S3{N<2ci=eb3CFDt9MATI<7<+mc+N4ck55CEx_2z8?E~AnXE)@5@KCwPuf}`3# zq<5(&9G`OFc)2GWA9vum(i4skJ8-<(6OIo$aJ<12j`uroyx9|ucRO&r)f0|)IdHtg z6OMRqki1|Ov1t#Wua7gHaJ=1t<2p|`-r~UV9#1&l=)iHkCmgSH;P{Xy9ItfX_=qPQ zuW;b_geM%AJ8*o)6OI=G2sbYZ3V^lO>o(2!x8l&*l_ZWHQ8#LTWmODRs`F@bzu9x7`DUf z!1i@9Y)93B?TcdA=GB4i^J3U=TB`eFfs0Xr0eC_k*zo6P5#ChUCI`F-D?(vz=a5fe z6i@9oY89~HNpfhJ*Y+tVtW$}(&}%$g>B$dIJkmOal$NW&2IyrYV(_H z(RlR81md0^r#Ph)wbs*tPy4~AIO!72G-vXGPy4~AI4z+(XAOMX4?e{gcjftX;M0Ea z>0q2z+go<+S%#kZZL53|Q7{@}0&K z8n8UsWi0qlX8@;t{$iWG+GQ*w1}uD;MVuL-BmLzN1D21vjAe_kmo<)#6FBFxUG@=m zUZvGd`>RD>7M4zoqjv;%iAnOLeTe}Zg;d{Q{z8FM$}4eGPsxjKsb`w&b_hGaX4o@f zndktPw<=

;RTGDq>mO0W7l>vE-bBV!x=|U&I%%plpA^e_(-tm7$q~;H{6UQ^>T{*6qCj;~3enRFcab+KIy*)95BkggH)8{$b zWZ6X-Is#8+EW0z>MB2ki%uv*yiijoW@EqkTWBCzScxW(X3<=ufI?Xt%tP@!9r{R@+ zgg=>X!zeIk;%6ConbxCT^3g7Xk4C!eqYV{(bW@-E=zW8a=6Bgg>ni$ay3c*I&ETW3 z%RaiTqL1$Db06^|eBOG*eL*+ZqiZYr=+Qp+(PIW5t?06kmRIyq&cVq5A+wLMz^6UK zy=WKy!3A-vfgD!naAa90_I1mELx0CWOtfd(dv8X$ie0(yxQ`A{jOn*5SE#(HaIJ78Bp-_=55A(FLR9W32Q)00030|9AlsU}FFRP9PQsVrLhp zcxMmKfOsJ7>K76e8Sm*E;B%qlzaxx&}E0IeSFHbVSb8Rxo4+tK>jZF9c*`xMN4pQ!kKV3=Aw_l7RulgDDMmcMNfj z_j3*L@eB^Be52mN3RcvEq!i{_s8W9y*9of^FW?5N@PMj252OWv7-Ty{oqvdHknbk_ z-7K68yMPiVI2C$=6xwWEWX!?v2&hN_s?Y)$93VTK-5ulooxEI~L)ImIHst}!B6|cB z3L+qNaGT+w^>psu%`D)+*8wWvMN#DGf2(`%9X19jplA#bqk7WW-`8n|%OVzbh6O-5 LA0P$*00960M{ZNg literal 0 HcmV?d00001 diff --git a/adder/db/adder.pre_map.hdb b/adder/db/adder.pre_map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..b6c7246de0c4061ab85296f3753eb926362965de GIT binary patch literal 7950 zcmZ{JWl$VI(7#(_J$))ji!cQ$vJ;f>Mu%isJSxvd?2fpqICkyBnhfp8%u0uM^0g zQAk*XQ9zVmP>f$lKtO`=JwmH1$^^qw`T;A9dJkDn4?hYERZ{7Pv2Ur|2?(XE zvFGKIXnRFGNF;;tU>{k8RJa)z0Q9)I#`5<8#o7$A6eJ?}PN)mM1F3C!Y#-1pY{LZ^ z*FBRW&>ZFf02R&>T(+7CABrZbrO{=Q>w-EfbB5lp$;LaU_i+0#^!nV1Lq1$$`sjoC z-(6REosn0O=*Q>>+1jxDD9drGpbqNtuz31g6w#xH9S8I;6WH#k{~w8rv5)*Y#yN-D zl_}P@6GgBz-(c10bWu9DH^AS-J+L^oTL`=vUmCcJxI#TfU&SUAVVG(~pL5~c{PO*{ z4-@h~WM>G?pZ9j6bZjH!2)h=&w$rKupi&J9xsKZi({QGmPocc0g@&Drp2&E3Tu1DE zeT13~{6EB6)_8qltRMiwXfF2~5;WbT)O=Odm2)!6yJSfbs;Q2+KGNOyt*#Qd4f~ws zuT)I=>gfCCuy9GFWz&>bdjM+p8aDlga~w(me5&H=K-WD5XuY{YgzcU#*!{0p^%z@RgwwYvG8aSC`?Uv{v!!$(N{|S54ua9a{ z`Rq|V{Ys7G#)2eJKitCVjFx;8AS;=E<(LN1m3CsWutNUD*U+a4*IDYrtq)7Lo~ifc z$aFfGfhCrH)F@H?HVd2iFy$_lp63v?;N!Pa2CuS;vUh%sv4DM>LuaN|{^K2oVxNzi z%)@HukW!c_zhMP&b8Ju6^0&wML}6r4rGb)z?MbjZ_Az!p!?ou?3VImr)KpQ#!mjSAukhq_g+Mq8zWcNwp~_M+1b^Xf?ieeb_+2%L3!udd`}S zujRT^5!1ll5QgzeHj0b3+U(ox$p@)F18nW1IpZ{7PO~^n=B#*d%WNol9GH`4iHy7I z;s=nq6y$19{;V)tGVlaHTLa0SiGP>CVe!XJViKT>yB;lbsa}Ru71OPX0#7;9Tsf0z z9aG0U1<*t6AG=Tb+B>(}E^pPuepQ2;io6e<`(;*{Pnic z>?d~Vxknv$&31Qq#C@wcKsMsgZ}{L6h)#-a8;gQGvaMYf7HynK!yz@ zW{;>Gw;e5-KPG}^i&q~N4J$bQ2MTLuPD-f;+fKn=j(?5aYISm8rR4u16V=HQ&m?~@ z$GgVvKg5OZV)>V;5<$zH&PQ8vTCh0x*8g>V^P)uzy?1bz;Kb@^uJ=sFv9dp5ig1z( z^F`!a)~becSrX!{o{!sJy4)=PGZ?n!UCo&$3~ovLk`%L*luSBV;^}HO*5-M&Vwqez zzbd|PQ&hL-I{&rvvtGJgy8Q57I;|5KvqQ7K zVx5H^N%Nex(r^e5d5Ki6Rk=>5MRr4O;h?6kFJ33z@n4$|0FoT^$90dwW%1EC&Zj>a z@ir!s7UVRMAOSjkKVYiNH+tP{$@_*W^E9K=)K8t0r7?>a2MD2S06NA95qg>W`EmBL zFta3k?S1ap7>t{Xrz5trF1Va0$LhYB!t^`9FYjLd_^u%IrfbUOC|tjt2ndOp=p*#m z3K*!roJPyj)e4CyA>Z<1)0w;Qa*Z5N*L@S*8z`G=72YM4(QSUm0N4`83F)zHBwfhU*_OgO&Y=75HSrj>#>0=hlq-hb zr+~YFJH=hQND+-KwcYEj2k3v);SVqWMXjGt(n<%=jrHOtP0NaFmmDOY|sw}v2 zwJ>~zJ-$)8WZ-Hs)3X<=gt=*?g-R=3HgdJ_m&5vVnD0Uo4urW{v@2jTSFC3$*r1&!bU0?eN(osdPC?ws1+dkVUqD(6i4jhs{;M zD)j96HPS57nO(lZMqUa@J9tgXG}%qh(KNNjSTUtdd4(E~QRLU6!yBiWbgw@OA!SR* z@Th6%lXsFvtfKXZSQH=LKtCiT7e(94oaq#TT8^qW?5(4t3Q@s*#oqSmSBO+1SSrzI zDiJ)D2t7(RaeIzgWSl!Kwop`#+0c?h;kWEB+=M2O;&Q{GVXl3g>KRKe5;YB(ErjC^ zndXY)P*HArM*tmN4Nu1pjK|MSXX3{kc6;m`Wo1ZXDk4VQuoC;og=T;I?o))ej-k45 zM_RU>1_FY7AfkG1b7L&SV!%GPET%OqB-pT<&N&7xBvG$B$gMhTrUd=Q0^o(m_&O?W zYfXU=0Sm0ezrkU=G<@PBhAO1Nl|{_l>^W)>94e!v3tv3H_Td4voE)i&sLW(^>FMfc zvmK!>BZBvC$j$GhpB%bn^b4uvUyCenf$snw&?p z3jF@YS9?YN3ZdafGvEH;j&l?h>|Y}qs={Zs}YiwEKgP;AK5ATkLL13gO0L7jwK|{ zb_=Io-byTqOcIHx5K&2qFRsZ%n0hF-84KTuN7nTE;aZ?XJz306Fjb^eMVWK0o4n=P; z+g_J$(}r_1UP=~XDf&<6uvzSiOY6@Fy%Sf6&Bp=v@tNczxrC7Bax+}GlwM^yQwCGk z&3IF)2#1M%tPq)jYZqxS9h=^%7>mIl3Zv1~PFQN^a}Q7LM5NZ*!+KGgJNM)4(}@tN z+UP@YI{vBF@9hq87Yc)GXi&?wj1|aA^bNki8S8y3Q--VBx;J6YH*{r>#7RWzw`?Y> zR3IY$vvE8AHXxy_S*Q9P;mbTPowh9Pwb` z2;!ct_ox!Mri9x1MY0A501MA*wQX0S1wY*~Iw820ocQhR-uRy4N(jj;NW@|H!+WE z#6KF!&;0)OL3AEb)sGsn5X)EyD)D`J+m}M4ZzS+vnb(?xIj?91!Z#uUThVvavB%74 z1>AiHAOuvDj={YwN8BpQv&mg95v1mSP32CqH!*saAPVgN$(MJ4Gd{qXo1FMdKsM(S zF3LS{%<tvOLU$DhAPu|KO>p03l8VzD%acEGA0SC}*?#TL~e!HxPDiuc{WC ziQ4SatHBOHi_W;1yEQ@<QBs1sye99W5h^2D8zZ2yMUZW1dzw1VhQaSHlts>z zn&8B;3>M>AV*^3|mDzrQ*ej$X!0(fNrN*iU} zP@_BCL-{dI1Fq=_55eK+QGSRkDo33sN_n1FbF!IC&zZLbgwaKO?adP%Ef9ihj}ec1 zQ8K0sI+)c%CN(~ik<*XxQxfyl*hvMuG49`6B>hbCj`-ZgA|uohg6mrHt!oNZhSpf9 z!CdgQkntZ?LdLumA;i!M&c_x&+%59#8<*> zZo9gKuOl|R$9PIuaaf{-dy-78kS!zsmBK^@(=H;lTnLFK$J%&+I&$PC(On#c&y}$K zFX6@GK6Y=zLklxHlfC_~08$5H;$)%#562HJQEyIW<8@b1Gw$$!J&uUfM0=R`b3@&j zAr?;Y>a*?lzmrlihm#oj4fA>r8_;?x40swk_vu>rveIB_`BE&KtBbbCSRO@~Y(#WZ zQT4K@8!h}vRnW_jqVb(Gz(n;<*%6x_rHSHEA8~Zwo{h9-C1~kcSM6q>;HIA}cp)1z> zBoG?^MEF_^)o%XEOs#~_>>|x4s2g(bq86XO^ zepcp36U7h{#e38jgO|bfu?XKih^bE`X$=(Tv-k7OTnCdw|0mAu ze)OKVd?*HI$we6Ui$@-R1qKq5ZVD0E_c0=47W%5|QlO?J6-&#g7@iBSIPQjR+!d0cqkE7vwdNqpIS3tR^3_rYXhE>u_o9Q2#t-znCHHlevdXnCY=J6oI8ji8 zu)l#6dli)|?w7e$xK(pyr)=S(%q@;bfv-*$&Rbc`DE~N)nk|-Xb#iU=nK%{afTfmx zh$d;u%4}#>@AL)uWR->a2vdF~lh8`BfSOu$JT)-!GwQ?eB3`Rex2fnD^&QhvZ z7Bl*!4^Or%1n`MTx(R=HsJ%FAA<;=Omx}T4ti4F6d6QJ+S7Xoq6}%y{`2jtyxQS3) zo{&}4^m04xC%@(erzo#9_ZzBa&-tQ55{8t(8!i0EH=XXkn^Z%`qnHpgnA?9pwr=g|QQYL9=|0(G?&jfeF|fEfHfHUKU!>u9mfQ_X=0uMMM~2JnH3I^~JLMr|h_`|9XZjnc~+(TvHf`orA6 z1(wpkdqvk|Q}ehef`jKZugGq3A42I^%<=+?`5D=DsZXs-a;)A{@etx$>sXrc)baki zyle!}j_scR@a>~Z5ly|;nkgV^4fWQx_SEa#PQv8%D&D?-!pp_a4w?Q3Sj*t^pMQcT zd?RJZM8qZj8VwcoNkH@t@+&(m%6|A5u+XZ>@{YPljqme0%O5CjzRhR3Mzr&v4o-d< z;+nn{$xb1e*nU8s_nSl?H47_*PuZ6+wq-uGu{{dpoajA(`~=IJ>)iGWV=LWSxmZ3S zDl*{7E2F)M+#neW`y{;df+F%I*l8w2p^bq2^WJnfdt{2w|NH+ zLzQq!1*g$o%`)j8-nAJS_P{S%9hb+345X{9M2ow~zJTGT=)GFGP&5hNzwAVNv+-hZ z&3pEc&a>kFg4-PA${EKA+hX-fkxbtoQC}^+j`wHL4`$b9-@UV6$!r+$7RoU=6KBGu zQ#7`}Ald0Nqz238y4aiaatn<8O}aD!h51?wF%5oGEvG+~5-_*JelNFt){XwvJ!olh zRZ77&dg+_;A%)b%d8GcQv3 zG5`|u9%XV(HQt60fWG%ENbY3j1nzD}w6>f;RN5(EgS_G!42q@-pYbb=IBXiX?kSTc zCwk2!Cur&=ClcA{b}dBdb_rh7?bbh|UQhD5a$&OftSPkHxM2%|H+0=7z_oD?_5?Yf zeO!8L?qIN?oRiTb48d4>yuIo!G+KZl4?R2aK4l=aY-i>1Jwis=;w`G6a7nK*`vBgK7*meMt3eTp>q}#*!!%kvaYx(r zdq=A!0r+x)j?$ zzw_B+_)|uo#HVyYbW-%Sip|k&^kdt*YXM)LPv%Y+b}~iE4Dp>Thw@K2A%B8se;h7o z?T+HiQy^SOSUZMsXcH;o}%m!QgVpKG@_pWFq8&&NA0c6uKcePv&V z+IAfJS1tW8lr74VvE(P~9h=*Ad~->Qd*k5J8k0V`(~DP5=oaKf(e^aCAzCDx^AEKD zGQ6w6u;nJYKCGk7FL0&(qh_|K<1Q_*|9rv6gwshhZ`^-TzIh>J-^nHJX6}b!p{BOk zmrtz)Bf#fQFQM3H*Ihq%Ol;PTu8iMl*nc>OHWG0WHLN{n z+`TW|Ew+@qwdZric=q5nJ?N~skHWvM8q+IdMRHqe_iOv{L4d~n(OGwmQRnTufSKa2 z`5gZkaENlC9CJH=F!2y>anRd&+doeV|0vz==l67rz?HreI7>J5@~a*0!{^nGPo`m{ z+QC!l+M$kR(e4fhkIqOtvhu2^M-;;=tW#0zR;g zyNgqvJUR@ zV3CLuwrud3XpWeyswHAL-<^fyl?>^Ex21=>2)R z1)9_$DsXSO3aHnb^==3xa_FZlTXKGo0cQvLhVWfZqF!CBBMU>U{E@N@*H37M$GKt* zKS@*6Gf#-PcJ+-c)*Pd^@7<%nF|_2dK&cU-62sx-ryN5nMcA~KJ*x%F6Ic`N{Ac9u z_vo08A(`1lZ-;i3E|B;ZzKFEY!#$H#Y9HxlNO1H`eNbf$n z_lC9$%96b$%(L>_`WB-9eWZN)`nEcm<7$KBPn{&&xj22Tkcp^FSem5h(|`W%o}L0w zLozj0$#uz~jTM)#r;VJPzx2t}Wm7rZv*Jj)JFG$?#TdqxaXwj>T9_#Q95HXJ?n^8+ z1u8L-y;QP}CdR0Do-PHx5_=iLsKl(a8}4|NSE)*Qv>bT+xU=m2xSOBXVDsAV*Fmw# zlvhcq*L1T&c3j1w_YcF1skY_PQ@=Ai^jgLbs3`$$Q`|CV1bNpG(%WS`k(gI>*+Iwd zl=`7#hQAWR2ktPTTP5|zK~>u=-u&zxFRE(rUBN#gCagrT*LHxpi3nAEAIGE{t@X6p z8oTb~`lbBU1e=MUBg#AB78*FvxB)1OW~~S7Eq)v=UD!pNDMKX6gH0x`q3o^>b`%|h4luZ={(gilO2u4y=St;G)2oi=LdKY-54_$U zn&uCQD;9Z5s@v+Xe^_zKNVONEj=f<~IRbq&Nav@vf$u{ZcrrM zDdj=zQQbu>txoxx!CSWq(Mb7fKU%S+;xuwBv%IS$gCoOpo^enh_=OJqKrKk6E6Y7v zNyR|1`P%tyXJ+{zO`k~<4_jeUK?S)piWb?O_qhi}9J?|J!1P^5*Dld(Qe)Ic4^_KL$k2vQwM?$v9iASho(@|K#@m{D-qpk z*Un0ozlAy$R9F(PH-rAJ|z&?(PyGxVuA;;10oc28ZCm-96|aH|PBS z!+p57R#)wB^{TzUhu(Wtb>kr*AXH%?BG~_f_`h!*h_j28gFUSvJ14D_o0Y8vEjJG@ zEhirb7e5C#C#N8-CdAp@${a$g{@L7ymYY^nkyaOCMk`}s<@zs8mLBn64FrTWivI=# zBeegC)HMGSJrPfgFtaUWyv~i()g|I7(uz>=i1bS0+VFq)_;|YukNu5a6^)95wzfC) z+pmm@y2ebuy`%+b;KuBcI$TDQ^X`=@M1Lm@FEpz*A&3bKrVNgV41U-C@Os>N;eB&N)!=cy*6C9#N|&Nom78hMa{3NL9iG38v-GLd zR2XI1-L@MY-5s_2&i%rlGvKke;A`}HfrBi6gjP4iAfT&spQ{-CGDvJFD+C#Dv6mO0 z0cwu}4xLy-x!S0m-FzeO9wsNnl!`A-eJ}%61A%a)4J6#DI2ljBU}j3BE#~22cv3Rt z2WGg*4gubjNd^7n$w6fuYUXw!^Jo4^staW=r%(0f4)|1VB5hOR(w>DB8cqi%<~w`d zv)l07=z;fWeqt_=Yw#%4tpFU69^~MC-d=OC=gU9zy&(DjK~J89OeMyQDsa?O(`I75 z*6;MuElY>Px*GS1vI41c9DJsqy551)f#*qcA@=Vtrq_s~!ls>H7^(%I)(m_8FPQSN zI!+dzvno=JSo+rr)q2ArSx(8YQS<;Z>Ve`gr)SUJNyig@2jp|)>wa`C<{R-fr2iM- zy+|HE&ib}dH<9}G=CbD5B0HrA2SaromTn^tSUwpH-Nk0sD9U`B_NZv=U_t8CyF?&i z&PB%g8~iP`Vh{`VEOvpRD~xiW70K7>5a;c}ib{Cy|IsrldidMA>=tx8eP!{Dr*MQr z=6jKK5v);GO6uN&jvWyu`nWCLFvv4gpO*t!Y0<{1(O}#y`^IqE7yS!9;!-hBm#Xr~ z?*PHIS#9U5b(&sv=Bn!?DG@HmXC_M`!f_vO6c?Y+>-T`%3l$Od=A%JRp~O3ALWf!u z?EE{d=<)b5aX$io@;)JIOWB2K`B31Bxh$TLzTez&|1_RpB+C>f8Y) zG=9+vkuPLMDy2_hJ}S@2;_EE=t_8qR_|X-V-C?m*>jjTpLHH7zu%r+bnUPu6>z-VQ z9gtb(�pnc^V@F;ZWO6=Jf=bNgvNUmmMpD)c4iDE%K9Dvt&JBiTpkPX9w}s1B$8 zYTFR=!VIKw>UGpZDsN_JZEilo41`s?^xNIl=Kb=zetv)aBdI(q>Cvw|gtZ}TWpf;pxYV)?sFKMgPQb{J%o&U~qJdonDH3Dp74>fTdJFgYuxM-ZjH+B1r zRC%Tp*i_1Rls~%fkL$XTXkazAhXW$2>PG^Mf$cv!iMH+|jolcM@k{8I$xxc)<$V!iFH zstVEcZOSC;-r#~LQ=D+>=hZ?g=VtQtPOD|(+89>IEFE^o1doRA3WdX=?}vC39$RS% zv=$!DF(RB+d$}{-r2zi#!{B0H(NnC#;~#RPx;|=%!hfekIZ@2XSv@{SF+x^MIG22FHfI)0JO!KQ(y$441mcz5Vg0^ zYfIAz@Lf!*heIH$2cJgx#n+|)@kS?nF*;ch75R^7xkeGxC)>l zQIRoA90nTKW}zP^9Df0Ig~vGMHY%Cle^y`Xu|*ENGd0hSFtgSB$`Kj?;X<(~L*9;L z*z5XE$pxa98xtoGG>ZVmrppliH!fnN!vNBZ&UzH8OCQ7JcOu%<3aPmUHWS=GgPWAp z7C(*8FR;mp{RoeEr(H;Sx5ZHV2TH~)C%Q*-+Mg&jplC&tL`1KXcAFqeXGWp~8u;@T z0I+!mfyST&fRUsJ?Kf`AZikuWRx4V%Eslnlxzs~xlE!^uYbEw|Os8?~^83fFlv-r4 z-qgLy^nMa?Z8bP~4*e!u*Z<%6chLeQ0^pz+Lv;Hf<@y@>{(y1NYXu zQey=QYTvK9i-=rk^Hu>ypX0Oej7*^u>gnU6t+PvMaaf&ay-;nq`jFwl%CQ80Ec%MI z_}g0G?f^QO0T;V262ypOfdr$HsHveP>n^G7CVZ6PIk_3yuY%zuu2ra?crC)2^%M}6 z^zBSeD`8vYK@eANSCZ=1q*Elo6mb~0!_gRaT936LsPX(D!9=p}ncE@qL3c~b@#Q30 zZZZ*(`(7JHhPOht;v>?{9p9q1!MqlLBz*s}3k~lf3|^nOq)W#udm*6E?R@=;b$_ii z8Y1)zy{k!e>&sB9r164#!pn>ac|!~`V|EC z2&fgDOkAXMi?PWqonqG0Fv4C~ByZ0#>kSDlS{PyWit&D;lD8a~^&D?uUFo!N-{2D- z%z8B@m{=+;+%fp%8MD4yF}{;U(%mTd*r;bj{0-II zj`l&DoAFJ6Uf2_Qvbm&rfB$s$^u3b$5nX6mz%H4}^d({Zm>hK^Ak?coo{l4lE{S_*H*j%0AVv8K0KCGi%T_~@ zM4$C|5LUi>j}RNuD5OKd7F(=jUbLN(U{+*CP1p7Q*eweCfsZzvcl1=9g=oVtd1Q`9 z>81#m3U)pcZ-`g`h^Blcan_TaXt#i=A=I@zu1Zj1$uJ%5y4K}Zr=+Px6WJU_Iu&=K z168ddWHm8tHNe*M$Xwu`GkrC%# z#?{)9L$RLXQ@&+wde*QF^k0Q9`uwwa?lRBU)l)Jb&R{}wumnqTo$-4GM`Het+P^{Vo|izg%)w1$3f4-Q3olS@03BYQ$z%|5P@(Yz@}80cGV9Vpq*YYW^)sLOMU zMVHF_oriCxIA|bPqOh|8GQD4Xy3nf%y=&e1ZW zFh3+t*=6~Cb6LN%uZqML^HmUbgJ^$q(@9z-gsd~iq_ZjK^9jaP6->YJ|l zWS4BCeJyiP5x5OYJybAk^ygLo%6Xk(ONwycQ2E%2HXKa+D$nS}hHvd>v)JJZhxXL& z1(pSVHS^42IJ=2$UG3TAm~#MYb*&^Ess$1%-;{Fi;BfE61a5E?%>C_nRFO|D8E|m# z0dEFAxS)?2QgZ4FnXVlLwv8ZO^O=IPPfuf8E2x|n1h%SCRULmEBlMaC6CT)K<7VvS zaPM$f!2ZolJL0Ci1-S4@3E?7*JCAoQWTzqM0Q~w+XNFK49Fp>(KjljOm$AM*P;ecK zytnZj%Z;lBV8W5~B*b{gtMib6Kke(K%@1~YEsGE!(gs-1FiWFZ!1A%%B*H}0!oV09 zKkY7$_eU8y;^|0-q2sWX3T2sb5Kn{kq9E(k~KPp9U~GN{srh z%$|H(Cz0P1ZqJ2f`~DMkUFF>f<9QMt4N@pMzfO!xowUtjSGTd&J_B9hgSDTq%ux~j zmbt{nun)fY!82n6;8=aGNviQBpzKUmoYL6Vs{BT+4ZT`DH@gh*! zjYy~4t3cg*{7;M_UyYLP2Z67sA~MBzvB-&Hm1|dXQce4Z9N4~Q{b#uxh)C8;C-6_e zdUAYR)?;_O)p|SVPI6rhkK{Xhp&f&6cjwUwDnpN}GcU76gz}z2REp}AYq8C%ggQto zmmvo~xt>WC^Cu}!UOx7~`KY3!wXK5z3>{vblrf__^88cTE*%hd53Fn}M0((=bV#T-Pg{_}>G zW$*ZpTiJQ&C>19QB_}DexyOzMKm!Nh^{gUNW#J-DLhrm(QpU#3PH+4!^%EB0dFVme z2=p;<<6Ef4^!IrBqVDWD9YA)TbfmAG;=5MHVor{LPI*uz0U#|hf;1`uhkgrBW`G4W zAWK`E)X$Uv!NTt={!$@-rI=yy&;J_qCWyI*Q@D4kxOc39&N0|+I$>Z^Dek@RD{#cR zINpWPU0$USorO86A22bNc1%% zIp?8APEwF;b^ZUn(cK6YJZ7uYAS!098Q@Y2;8YnQpbDbopjX8QFh@ot zfd+=@w}@y%=lwF}>p`IG5A>=@#DYeQ3Q-ZIQ4u^c1NsseeC=dZVJ(B`X}24q(f8V_ zJ6){I(eN%_oLDs*saV+h$kt5;sZv5}f-L8)}e7n4=C=X#lA03i`@5+zgAq-}T ziz8Bkh~TJGQI~JnjUHW*sED|$-RYmH%ro@)ff(ORP)b>r%&QwW3!L{-Gx7LKPa2<@kFdgkd!Z9h!Rj=L(&SaM&7V;gDI<`D}|6^ zAYShf9oKk@{mr+1?G8U#P*$k?srQ@BpA+NrHMy1wwZPL8KBhbDJ z^O(e(;-GiAKlXrWFKMTP8$g&c<(4(Tyf3$XEJ*V<_bqfCxHcc6WF*IHqYYDi5; zo15^{zy*R+W017E9y%o*IspY6{0erER_slbl}w#7E@!)PW9dBrH8*Y}l-`&)rQkcc zmUOJn-#y5Fx;t~MUwyjgHp-^)w#>v8so_M?yLtVCMUX0Tv_v2MM%K*JEogvMwF!V#fhri+ixsruDuA5eYw!4rLs(QGorV%tr9ZRWz@7xmHWsbr6BiX- zT=pe1y=LxuHBKIC3Dty}W*NBnOLV!9SN~||vjZpo=|^IdJeEZx4;$JJkk(5Sf^T;E z6j>*P{#3DS@U!tL@hR28yR9C-#j_~6epp*`(-dv%0tc8tVe|CV2Urmb$sm#RQOkuL zv}6?fMF#UiK`Tpt)oe66P0$KFdm(- zEcH;QDtdey^%GYaRW)!mha%JX*OgMa+lRavLy>mSA9E zYr8b^PHHpTw5HleBMJ!u{{;;Y{?p0RF~ro#WbtGwpgyObVpTJ#{Ap}H19SOgkL$R3 z@;y38!=(TY&I`%xvE_Fek+h4~+wH)y?fd2a;uVo-y&Syyd?Sr?qv zP(MGVr6)W34+!w_KJ=c_vN!y6Bpg#%1saFh1w6ySlNGkc7w+jM?h&`BtpRlRcNM)& zARogf^Q9J`XG1Mjdkypy;&fuV!K*6lA*{nTfB-E8|Ea^eY3+=qX@Y(L~QAU4eAM%{IB)j(==$e<_cc{VJkHr@8u!=tJ%25*M z>U}6;fd&oL;r>l?)2v`#umyMeL3jSnkLpPGs83C9IyP7G+STw{&SUe`na1;^$Dl{$ z&iGvL`4(uZ;%r^r4yq$XTwN_SNiUmy9Irg-=-w@HKWPRNPWFD_Ut%t+@qTR0C+C`v z_5D3j6_9b?o|1Lq@awx(qK9EMro)!Fx^q%)!&`Cn8-sVd6RA0tQBVthO>ypFOQpO( zIiqV*0Z-10C*k&|oc-sAA%}XGDdX@mZCOb6lvs&7_Rbx}1$aLqZW2+fRqFc{`mJE; zcJH+Pse6_Iv0FKIsxi4g%r$N&KX8QE1I4Z9{9LkeOHUWNm9DOxO9?0)ro7+U#; z(B(Q*pK>ppF8qY|9uo4{b%(67H$Of!?p%5oEJ8}e#AoXEO=0|+S!~I^eCtN<+#F|; z8uFh5a9bXpe1A9mP+6Lw@emJmh@qU$VJPTW2FMqOWtosz^x#+uoLqviufgvTDx8 znNToD(=Apk((2EDnRT(?aX@p?kudll3)$vR5nkVs^kF;G)5O|gYIhs)nozJ_&$vv# zU)^ocuCc#KyC{>C6jVD9C!pqxb1=**B4uT5ry@T#i7I%-n>J~^G^vpDqtBfeWVYS) zLGd!|0!)_XKHEI1h@5^_61&NXLF&q=gI6TPX%H2@c|_J*r5IQa?3{%6J~bgOGqw4R zy?2=_`GWIDGhf3dK5h z4Q&MHDg6K*>>Mh!f%{UaAbh`+)Y6;%APH|$9((w5X<9vEbuM1@ff66*OUu*}geS|o zw|D@XK=@vDTRB%0hOI@Dx56_-Qf7!F$-4G zXJ=J^{^LhWpi;<0Y68r8fD4I?<#&AG-ftTH1l$P z`QbBBN+2R`Y%dNfrj7_hpizLo2;4l))O&!ZKAe*2&5GY0>j^=7JpQ)mce+Vl-g@&r zmDP%_M+=NCb;C;<3ly^8%s>${{rths){g1%s-g@CGLxo_jFju}A zWnadi*0Wt=?L5&I5l4K-@>YCNMbeKnE*fQ{HKZ)Zp`iMb%b>C3AFTyR%t=lQw7r}@ z80y0(3f^+9sScqsa@wG}duz#-dC`wa|^zI>cb4%N3q}XD2_bF?NY$v@e5U`o5njHZpK6I zNFe?$H3TVHFu?}rP*xHjw#p_~^4R~@CTcnZ+i&^GQbve;?&Dv0 PsT!k4kb6P?&;0x!<(7UN literal 0 HcmV?d00001 diff --git a/adder/db/adder.rtlv_sg.cdb b/adder/db/adder.rtlv_sg.cdb new file mode 100644 index 0000000000000000000000000000000000000000..f1be617151da80d88dc0d02010dff677d28493df GIT binary patch literal 1992 zcmV;(2RHZ+000233jqLb0B!(300011WpZ@6CZumX`000000G$Q^000000Q&&| z000000LTXb000000C)lHTS<=`H59HH_Dv!QNF&y;T@sL{tA`|PQe{RQIB^*M1VSPb ziNta8Z}onM@`}iaPC~e6e3z)nXNef1oexE4WOZITLAaTGm}}EY3fS7xqm5ay)L)FqewI zO}x?UzhS?%JJ_(MJv1dz6bC^23!Th+UDLClP4N9bne6-tOkQ823f|ebpm}D^;R+6!aXrV8<;#&HRezw2_*U*gy*Df6SMaxX z76l~s3zw@n`3*T~m*IL)=O>SoQm0kLZEDOz(xkJOO-H&YwC)sCDJFgLnBinjZa2lG zH-&UEHXUG7GPaOT#@?{NC?sPG>16EjT-ZW78M~YdTSzBkkLJP_(#hECb72ce;UIKQ`^Y&iD{F#u=?NgqTTrMJcEkgECiTy}4Z5lhAvrY1{^ zS&}R*=6ABRSecTg#hUs$qJZgZT#N(u#(PQoesUD_mqEWC`SFeQ^@pE+_Vk(Y3DoMv zjj`}6+ReoVldeGF`BTq5a~1OTO4x-*UWxaR=~Bg>!D4P3P;l|6mz&b?n&8f3UlUY$ z@R~5}i#MM?n<>{9Z?1SLReY-9&x4maKFiGUS!RyUGIM;End5VmIX*|3<8zcbK1Z43 zbCfwgN5jYGbyzL!{eAfG6mY{wr+^ziI0fABu_@q&4^07=9+~ZZYiwtBt%}SO$JEZ# zZs~@)uh+(Dv}VUV6kNT{)o`QcT$2YcvwdY|`^wDrjWgRfPPZ?0@`?D|Xin{Y<1-ac zOuy#1I~1HSZ#2Al5-+oTWoG-z%=V2l+c!?Pk8#W=_*d)uSm-GmpO|p!*t_cl)%KA% zBuqMmn~eu5=J!n$RSF4{kHU5-TPgMwG9R_@;Si$^#u!-!Og+Vx^Tke&L6(cD zPSwKZdVOjgTZ+hKYw0oRkN-=+@J5b3lG-G3cgXTN-0@$;^{BqlzsgNulQ3^o;{18T zVQV-{v&pNsz=rKr)nZ}Q?d|P;Y}}sQAMfqGer$YY=H7U37y7aBr624cW0vw=qaJME zfbFJuZ{PQ0`w46~&&726r5_vK3EIKC2eIC;N$$&bv%fd~{Et9kr1-$t#B{LEVr*`_ zX$fC;>9Ap@Tlc<%!Gbp`pGP!}qkuQ;$igd>$i@rpyh4dASY4{e;%r=M&`lNU3iL$K z$K$=7UpVN0VkuVknBTbe!i6!mgfV>}-W!+b#e&`!2jxn>SkT+!pj_}52g-H#P#p9t zfZiHs+XdS_aW=fJwR1Gi_72#vTZ{$Ln_zn+&h{GEw&HAf;ipe;uYhfFqtJ6M>{B*% z0)n%s{!{e`_{J2?Dv_DR~*lo#l{ z+R5#$-R9KY-lT5Dd1r^rP;{h3Q7a*6rm}1^o{;%P;1@IUDtdWdkQ&xC>Ur27U`j4z z2kHBz?ZbfW@Ia>x{EUKL;th$pldN3Z2dtYT((1HdZ5tLA(I@5f-PVDzMT=)Cx6Pfwoj9A39*@mwOy#OLQGEPppGZ{>V?-l28z z>1ocHK0SHBB%UW(ymlM$c^W1?RnI#+^t@5G`Sj!ox2=b+ck0Q{a%R!|x1iWwkx~ljz{klFUjrIk`Vkwu=pLor%TGcV?juFZg?{V!hClAMuPqqR_r;2kW zB*#-3zQgmycEx*CNDki>1m*BEcutcX1s78Ro#orGYOQ|}qUb8W102NFC aV$_fTDsr0PvWSHp9B)2Ac>n+a0RR6T&E6XT literal 0 HcmV?d00001 diff --git a/adder/db/adder.rtlv_sg_swap.cdb b/adder/db/adder.rtlv_sg_swap.cdb new file mode 100644 index 0000000000000000000000000000000000000000..7e46c61d7bd0c7a7d7e7022f7999b74cc93983e8 GIT binary patch literal 851 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXATa*#d2q2ii1fd-mA#^Y^gsxzG6Y1^8Y{)a0 zNl0jZwZaXPnU}=E_VP)aZFue@!|?84=nvkylNPKXW>hJepP3(UcVU%7so`wD^ZI)0*Zo$Fyvy>sfW2zT z))>RU>9Iy1c7MH59r44rvotK`>qfD*h`ox}&IoAVN<6ycaLe*_y%{SGBcp^Bhmp~O z)rV_u{XMmFjkYu6($!9kOSOS`wIh%Q;?)QCE;;bj<}Od@`F}E3I!wY_PlaC))M9bg zIlN<0V$4N@b@#yNW=Lqe(q6yNg(+1p+f+C5Jnm{MbUnPI>hO+V>yNhTbF2Q8Z+pnb z{PM?cRpv>@9IT$cbUxh0-o?$ z&HM8ijwFQdpRmB=`HC;B3DXa3En%9vSnBQb_m8X+zdmApli+N7K;=lr1XGU1f1P8R zYs_E1PfJX_z~D9GLC6cvpp4C>6AihY83HCUg(QkhV5@6CZgwI7000000Cfcb0000001N^E z000000H6i{000000C)lHnagfmMG%J17!nEN2!h0Y<4wZNfEA#{)kDhID3!+y3$%x!OF8a5+=B*D+Xs0jSUxUFpg_gSN&jrku;=HAP zDD`H>$lhMtWWNR(ZIk^HWVB6Ye$A%!PeOYnp{GA9aDOT|=xtGv^nm`ot~y*6n#JLc z)=EkD!GNQAuXGuUFSYUd^=Eiis`1d8u3$qruq;-nm6~q53k;MgSYK+o5r+rJ2cUn}z+22lv2V|{BjT4-t$@G2W35b&iEg`X(iM<5 zhWfoq1OuEW5qapt``b?Cq6mBn{$>lKH^@7pdGMB{va;qdzr`$ZQIY**}S4omE7 zE*3=NVt?1+_apX8E*{vf*f$)O*w4CH5RHp{zt;>t6+*iycprlQSTADVsh-f1M*bl| z-7R(sEBy4OV9#aPT|~=y*yn=1Fdp`)U@w(neqtq_6+^Ep#V|TsbbCENPscY z7e$Bc>^3BB3$QD7m^~7KZ3eWBOJz5wqdi zz_iPNB-b5TV0y;XtSx0cuvb;TDLeJBmj%08hMDszVq?cwtVO5neDmO_hjGk&Uxt~+ z6tS`6E7qb@4hZw$sE6s-u%w$p31%8o#CEi9ZC%R(i&*<=*I_abj@qpW*lVg1QLWf+ zw+9`tPwLj}4g`!UGgK=JnOVsc$eB@?Ikj62u*-8hU2{&~s5`am2u?qm+v$dL`c~bk zPw)FPIsL%h3b-+3zaxZUjdbRYLs>a9|AV|Jgxx|cdgm<8FEVYhWLQs;QGK8B2TAWU zjlFV}Y{yr6UNXM?kZicw*tj!o^nrT6`CgbxBpn`l) zC(3B+SNQfVp>W$x&q`i;x}tX@`zz%IJss5}*8%kSggJs6RlhTQJ?@-!vv&Z=6ZO#1~~>fdql!?M9$S#Fk}X+W`Aa-_ljQ4l) za&-<_m-N|`7c7hH5fEDhqz-N~JhYz9-Mg6u9QZmw1$-!qd_qGW6sHt$fCD7~Cd*chaMqA@^>8V1h(zD_e-7O}81NC4%0fEWM(0RR6^zA6m> literal 0 HcmV?d00001 diff --git a/adder/db/adder.sgdiff.hdb b/adder/db/adder.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..12b22f8edaf742a0603fd4ba2a909155eb793e5a GIT binary patch literal 7979 zcmZ{IWl$Wz(k;OW?#?0sg1fsD9D=(o?(PyufIxr^794^s?hxF=;%>|08rVgtXm>p} zZ@voRw#}ZMgAjuAZpS&Dh39`KtyO+*ADK_Cp(ZA8J`iyUku-lkKby`SR+UxVzRV?! ze@L1L%q^|bd%dlEee8M_DLa_sYV$o^?LZ$%(kh)D-rwglikI9*Lv1ywF*>kjMX`W> z-$|GZ*XgOu1{jMhhCcN4l*CEoxgrxsYj**c%sZ=3-3tTH^WO~^MIZ<)_V7i6tiZ^` zdG&uJT_}DEYlqK{pOsX{2u1#hLcY35!qx0cB+_WZBKhgVjZ!JOC;01@z)hqu4F06T z*P0?fjF!MocqY9^nstOHYTdWaN7MOflgzRde8HIVz*b=+oEFF=>4#XiX1=k+HjYw- zdGyHN9dh3=8}n~2F5k9X^FZ%kKDN~xI20tg(6TQ2{}aw!QO;DdK2bREMX_G?AD6>} zMo0b%BT1>~Cq{ygE*M|Uc>|A#E1 zQ#CVsV^eXQG2-x7E!Wsc{S#wWV`#UTN!3F(OPc2H44e%xVg88GX;!pj!Zevgh;8Yy z*-|5^u5Z_p(H^#3*+B%F#iq(ungZXRYPlv9t#4*0_`Ck(YB_t9d=hl}ERYJnin9r` z`Mw9U4axZ`gAlixCY-$U?p$@ z?VZr-ypG2~D0TNFgY)I*pd5^h5M|p$w39%?uoj-YOZ#_XFEBq1C_C}ies`w?+R@`x z*wbp5s9hI3$2G<7WgLKN@pdmfIKpRIp|yPx%)_b4_9SKR%VPK}Ymr>(&6sR|G`mP_ z5GZm_`>ad%IYO%ITyezd(=9bseCNTew0;X|1=e1^|RPQuswNsuC&UMydA&Zt)nU(VG zQ(?eOQPkrHRXNd`w8*x4#CYvIIss=-4*p27`5NdnmdLi&#drbn_lN1HQ+N(q;f}vp zg+wj*hl61l?;PqD2WtVabtcr-ZN9uYVyuA zsc2lrD$D-1tJDETDH2p(mw83YPA&N*V}U;U@LD`bp%lq{_&&c{__ke2+nD!lQJl<@ z9kZ4dbIJ;{)|AZhSfHPOKH7OG>(yTMfBq~@;p_f_HiUCm%M#KrLKJa;0T1HMhxMN`hVMnSz_md*fo>MTQknv^ zb1;=65?9TLPHoMRyfZ?a{{`((o7e39x}~OpI3;rL{QAFmXZSyP$4lX|Vk;2;)9_#B zKWca9OUeL^W#%vJ2Mt9rzbg6C2aKA$^a=*DOk_o{-HUtKhKl@c)-4q0j7FUEeqn^; zsuIy>z}E&A;_VMJOsA+8vZN{Mu@|BXq-r@ne|0w*Xn(k(MI6SD;vT||bM(D_>`fFGpeb9Pkeadh<#j(+RUO(IzW+SpC`#3C{e4v1VtRu>?5c!SFNldxH~`Q~d7+Vs6JI|?E4 zfw}5s#jidh38V_(tQ@Jtd^r&Ez#Vd-JEC?4oGk~&29df(IYRe4<07(Bvo}Ugum%S; z)!H_RMDHerYY@1QQ|(<%EHnfFt=-?V^Cw66yh;l=0K@^$GU{1s0M0X_R^rcH0(GQO zeZ8~2Nn*L0YO7YFnArhY%a^&A5tlMGp~r1EZlpE{UJ}6U^$i9@dbFXG#U$b{L6Rp$ z0r0N^#_aF?DgG6`bOm|c>P6hRWr0KD`=nZIHNawOC;sMDPu=Ky_r@F|ZrS(^x!Bg0 z9`TSNX^pCWrqeqp6E+pS*z}{$_75qlF_Knaq32<#aS2lq_hZOq#G#o>(3#cPG!fN z^OTuWjdbGk$2Qnnah&>yrjKphQ509*Ydq*#A@1mg1nSIHXJl!;77YsKlfT&^fu>nn zo4qagUH<$){qkZd^BUvq!J#xG!=!zmxt*i1$cnmNdea?rnbXjd$-qSKOt;{yW4l zLPf3yM`wLSL46Z9{xijxgAR}k!X6ro0&deup4px+I}{H1(@%;PV=8u!I9f+O)3FzX zrCvwFDpy!ppbX6SwH4bInnTsr^zG;4@X)zR)% zXIKGd835gw9=TZtM#VhbO$WF;RcIMyjrzQ;WC&xUr~=*rH+#iN%xyGp~Y&{3`9&I+hG|aYpv7>Q=4i!>WI4 zUUCxCgwIBkz3#id+!rNrqU>~`i69C1p3eX#uZr`b-F|BxF|BG=TRj6qKyC$TU=M!X zfeCkSTdRq;bG)L-aZMhKlE^Y@OEgN`;Yy+2REXG0y58yPuhhz}yW6e5XemrIXE~TE z$}1C+znK3ReuH{Mf0bAeo)xcD5(j4dJ_h{3`)!*S`D}P`TfbF^YxC2)$li&k*a)j_ zjCdqVi3180Y2hM?Ra>RM0YcWF%(oCZgPcOV+D&`U%MDcpSmr$Fg58T+Sj7x0w4nAa_Y&iz9yA(I;wFMBW_`rq2A-`pD0~ZC>h| zxTU8?H&&Z&N z^>#M*1v_brmi#J0ziBlfH6=(^UK}Bk(YIYvJwZ2va`hG|6eYf+lcU4EJm#L2s$#^u{#D@ZduDnZ;H>i+&~Vq=*Xp!?%jVOm2^)xMfzlKZApn z+!t)5_f2uj40LNC(CP5tt@XAK>Ag5k;OW!XiftNFe~Q8SsZ=*SGO@jj_$1=ASmGi^ z&II?9?a0zGN)+YefgDymb>f)MQm8BED%4Ru!&3qGa-Z)zYk_IMR90zOV9bUMs=9TQ zs0v+5l?GJ{qr~~u$Pf_QOj?uvGnqyS=OxGq1@6hf=GipXx+gZ2f!UuDm9LA>A*c1( zlao~lhY;rnX0`fY2Mx_1e2N#Xh=ET#BX|xE=x$%iS3lCZ{saji^q8qs?q44Kp*9ev z4{?Owy+9l_qc>ko4Qru&cX@+2DuTy(ikMVb+rdKP3zR-7i(H;tk1Y1W#CiJ53%}xA zm6FnKB>!S4ze-1Uiqs1l6qLIcfICa$2Jo#WY}ZSAjfWCA?hlbw^(duGDfxd`DPe7l z%UY27vA%?T*Ys;I+BGrdX5(M>^?KG_@Rol+UCk7T&FgE&BpCPkJD+NGsJ!pnyO1_VwQr~N|xARSoKbd zan=uHz^33i1zUjc%RSDyeEi#9m6Q@BDKCx@NhF}B;#MbPL}Ic=opGs|Yu8~2&;dRC zQVgO%d~tefVoJ7AsMWsWt=?pn%_?1A=(o(X>Vol>iyrfxx+x|75_3shdBLnaXxPJL z@!dxP90W?$CLJL&1AO~RNaV+1;OMJ?T59OGIipU)l6ldm`*3xq#mqfrsczQHP%{QP zmT)(7gL%Xi@x;0RYqe&O0zHI z^*=o7WA5kVE0j>H`+LzQ<=s_Qj3_cIEOo8qhL*jn;hlXi=4jo2C>pciog&lRZ6$GE z5OU>)rlc%?pc5DwthA9e3th&F>~sz0Ks zL*d(BzNHM-AbyBNr#$O1FLl4K10A?k(Lsq>;px*u-;?A+vfh7Kg$ZxX$ZmQGW%A+} z!q#kEA}7w~tjBC0CJ3B`WSVwV)`*P$N*Im)6QXrbbsF}Rqn}7h$zr418ysxmuG5zv zN1$yS7U#TSNCWW<4=I|Cg3UhMRRfNiu!g^3+$(xd`{CP=ph8eYn|ZhmdMWQbf>nIG z|2+g5th_}YJgI+pTssb`I;Q)sW<|>AtqX_BAR(T|-^k^kk;Y6k0`T#+Mf2oyJCVck zJ*DGcGL@&4=3&bCoFjOg#No99+gzZMds)*^e06fDVZx&|H?>xbOX}S6Psy=L^P`&(azsau3Q?_e-W&@thRn-AQv3Bg zS`2MfQhzR-z;xX~C3X-fZE$cTOp)*Y?OoA^-zBUQV!yze8@c{y!tH_gL}TaAkJGj=o|?jnOgll8 zofdtdEDOYYtIz-2%w047M#j?wAkojRo`$bacnDm%gYF^}DG*ui;79e5s@Kj|N=nZ& z?k$%3q-Gc{p9}DVdV`SMe=BBf;qNkSdj|Igy^3PPXAcH7UU9;WBg_u_sg@d(OY!nk zeBX-dAj_e}Qzwk+lkBF3spj?pw^6xr!7=?HTK8l{3N}1Qbbl?adzK;v2OeW|e+;er zPsJ<@>5>(2tP)X7KNGEcnbV;C@1G5dqB0+HnDA`FaDserg)v-Xu{loq0!cQ?v$}%~ za(FL~OFQ!~FJ<=jkZ1O5Ejt=mR|NdjgkcL0UAWY};kQuL1B7kE`}PNsZXps<+tAKf zSuAdu2dnAmsJ?)^-<~AQhjmq;jDff?K7#LXFj}It~qOC7X&Ewo3C+_}DNS>Xzb%<)R zr4IX%Z&I-iD+>`|tC8i}$;?-bX;nUKdOg^$#>Bh%B@@ndQsbHMn@NtEx?3 zDs+}@_O|p_9`q1nwa}?WkZIY4v*H!S1w?rgvq`DOv9Wh_D-TYMl7=uQDku!IbJIyr zT*WYI&XXjXv0BdAHfA;T-#)nZn9MpHAe)rr^BfmcveOw>^Go~=c~<{KUj!oMO6#eh z9U^u_zQy)D(4+2y&h(f4l)mTk`Usiy^q|hJ&@nGF7is~)Nv^s^LTpUG@1-Br&fVB^ zO;X6mu`w+)OtU8{$FFa(tH`LvZR+p&o+%UfHO|F8iU4dL=h-K=v{zDjcIO5=Q!igR z3bnE>e$?Aq>a~luJWFUki1>4?Wg0xehmt7zhXjf{y&q}YAbtoCHQ8vl&75yytC;wZ zc5%=3)caSWkGb2Luo)0cv?uV1afDpYW{Cux;4x914dfo$a^kxZ;MSBBfh{=fqGpjH>9F>7nv3|%XPGkU7mc8)Af zyazDY3ulU}>s}!BfvriMaR+mzp1^Dd^I25SJcEk&{`Jn^<&JIu@&VVids2|kj_?;K z;a#73{o2fgP_a8&F0oNx&`mfO7Rq6W!JR~kR&?JVD#+km=Gf{)bSu> zAwb2&ns>)MNu{o)&X5$ibFi)IkraZzR=LdL3KnDV|MNf>6dvMm3!Y$Y{!E#ae3~q} z`AQTTwMkU?^8(~EH@yXwL4RX#Hp52#@CosTYe^+ z(@}XN*IO=F6NKrpU&FIF&^QOdFdXW-n~bnTliw=@Sp4Q)?>ovwb2~nSJTd&VMKzYe zNd9LltP5a(`FVDK?p*&+@bzm!yyq7ah<||&#J{$1t9_(+t3CW}%oT5CDJ{6K4$iob z8y*TWj(BJJN|C(ssVVt|^a~PsUqry9vTSrVh?FJx*s z^6rV-L%R-4lw}W@hwEH<&TK4_S7wgwOesW2{C?oiQ3B^=F$BeT@PK=VJ`HgcJfy2( zz|PbmXh-M|q$=@Ug%hbi$GAJCv zKK8*x!F!*P!SCglHl%Y`AGs-wZ-xb|TWQwf4VxX^Ro0X4)|i~wICC;EB4gv5s35i3 zl5$l)bz086%7T9Vvw@!NVThBez$k;3=y9?7K*LS?*3(FwI=7TkwT&$l?n$O(K5eDw zi3J;Lq>z*;h})-Cgr;BlvIyL-dEw7|IOR9Pcb)F4-ewR9q+iq9$>6xZ8J_5vwz|kH z1*C6x)Inwns_xM#L`nRfjw@frw_aX~*S2k|JF&FtmI`4o@&LK@!6X7*$PQmRO8Y{K2q|B#z zradmkst{W+q)?)zU2o@LJ|so~?S0w#-Lb-ExR5xv07RFw>&eHhB$O@kSwcE=qc^mY z$_am?VcfI#CM3af%?E~h3eI_UY|M09qDy_gqxe)7=4x~FWVmic@HH>o1%b)~%U#@D z-Tm17_4?f*Lube+lcx^Y2K_gagXAu@Oo^ycUO&5BOOHPo%+}v1yHNJD@%OqS=Z00l zv@K^RK)1&?T%r!9VT^U$S^w=(9ETGFWWK0-YZ_4_b2^E zHxsIJ$w0rII63fRl{NUCoaS@U3f9f1VO@Rqd;HY}-G=OXgRO6JQXB!H9hAn04b6=) z1)}k%Usd*?=q9tZ0#3&R1L`&6!6H{79j052!aC!ohby_)9T+RRuv?n;(ekYh{Vupx z*Dq>SrKjX**SY8~UEK$Kw`0gh{RMbPPcp+fOJyUE6cV|wnEwEgM@`*LHZANAPYXB_ zWX@O8Zhrd#wq8OA#?zgHdhO@f1bCNH9Zwi|;v97g11aXF>{K^AOEOB2kqLDB%?(&5 zkcrhq?55fd+&!@E%{No9=jp;q&ciRj_dG14wxitq(Dxd{_4#|PXT}jB=A=Dg8uPPczE5- z%{bJG5z5@rOR3(fe&?!DePL_6*bkG8PYM5Vg%ummawSq!&a=;gjpJpF=*Xfi$2ow|%=!HT6C zN6X!i>ZAZWuV{=X2(Yy|fWmX(oJw?HRkO&O6*qks6hW&hLc^W?vX7izUod8AoAKhD zB$C?J(>Ns{npqaD|5J+yWqKUa8DeV9hXECp!7qx$$CyA{?mtx|T%Zg}BC>fvO|mQi z%qOK|Bea7EW26Uw#S3N_#@Q4Vg!|3%$rU^<(>er=C&n(DL0~}~|K3Q~W*XTMhDmW; zI5>N`KM3WcN+@lVMjuxKmwB8EFrZ`c5XDlS64xZ2o0=CtvmB7;KTG8>AD{HuulN_1 z?t}>*ir!)!tyi91B^FL2hVdc}JEphYt0k27pNabA`6$YEzjh^5|EY0--#}WzBDDXv U^niDQt($3>E(aI?^q>6sA4Y?nVE_OC literal 0 HcmV?d00001 diff --git a/adder/db/adder.sld_design_entry.sci b/adder/db/adder.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..904d0039f59656ccad3da4a679aaaea101b107b5 GIT binary patch literal 168 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXAn*h)R5U7Pv3`S6T1(dE}{Pq9;|9UnR5dZ)G t3dTw4$qY(QU>l_cxJE*v>PVwWsy1akCa-#P&h8P)q OXz*lXQ`6uDYX<<%9y(|M literal 0 HcmV?d00001 diff --git a/adder/incremental_db/README b/adder/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/adder/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/adder/incremental_db/compiled_partitions/adder.root_partition.cmp.atm b/adder/incremental_db/compiled_partitions/adder.root_partition.cmp.atm new file mode 100644 index 0000000000000000000000000000000000000000..0a8e135269ebe02b81270b8b907654b2975e80ec GIT binary patch literal 8870 zcmYk9WmuF^w}z3fp-Wn%yFPPhM^hh25E+rE@4RN1`#-TzVABM z*}wLFueI*yeb!okRu%3mgnuU>``FCPXGORn#6J{8l}o5%R0I7i==TYd{IsBht@7K^ zi2w`3_-gvLg?gQ*#kD09zV1hVAc4Aa{*RdAB~}|3#d@U}%n*VW)pcQjb+glg3s(u=N7oj zuq&&Z9gU#uNe`NJm*z_@sLS!??3zGs=+qg3!3Uw`zvqWn=0WLUp???V9(5^={~|tT zi%U2@Ejbp4bhm|d06_C7)BNAFF!BzfWF1 z9HiYJoqWE#URheZKAr`Hc4j>J_>0eVZA^BrT7*1+qIai?mYT~nEe6VJ-OcwgC9T*g%+P#%t`ur3s84}R)C>s>;=Sp(+P|+)DsCAzN z{bU8$a&`Up#6A_%VR|RZP|<1fyM*s04sv{Ve4d23>BeN&=d3%6ld|_meGfaD6Qve4 zzns(>;Zfi8L$h6Q*VOZR=59}$p@)^4&F_!>r>}mu8ZlPiZXrHriie_D$&PtIeOFHl z`VA76X~vX!f~3MfYm1F!f!t>Dy8)EXG^v^x0&)U>%d3y^%cx)SaVyHlQ3Xr5(jIext2i}QNpef~E$ zIt%dr>h5y3GjRxZb?)r{a5hWf`Z#&82FQMBpI1Iz=>-a%U41=#s7OZ+TlgOD9z2zU zYr1DTyOyVZ>frA04IIdOzCpgcJCOcdIFTjvoV_lY&-=KMRTROfn3w17@l{?_>|_1i z?1S<`nwZ<8%%7mS0=OBWttGn(uk& zT8Jp0BT2`oV4S%*{hM1Eds#c2LhbDtPZSfpD_#J1PaHF}>Jh)SfXlrsp}g8Im=xd_ zYcI`0^JTjeYs_IS^prX3(ve#^{t;RpmSGwR7beHaukI3_#^NfZH5H$x!g&~>&AuuM zNY2KN7C-9W@~mA^-@2qlhu__GyGf&2w5mr16Ka@$YS0nQr)aW^>~7B{!q-Db~$%D(uF zw5WJn-nKwm%B_*jds|IPpbaF9)hx(IXtw zulhgNapnHLw@trh5YzazdZ7`}7RHldi%U^v!GH7zXyG-=K-w=c{-)!4B|eF4M1HSR z|8D5=$2g}S59r~`U-J_gk9Y)ycm*{v!^c9P1vc01z(knWr3D$^<%ZhZXPEi@uJKZ{ zq)pgX?Y^tA(2)5DO!zPEi{y@IBgUZcJ`I{{?HgL!XC+d zs-bD&-0jdPZ@?e;Y7&Mi;;;O)AK{XQE+W`IXbO*^4fAMn9mPVF+gTW$r-SGPMbn4@z!w3RtN8!!Ur#-phvyowf)?nsh&hYQ;oRp5qv8zQ5hHJjXMb{B;&F zTfPBAZJj0-Qn7n`u-f;@dE;Y^%UA{tQ%D#=cAu#zbRbCVK^D%#M2~-(1_73Bu5r-2OyPB@!_L0!r(6_vDFt zcxNe@paXd(ppMT8_}1$RJq$$$xD?4pP}AWUxrYGLB;kGcE`lj9=!9g*hENPuRxQEm zG$FoFSmXeS@it8|5(zO-KOsJvW?=;&x|4 z+)~@;a43CdBpm8W#4G(dv=okaxd*qS4CxRXdGoo&NfQ0xQ7mpynKFl#@>A?_A4*Yg z?P2#T^H*D@n>j_Bioe<{hc1UAn2jR73FF;vj{JB$vq3~p8WR5W`NXr4kXy08et(eF z9ZrdWRR59xWGo&Bn6$lbE39H9Juj&n`|UfY{aQZhQ&)Nb%UnWeG!wIBc~?|0cyEZdif$-iKdBlU=Lo9_y6V{j?C^r#rg`MFb=qSjDKU;smt8x^0hWh=-VzEtXBE*<42eSGXDm`fITlv$R~4>)kQ+D z$%7SUkZJLCmtsdC4{=3Ey1rHIvO0VBax~KeZ!TEXscYtMCjSO|?LF!%ShG|;AY*q$ z1SZ7l(xt3>=~i;Da_KS?T-mXk^#Vp65VXrY-R9q@s=6o9hJE<2?D0jW2mVwr8qrF! zQ&-k(aAm{pik!fPUS=(SHzVxBs7sf+)TNo0t4Zm-xyI%3Xy)mQu;zb-Ico25Ucqj^ z$8*gEmr7Q4Jy-q7t3BD(Ou+R#cs*N}!MPt1N8h52uE)C~tczs`u^F83OM3t@1yEj> z&&kf52Z;GI#Y;k#e=rkAo2rU>)k=xg!P@c3IT`Q$eK;o8@4kqO`|z7}Bbi34aEwgO zHT)j$gYYiS0YrT8~G$42pR6RsaBEs^<04~aaP^vIV60h-f zaSHk(+UoLyaSGPD5-eSEYO+bUbUIzAcnBBrsDlvl|_q4-%z-&e`}j83iZd4((0 z_91a688d`&2(jpe0prs82>QW~dO6_56_dNu2(<>wUf zYLbE4e+L7#N@uG;gBV+Ps{j$0+4ITjdcMIizc~R_?eK2KEk-OYaNoq6zdSk6QQJ&^ zoAp|&K-$ewOX7CQIm9gKfReqN65u@&y@tqN{w-L^k0-oxTQ&-{v7i^%mZqsIv&R?7 z*R0JfX>SI%{o)v0rShjhULbN=RF9!fd_h^l|x=Zm) zQ6D#H{)04(+BT++YNtT;c9pVasGfZW&V7R-nvVXl^A|)_JH8&kF4#pFM%!|{VSkRPMI2h z0Jg4Z^k{<^x0D@{_EI@$RJS?KvsPVKkS)I&q7m}& z%AI&-h!DFa(rwx;apL!I9mv}v!UY%Z6M*@71GK~-sVQGYwoBO zzI)1F&X}p4X24gZixolcpA{Pa9;G>F{x4m?%*+0ucsMh~uUyZSs|OSPLbst!Ib<{AuuGHnsv*op_|tHpCu z8|sb?4P8v~)qhX8jcr#sTB~D+W;jx)nQHTXvsX?@Bp&*i8clASfY{!cJj@r)YwNk5!eC zzu8X8ps{dY1{{#CmYNSv|?+V)OSV!kTY|2b}=t?4fB#WB%XX`~HlWK>Up5La(Q zjNiRxYvW}P!l&Dq1sfmHR+sZCaJj}cmC!Bo+I+9Ra*(&NEs*Eo$#oJ)2Q#-~4U|`C zXM-IL87SZJHwog*Ri;ZwHyVcP1(8@gMe-RDcf%m!lXZ;Uu*(~S6Nk^U<|KuCCrLGF z_iiN9>~cd5bJX>+JjH`e>~c=7z9z8G5D*bOrSEn9)LKIvh`nN4kf`&WjVeK5=gPNb zCBh?cy*6YAfg>x3CzsJ1nm~{j;daKLEX~=0bwQjSs_xiibq;{2?p&kL*@eKi43dcKo z6W#P)X4fQs@&(Hr4AsctRXef@7Mt%c{hw~(I3PaZB(>fh*yW8`-ItYC#eXtzhJO_i!{8+LYJG#Fd(7-vohpaqmL8YY|~(Kfk)v zRVk436KJ5@<1GV9m`2@Yd6A=IiFFP$xGLW?=3F#;euL(ym9+)hE#!W^Lu!Jm*uogI z{8}cRN1(@5;)ivDD-{OyIc~f35OQ)f8&RxTsmMbXmcloQjXZFcWK!4qqXulafRz|S za}r2K9N74>NXqQZt{TbN?2cHNzMr{QyWP{`&qp)am~w)4y{RP_@m;R+Xi; z5_+sC@GP0T%4H13VJMJMU46|)g{LRCE2+o27zKVVMLvpJ#pPS#lvH~fEb_MhQPh^a zJH=twqPWfCGm|Z-`dYtY_~g6MXq^f{fh>RQR8u;p6*YMCM_=SXRQR=e|A9cu)1IYu z9xy)X?YjB27D2(0AW;rCRG4OCTQrBebS$O1id3NyR3IxzzONsP`tJBxy2dbb+KJ~^ zv!@d zF_+7Qpp^(i^P7XB*8>unMtzF#lwf`c@fR~7i{)^@UhRO2ZRC%}oZ zQio!+`VltRsQ0@(`O{V#nsG({wIgT4t0e6u7Bm}?=1%5MstxZ~83AQyRX-kdSM82_ z7}?9V1z&)bi{UFq6-eV{MkC=xf07Y2nczKht!pcl;yQ*4b%rUzg$w4CNg{*P4`?Y= z&O7d56NzPOu3C^1` zE7c8d%Pw4db=D2h20=4c(0Um=r{#M7ny0NUmx{>srHwgGYd@t zfgEa|)rj%Q2S(l8O+^EhbS{tR(?fE&#p)kR(6u@S)CrdJYK% zs@$ucGXSvj8eskD89&QVm`(uACr4cqX<62soK9N_#W;mAW&)2 zjfcekVcPORc6mN>CsT<={b^{XtigS=ihTe-vme2ytYIFjjZ?G{4`W@$UvO3Iy`%cu z$N(=vaz=+Ux$|E-y@kCO+m}>DWa=jkJ5t!fF2pC!NfHNUX-4bpQSDdke>=UiB7DXu zh#vVneS<|1uv(8%MU9J_m*&vNuYS;6{q@qsOZz-h+&!N}eEr)u`s*zHQBI`S2|>Wf zIZ9^wPlR4K)Xj@vPYDHL&_j$JrBpgD`~O$ zSf%A>04CiYd1{THwc@Bi2g5?oWtOSz$w=xZdAvr7i}0}*pwuMjTc3`ptQTNFV7?XA zo!kOWE+`Gz+y^@|L(T)sQIS~Re91<_Fwy}y{WQ&e&;>hdtx+Ge9%}=>QUtNvutEyT zL51WLxLp9CD|5qn;4ms0@0$=s^r=LKrNmBJTgFKuHhIC}nu37iBtTG} zpX-1C(Snw#4bbHbP!sNfTA(QKlK@;uUK`^~CdJV5&G{_O+4J>W-Ax&}F5gT>P4kcd zc1YfW7fVCIFo=$V!nS`A+m#!PL4h6xc4KZjH(mr`xb`JB0N&bAfa2VSEd&g}Zdz*} zdVJ^Y2SJ!GAq9&H9IpMV*lyfl+kRbJ*`zNEvV!cigfn0PDcMw8S>rl|C-*KL+kQG# z!GOwxf;Kvee^#`Smg)pYK(a}$hW~X20@(H?Cj;2tQl!SY4sY1JeAf!W;+_ShKnq$x zTjbFb0!QjKR@&R-OMW|Ul#fWOsnBztqL{P#auQN9u6d3i4%P@ISoMzVwLQO}#`diRrv~kDgNe33Mn_T4W;#@!dGs5PO6l~#x-2>=OnN7b6}4Xf;f6eM?-&8cdVRVm|Bq6Nluyfj z=Zb6OzW-2-F!PVp`d`u;C3=2`n|z!iyrVxA%Bj(|EZ$QCSQ${VeF>QzsrzZdA0UarB=vN zzI`yiP#_MpQ36sM8c~d6@&mV#qu?LDb!%* z()8+x&b*K8M#6te#q$rMQ}sL1XwE*?S)<;$xH|O}ab=)-)o8@DvW=dGgq<06C9f~N zu7F)-JN+VB+=GZor*ry0N0DGQnG*CAI^Afsa=|fAA21i0ES_$p3O>X@w%oNEu4<8U zvJIWH$w@T<~*+PtJBQ&|i0$>v*D(jloJ)bb5v+FlFLacSH8Z8RB^j` zANgAVpB1MFS`x{cm*qZ&K}>Rg^_xY}Zdz#@B|aO z;K9jOj3ipTx{)z z2~)gS=C#=lEx~@2{D+HG;YJa@`|Zln=4=OezX}Yat*I)j-tHhp`6A&x*dFB-oKR67 zdiMNYNAY@fILzR|Wuj+GCFj4|zu16$Z1$FI;9X3dYCX=tJEFs3!y)?uC5vpL@6#qHI@V%ziqU>!(;T}%k#&WTzCp|UB@;T~^P|x5*c#wbFZFvn zII!G~L|%Npe8^rvzVvO+A`3@_4r zNmPsBe_gQ9nrx=wj~AL7L&4?cB(02x`U9vmC$_`a>lCodge7xMLQR9PPv3?<(7)fY z@Gcxdu`YUxoBCb=TQTRu=eiL{OjU|dtu|qjytG`p|HKG*IG>gdm5z599D|RSv=bhq z2BA|SHC-V|g6g!#WyUb~G)8&4eI>?6X=#c}S>ygGCx=+GmU6p5NSJi2gO}8Kfhgci z*T51pZ2FM`Qvg4MNG_6rcK?D-Lt5^S3Pyl4-3&&|u(ttgBL0i!s~V1=l2UX5eC4}F8l=S)6Glhi_b+F78Ob~n=y)+liq#?L zo2JTk$LK1J6Q60q8@}Jm7t8cA40M)z8I}H}(CE358Kgb(82WEO;F@Lr|!N zeaZG=14vK#x9+STgex0-eb)a2H=YJHw`UL(Z}B>qdm6c${4VWHycHqHz$$?Fef9tr zcZXPiC3i=8>=(efUiN@Lc0$J8Tn}S_dIzfcG*R=A>aUV>_9r*XgD1h4tjk*0|J62l(-s#ZJFSk_skDX z0j4go)HU;7Y72vM2}^7JMM^irgK-X_8n~xD*D2xlgn@oNlB;1K6R3{TYPf>N7uu^1 zCAs2f51@_94mK_t0KV{$l#=4)k4+)W?M1Yn&(_$RI@tBj$WwM{&-gcZWR?+`VIx$} z?wf|HvIpx0bo+~?i5FWg3gpx(vL|QFYygbYay{~}QQy`4V)zXtJ`1JAmf$yZv#PMW zkt)r1os;vxBtlgUqzal%XEc7NZQ4MO%+1x6Dc~iI$1VfS461}KbJ7Y3mPlk(?r#$1 zN6S`B1~*Qg_+Rx1%nMH2tgu3e!~=5NH(3MIb*KB;a#|hx{kH4mdnBSOkqN{7gUG*(D&X6xj2Jl?Ji+EnDOeCX*F|j+akSJ2N-3x6bmeJqt<5Q97 zXx*JZr;-SMo2vkm_?xgxm#)q|5!L&vI5?o|rtWiafW}C)gUi@rhv#w5H{5QLDY$6x zU1}`v%ILQp{|U#5iPw&MrV;)c$vQb{7JM^xTIAHyPD;rjiZl>|Fy33G2wRgJXX5B@ z$X+TufTpJW;m_9O**a?drasSiH|d}i_rh=O44NvKKN(##UW;f)%QXeDGj)Q7sVmY! z^@0`uqIK15-2C!L2l$06(g%&1(>xNKJUh*h!yld<)rxuu}6%%9^`LAd9%aw1r>UNt-}NGIktMiVXN?bX+#MvVlKAQ z!gQ)a5&m+F513enbpRipE%jx5oZ3re=LVIVymw0$;`mJ=${6xlY`>F1!J@Ai&_dmm zr&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/adder/incremental_db/compiled_partitions/adder.root_partition.cmp.hdbx b/adder/incremental_db/compiled_partitions/adder.root_partition.cmp.hdbx new file mode 100644 index 0000000000000000000000000000000000000000..27ca53b07004b12c2c7829d0faed46cfdfec2ca5 GIT binary patch literal 3314 zcmVuG0001Zob6p*ciK1_zAnE)hnsEB$u`!9zous{2%#NzlE4D#p6(6D z7?XGhY{nm*X6CfNef7#85yoM(*-R4*7p1W*zm^_Jj~+jg;q(-B!}VR{-`pnXb@vUz zwvGQzG|fhxW#UB+KOL>Z$VvP#Xq}#-PhlFNH1<%qsLAoS7|p%dzX?zhhRgT_EyEk% zMT<0W;dG23gcHnBnB001io-?nn-h5=DZc{#^phBME{8+3cA_NU8M82OaTzMh=>&Hu zOp|q*poPEmVzh|DRhGIy{2pi#M&3>62RGvC*M8tG(>WZ@z0bbup>-7AM9yjjNg*$h zze<;)Dp|ZY_qP#6kK@qwoy41?dFZAqFG#Y?{8B&Q_gZC#P$%!pI`ZOpd57Y3_8-qp zM1&+1#p1xNViDR6gSnr9lNULMb(ob5UGQQC9B{aLoX%o5^4H=fotwz>pa>_$C70ff z!&}2c?{kzkE|&81;$|)2tk+B5b!JNsE%U6-eYO&<6A|DyKe^>#^PDR`tM>^nu#=#> zqEq-W04{0~hdkywz`Li?$qxdaC!=>l&+eY4k*D;4&wBLPo%W8@eFVaZhG4~S3U&e1+ zko&V2%=x(=Q9HYD8xrTd^`~y+iB9k%LT!znYUU}?2tk_l1G9f1tlj$TMKO$+KU%Ny zN+XE#&y;uQG)Db?`(5kRB3&-0c}_U{G5^zktD&>h=hY@uN1AbpAwD0HV=oe&cIddj zAcDsKK>zY)sF(F0h?obP2I*?%iIVeJaAF4Gq~tRE{Yj`8oVvfC9MKQv-WPrv8>U4G zB?QXPM~GB@`mXg=;0FJ0eGXXU^8d0y-zpOB&@DmjHXsu?D-YcoCdXH6w_fp-SIONP z3UM_UU3M<`EnY2_&P~iyUUvtV!~R8YG(GQ+yMvFtQSbZ&^{69_oIIG;{B!(r#_ zqW1>wf8DUWoq5=t8Ip#zEEj3n>7`Cb`W-t!fQH^b>v69BHUga@^KQ)~l)!3FA zE13+FEiThIWJfhtRbzUgUu~l*UxECOYOJcp^g_NGW>vld^&iz(RgD=1^HZ}bUxE0K zYHUmI=XhCF(&x{U-To1-sv0XFC<)$rqU7^rcknpK2G^4(#q8No(g7gwDNIa2>~BJ3 zV$>c#QsXxZqD5-bfSuhEmE>POi=_Z$*<45ZBW`QKMxd3TPo0}#F>5+U^;Ba3rSl|10@$r1Dv-N4v?J3l+X!1R&An)AYg6_C64Hu`gsl2g* z$GC+B+*@M8hYlaSE8kLaXu}7C4+0+)PC#a=!6D`!SY&}i3V%_N23asf!4QRsvk(A7 zcnnc61Z9w!ibHW0@?l70a7ZBw#Do|Sk%`+w2nY>l;cqUvdCl@>=?tg+tMP;tF{>&cvD^TeYR8-o5g&EhAkJQ{X!*4f;%03eL3+8;NzCesvuwnqZhzdJgt4{iq z4?y;PkUQvZ?qFg&6M=c2 z*@8wg0bJn@L*fqBRGMWJRArmt14f%Z@rSSEaNGU<5@LWcKDCYevVw#LYw8H7(%{U)-9ibIGESRfZNkwaPcE4UOtVYWsd$9hJL#DM*6Z9Hisix6 zSd$Pdxr78B7cvD*>K+%cCDU?aZ9=T%6N=A_mh+u(6|m%0F1%qBT8FcCqpX10e(^GI z!-FQ~UBgGoDHJr5NkGojHN!{Vi-Q|$6=Ef?P|!@qHH_6*6HNAT$c9(=Js!4?Ug7ii zu^p2?QSu7KSJ7ljiPSkdl*oOov0foj@e0Knpw-2@Yx{%3uk96{Z8yLIjQ^_jT#128B zlX2Y+K`igwA&s?4iIP>C?FbWdP3?{_Cc8aEwo0v+xNH5Htx~dIkL1>2@7ms_X;rLJ z@x_Wl|J!wT7NzpgX{=F7RE$!w_$}mr{o1#@Ly$DqCM7C1smTgPHmy2GSzhQPvPnv` z!(n7)?QiTCBl9*qXiDBScvMVM(=>AJXW_aP26;mgX{<#`R4h`fd|%P3dtH&q<`tC< z((kesb2LbwzlZIZ^r?zLD!xZq=p&=f#-dE_VU4v%sfs;HY4Ns6?aHXUHIO#e9i=Mn zC}Ra}F{_5Qb*v7s;B3O)|TboQf$ZehWj0 zURr10P+cB6jWq?SiYds7w}NiQ(6Z#m~T=oxkS|e9=RHe0G+pw{d;@o%lK6sSiK-8sq$E z;fK>S_M&MHXuAkeWEUqR??%izS&|#B*8Kmul;i}?^6q!9_yN{siVbEMm|=rda)i-b z{CumCr?2Mvbnf4HaRPT|)Ls~5VcX2M=xnBI9>(^<*e3gUW_QOjrQ)V zj6&AXRUqcG(+mrD_T}xD)p8RL@(>TcH7U9EC8OC{UV~ys1?1rDcXroHMWju6uKh8q_rO z{{y3pQZ_MSFB~Jg79*Q7GNU%LEPlDms7rO-oY^+v^RuVZ9T;WP(RA*uy{PuoQXXU$yA6$EQLr+Q@I^cxSg$1BwYmog*?<$DYnYpRPs=!a5st- zG7+rOPgF7yTLl42C6Wr@SfzQH5(I_{;wpU?t3*=CgQ-f9bcG_R;7y6jxKznRRLV_M zxPq$mCY3-~Y4jQ@m6P+}2Lh>(B~f87TEl~_*4%^HaGC}_pAIhu-JiK0?tB<^xD4+8 z)Vu6V`d#=^^8Vx#`eX9}2=RH0Ke60F%5P!x3s3PD3(rmb&)&UC+!->Tf#kUP+$$^F wHlL6lS47%iSQJ~%)cr9>B}iA`f5R2t + + + + + + + + + diff --git a/adder/incremental_db/compiled_partitions/adder.root_partition.cmp.logdb b/adder/incremental_db/compiled_partitions/adder.root_partition.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/adder/incremental_db/compiled_partitions/adder.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/adder/incremental_db/compiled_partitions/adder.root_partition.cmp.rcf b/adder/incremental_db/compiled_partitions/adder.root_partition.cmp.rcf new file mode 100644 index 0000000000000000000000000000000000000000..6d6acb5ccd10bf67f5a65a6383054519900e3b6c GIT binary patch literal 1605 zcmV-L2DuG0001ZoV{9EZ`(K!evW?y(Y)*eLCQ;)gFe`f19;c5o1;gb3}4L} z>)L_rY*B2xzkNlDl64FvN|xJ~f~2CE`M$X{^!fQYy5GL;SDRjk3BLfC#woVOHX4?QgXe^&D)dOw~2 zjVOBf1ASV~P=6t{kZ=5WcZ3)j-ZJh;bPQHa=C$sP9 z9eQ*38rbo=LxVwD@SCgF*y+i%?wCCPY?DpKN*3aPWtLIJd*3I;*ICVJ2?NtC3 z`@#RiLd<$PTdsqXq4<9?9QQiI$#5|0w?C67=K&80y+F{el)2jnfBDw%IzN3}pwE{%~R;5-d_8LrWhq z4^#{ESqoOmZ4%wA5Je%4-L|IPMxn|fvw5l2$LA+rVs!QNB>mA9Mi2Xw?uVP3{(THF z4y4`l_Gc`Yo)8WpGZ;Pg?|b9XsNZ{x{x)_D@DPrSqmeR@r4VCBAV!SS8(7BR3PY_X z-2|?bl4|cLNAXO|W{}yel=BX#Qm2C1tb}W=1ijHQ*SY8r!O`Pl<)&7IC<4^dQl}=7 zBOms3p%b2hII^RwqlvHx(4)&_Rm*CZGG9HE#dIB}*@{N-vQAQh6GD`kW2M6QSGqgW4rB z@?+zAcvkBgqY$ru@=-(TLT#jsg(o1X&>T9vK4A1V?401DRpw}xH&D^5n2|obI_ATWWkDxgu%1!9d4%; z<@FV1TJQD>y_@7SRi0++2)$qc!>Sa2`pBG0u%pka;sKTq#b7_HtX)Id^xQydn`?X> zeZTKk9O86rAwpR)7%4-j1Vj}XQ8yW_($W&Qu&0&zxVWjU*JBw;1G?H1N(0(j!^nkPP;t21`LFLjWD-r#-oCi-tf=3KI11Z$BLW<8#}LE=)C2(56$JgtT5mj;&j5G z`-qcEOI}PEk03i3asrZd0=r7#a|#O|+)pustWv!gIiL?%zd}~>hO%O|>F zJ|US(PvU8gnhZuWpK!_5oX|MA6y_E<<)5O>1<9$^~>J9*xP2y{y>HG@Y?YuCMNC1^11l`6{Lf zA(N7sqb1w)2f-hgF=8iwB6GM1cdK+7#YL^OEpPB!sq}lp{8P!w<^vv1!EijsWtj~% zCJXN>1h806*6py#S8 literal 0 HcmV?d00001 diff --git a/adder/incremental_db/compiled_partitions/adder.root_partition.map.atm b/adder/incremental_db/compiled_partitions/adder.root_partition.map.atm new file mode 100644 index 0000000000000000000000000000000000000000..929c37e733d88efd62805d0a03beab9ff04c83e2 GIT binary patch literal 6004 zcmV-)7mMhu4*>uG0001Zob6p(bKZgA-#cPq}J_4wBGiN#D9jOZxOlvS%;f=aX-bOX-9C zQBs;stEoXljTCPy8XWNGMX=^ zP%x?nf4r?KDmj{dmu9Qw%W5e-OrFLI>0v&5j#3{aXhV9K&Bxznlj%41>kpIZ-P7tG zzuu4koZOA2m-+15eDwT`Ni*ot__M@Ij z9q8s12#&uFR$mu)^T`Wq#QHWLkFg6Eo1b*Y-$pPSW9f2DrJWzg%0qXf85q61JWcLK zU!TU()4J&Bwb+(g7p#JRPnM5BY$*9WiN<{a9Ud*E@0&$2Ss=mLC>ArQ^JN7~BU&t2 zL7dpplt#0#ke)}=)x+p+xtarMzkc5g2nhrgu_QKxC>f~@OJuZy{>&%;!P%HBmh;Ki z)p9mp{47cRr|}4yosS=2jBAp!`FkDic9^Wk534z&$NhLYnmjFjKF893j;HsK_b;gt zwcEhNdzk(3Za!v<;9ru|km)Dc_(WtvB-#8$ZT>!yjlO*{8^S-s|nD9P)(BcPYN~+SPjN=wwn8+yZ@1h6#OcE z8Gn_WXkkHx>$<|}>iO%KjR>lO^siW1EOlG?HV=o3Ij@bys+&yj$A3Ytq8lb9lo04T zpeELJdj9wM`NQaW0x*DD?C*C^v*|b@#NFfM1*tG}dxP-O>bjlV@W$~2*Xu!9d7aUA zZd}{h&1iXEC+s<$Z>bQS6?XYzlB3ojGoZhe-wyeNe_dPkjsK79A{JjPGmOsE8r%!D2HzJ$18P5s_ zZrANyVF{o_l;rkqpg--#x}%T}RO(o_L1^`Q-oP4c#t{sB_$|=RhC)}qH2?~R1Ixd1 zpvaoC0Tli4aa$IebAkadUu;p)Rb5w9MTZrA*8bx8?eN!ubrsrP+rbw8+K{2|dr*BB zdm475%tk-hjH72e9;OU?z60dAaoXFQFG1Mz;eR^_?SNm#Z{PM>!{C;m+qMRlG4Pc1 zT$!I0v{U*t?7^J1!@k=~=@3@Br#!vp)q9JbbZLfFfUJcjFQHPw% z5Q=V^!_qyk=WMcZ)wy2F>U|DlmF%vzZNVEKD(hSA1I1uc5+#sD*uEXw9nXe_+#5IW ze8~H01A7KQmK(#iH5_=Mj|-iFZ^A;s(#KL@~lqaWjZ_dH-UI3mw?V#{Hj`|Gf0 zM~u$t_8n+`=*M!q*6-;hKvvBnRn2a;(-_8`1&x7>Ev zgOP_WuKY)`&4PdW10OlH(_2sAZVnF3zIHopC=eT2dZCCeoX)L9l=MPkUE8esAnaHJ z`x@5Sr5B08cmeNAFSKA$_JAb>I~|B*ir028-ALkDw)0~F#|GqocKhK?-~TZeVG676 z(zT=6Uo)Q9QaBZW9k+{&>$-!hrQ3p!AtTvOubpK&05C6rgas@QI}`T3z#VLq0U*nC zc0ZO{@LOO*w;{vLR0dh=HIOW`m&x=A)Wh>L_;NN=BxKUwa1a$ZW2tBOBOn@bIarKP z@wyP^0q?w8lj!UWCO$Up8o{&yrojlKH0=D@nHz*x9pHYQ%?}}gah=^%-}{m**8|Go zGC&@W@Y`e{-r)Ca(KB(s&iMNr|C{WLauSWw?QO<~W7t#_wHFR<`&c({AmE^|STPGQ z+XJctouMVx2rR-aQ-RT2LBvowdGS7-1%1axreg)&&>c8_cRLCmp;j#;S_9OF!0Cs=VwVK zh-hHUeN#RJdD@M*U?ZkASi{aB66IEB=*0AfzQ;%zW4f$wjMuf?0aI0@u76C!y#o4= z!NWay#XT0thkHX76!)aYeU1C$;9kj$dqXZC_XJ=q^KegNxR-O|UJ(@cw8njn`{UrA zWXHWyKJF>NTH)bdjp1I&jeAk`e6_}Xjr-%^o@U3r0D8U(uqHg*YcbrD+_)COym5+M^V6E|RZ^m%1<;J}(DDKS~_ciX1gL@-8?)CCHnlM&CjV961)XaVw=H1%!jcsQH6B||fSr{3h!gZD@u~Tps<${T*fFmly zhfeZ`wM^o%E`nz{BDY9W22^6WUD*`XjV_##62C z)o4mXQ}*L9`svj@`_}GwJ;!xnb+AxbK$I>u)c@lPC_}EvSoGOJAvAJhA5Uh#OwSv!K*XqY~TNKIohx{>KeoLaA zU&x!XKa}@;_ljNRaJZ383Fs1*XsC^g234CHX~Z%&llh?`L$-`rJ8Tu#t&K2&T0XKn z2Jo(hoqG~eZxjHo+Gz3lZR{*kD}~)M^IFZNy$Hi?D~I=gzV?#+aF2d~C!9!oF;O+) z2JR`%xb~v(;e-xg&CI902xAQ-wHH!N?S)oQdx3684pO@s-L|XCge$FdWj{p4_{#B> zF0yebGCxRBTGx-Q@YF7qDu#YCwF^&#aRmj5p9o8l69&o&EtlF=9|o({t~9og{WO?f z`e`tLOQXdHQtUKXD+Nd<0x8#KyDm(OMGYj;fJEf%Kzes@!ZGa^fJ~bAm5{^USAs&B zumddkmyyqsQDsq(m9%8EYFaXSH7yyVf|d+)JMx~jtI_Rtb)`s^4KR}ZY$Icb$2Y)m zzl^z^m`$OW1zBL6W_Tu;t`XHN%mm{JJd!T(Xa<3Y`lFuW|IRArgoz|bin(Sb#oXF{ zu9ldq8SjZ1Z}wxb44}tnyzF!;pN$@m?f%e6>D!IKRU0ktkzNuz(%a%6=_M@E1V4;? zHoGwQAXN_Z|9qSM4X1hEeSy$XZFV(v&yi?{_Z$iG2tCD@oK=MpCVVS-Z@5xT6^2w$ zg@JC<3R>z_WWtr!yt1F~W_;!7nio?dSX>bER2HOqaauY3o+D3MXebIyuC&nO(!%85 zMS+IAq2!Ym!o*S~rG;8eX`xk9TIdy&7SL^@_UqO{?vRkn9(KXl;qiqW9&};1Gq9j? zViY9hIL+|HoMx!T>0AuD)M#;I@JZ}e)fPVnpQ6atQ#LL$dKY9-WDj$| zWh8)i!ARdAF%NH$P-GB#zIZHE7;jxtUo@+!FE%TwFH*UZ`XW_oeX-UT59y2916>$9 zJifk&8`;bi%6WPf}_^KJW zL#r9ML+ikuT3#HK7jFpMQ4bu^f0w*qW-_~~jusfW!)c}Tz#W2tJKU!mXgqL7mg=K@lF@jwlvv`0hb>_8IY z;sKf-xI;4p?x-k~RTQ*F&cn>YM8hSGm}S%h*4$Tm=qp}+}Q&h*SVon$%EGg!y8MC9-F*`Nm zJ;-?960>u_ynP?zWe;%`9JBLdBc;deP>k8(zFtP-F+2R%%TyMwlk2V;O&Fu1a(Mse zTk6@vbu=Na*r4g*Iy6JLj>;}L&UpY^7|&Nidr_+yuA^2nTt}_Lb!w&Spwji0aGfIV zWoG&Vd;L@`FkFYzO6fa|APyRq!4(v-$0e`?PfnoWuI4(&tO*mRQ#r){^VP2G0S;Q} zZyr$$2;c)L9uSZMBy@hM`L^tZIlx>4NjM;74+zkNxNd-^2L#ZA0Ra;wm)#=j)#hq7 z14q?r29B!L3>;PKz|q>=k`9?$ZwUk_;zoA1JI0($kp)pu;3%&dF*X-55P&P(;$Z+h z(MEEJHboZ3#hnyw)r@gds~O{_RWrs-t7F`1(RNU@Wsg~4?C|)a&19B5JN>cQL@7eF zahl<7;WnvqTG7@_wcJ$spyfvMSZ+!k zV~<+0SMCdpZR51Ul@g|=$)}VOAPV>fkg6OofYclkqABW;1g)BJ16noX2DEC%4QO@T zKrPn}$u)exBcohv7IBb5V<*Sh3$yI`z=FaCc+Ci5{;268a_Yelxrvs`{1Nqu53QQv z0(uq01)$qX1*KapCQd9S-Vk1<74b5O#vTNaH!p1zW&ZrwiWue(Ni%=w0rN-CWB!Qh zAJePpAJePpAJePoAA@ddwfR%awL@|(d-Ptj2(O;bE_P;b)2f>nZwioWyk^8Oe`vb- zqaHMWj9liAsLuoGdNrk+QBCP)R#3XtV&cSNB6~b1<15FP6FR%GoV@~2ocY6PMGW&t zO*4PA1LlvJLqeFs3W#d@$Bb(F$BZia$DrG!Hh*flc1W&ekE1mU5o=9$VJ3bko4d-3 zkZYV~#4vxfbn{0)X#O;FnLonB(I%vuL8~d<)M`pMt%B067855H6K{Ap?oeR$yX1rs zT>{JQY%0$D;j|)#`J=O&clct$*twmDDbKO+T4YO+T4Y`^joSaZpfX zzc+v!x;Wr{f`UDHgdQxTKtCC$74G|@O`WJk2#Uwacs`onJ${!SiPRX~-;d{wUyx{k z%<1TPyofj?{wei5zYCoAQ8%Q9^(r1V)As?SK;P{k0Nud$fG<1k3yH0V3+d8_&IR7k zx19^A4?H=z?G3J-zzr@Wh8;-tfn61GZW4@nx^MEH5g zn%bzA_Ak;=*~rX7D$55n3kX5BU1yu|YoCgN1l_0B5dlIS$u~xt)46-V< zC!vZ6Mxg-`D9EbSo`fnQh#*4)s$^AbPeK(DyebB$a6wkR_9RphL5DL8ph{N7_9Rph z!7XWkVHjl9Y)?X!ax6pmFBrDATdl6csPIV43+a&<_@Uv44j+_#ZQ_TD9|S)rW?*3| z<5vYf6jlVk;@feLl%-)21#3~T7M0~<1qxQLU@Zz(itnC0QudYQVmSrV@GX=_ibb$a ztOILQS@T#0R*kv%H`QTq*L`bnEkzs3+u3FN_zrF^-v?H|fv zrlF{NBn;tl4ef}`bkpTOoHnuobN9S*oEojvv2ICq<@6jpAk#j?OL>GKl;cB+lc<;x zH+LV#JMD-$X8%z~{5KD)R3xnGyI56I_=_q~pQ*9S#kKwXMJDC)7kQ6mtnl~?H3~zU zCYQ)xG>&=}C()77wif1^Kr5FtcUP{SqJZck^;zBJ5Jn1zFhuGz+3mBrpF?P7xg28O z<_(uakYk#2yBtC-lS8Pf93mo7G4j|HY30)0mD@}~TT`Gu(}Y#Ej#|Ob(-^ahQlg1t}sTS;TKd6 zn9yd)PB!zcPBx`Dmo~d_t29M~!!P3`Do8AgWJ4>7Ju@ZEh*m_hqSb_%MGjceg=qe{ zt?0Mzz^g^*ifb`IgtDSZVtUi8Xd;pott7T`N$UQyP*!xpiAD}s(Iu<6%3d9pFTH4S z(u-XxY?dUwIOiqfG%9Aq>>jaZNh9W*`YU|Inwq%p=Cqbfs)|yGDYM~FB6$v!#HJca z^BfS7JO^sR03-W72WGhkd(1uOdbmtgRf+=~DbsV1=BYD_IOMWXV}$Y?(8R(`^BfSN zJO_zgY?9_VAVPT#5>8RF-*Z6Za=8SN-^wPG0v-B#nVtgt$|NGuEGIY?|8k~Gf& z5z2FrFfHkR&p|P+y1br)eGWXn=b*qdV^k>5ftr}!G|vGQ$#am{$fjwI11gf^AmKQq z`~3z)DfiqMwExE4_u>wgsz#ZTixUkMr%*8?Hp|?6PdloAtP%4Mu8U+sC$`mSngvaT zvY-=&2;FZ%8|5+>RdgPWg+0lp?)j5t|3Lxo&RNTth@+r>WI{JJ3^`# zBbOWS)@fnG>ui@hF*%j~7WScIn$-_FuCQ-pt%^KnqR9)qp6Gc#=`Kd7X->W;KfaYB zzUINJ7%E8(&N!sA=~b=4ea0(Z;i>YJSh{IkK}n_~%E=X*B)L)gh?y32xiHCH8#2ap zyxplLJ7MF&b+x#aSVOpT)_Hv4kM4*=Z%iDM6MyYp1gyOM$@{$_F?Vs-w8(~C*XI&; zw4%;}?Zm!%t^`HPLp-sIr+f2h-1=xG*sbOl5E2@#A+rJ%csK%NUAZ_41txgvIVrGP_Q=%hbfv(eQ6L45G`H94* z^kqV(Z859<439J8V>ZRV6YG@*n(__s8ggD0VqWZS*#5)Wr_Tik`+3`}nR?X^os6-? zY3*e~_myOWllxZoAUgn1eLP`15`dyFfFSWIODg70O+d98@S5< zQdr~&-UQxI;R}X>As4NH&;07&5^=o?F!s|m1<~TejG^(W!Xon^)P}pBIGm1ZtL^cP zNQe5$O$Y#@OQ;8sqy5U}bgWXrA)tB(KcHI0PahdS2p}~O4(9;`l7;!qjZoT`N}LV= zQ3aK%10Z3<7mnos+mNvAB*I?~&i(%H5aUm@#NmT$@cl5mDfUIYCC>JrMRcd=-G<6YAW`)I?&s%Y`K$I*42({{xz(;ttPtt=Uqt>`d^ zyK@-IBNgTObR=k5@50xg>MuX@OF4`j2w6NNGupsBwk$nwy;76MGn`|!@Ba)TJ;VNHl>GO3KN$(cNC&NYPl+)7n^P`CXq(#f0}-cb4brCsp+ k<|BQt>7nvsm)PL(0rqM@XAhB7PT`Zwc5>HMsI_g-PlJwnsQ>@~ literal 0 HcmV?d00001 diff --git a/adder/incremental_db/compiled_partitions/adder.root_partition.map.hdbx b/adder/incremental_db/compiled_partitions/adder.root_partition.map.hdbx new file mode 100644 index 0000000000000000000000000000000000000000..9fc6925279c57b56765b67dd121099d982a806db GIT binary patch literal 3202 zcmV-|41M#g4*>uG0001Zob6p(bKAHXeU5(xMlVff+?crVmdrlLin6P&ZK;x+PWqyu zD9C1461BV}@n+KBUVPxi5KU`Quahve9->$v_z^e&4h|1M>f{9V!tG5ITwN#VdG7_n zu8aRp48uj;Rl*}r9FDeO?qZe_Rl%D~A21$&%=c5tYdQq|w8M82Oav3Vi>1}RM zm?qmaLCavpW3-IIb(XqB;u>ffM*J!aHdpfO=fTEbr3?7F;2#2?qiqykMc#T1NuelF zuufO9Dp|Z2x0jJckK@n}yo4{%BJ|TW-y~UPacZy;*IH*^p-%kMHsW!-x^4IScdk)%_7La$%~xBI?76h&O|Xc0&qBclFnm43byhh-K&UmD8g}Z$~nLC zL~C&LHb-gaWF@~SZq@?ccDo9EZ@%Jam1p&Cvz2Ha%K#sP5W8QOZwWAAOOy!N2Q302cY;ziiMS6$v-!nxIYxklA=^j;<|R;A?b_o{5xa$;}oD zaWNd9chAHno-J42RV-4T_lD=A!C8Mido!5yhVT00{+nYoxENhdkI~!l@O(BIUXFYH zV>BA~XOs6A(_i|N!Q>d7_4;RLB6T>Ks>$yLlfe{1OwQiSrrpt~dwSM?0av^nT*#a= z8MQaOyqJpX8*nK0uld@Wm1XLT#)I?0bnvb}o4z0QJIAQg>rTYw`xg_L3@N|%`=gGG z97q0a75W)P2&BEmf=4gsi{*32I7S2;Onfp2{v^f=K>te#k|{vK2avEs3XrhFsvuDT z5;=f`P2v+4cbZr;_ z784*H)RB}#r8=tektOa;P7mrxN}^I7-QgrdfOJqtpot1~WK{(u(Dp$cfhH;@HoGby zfwT|m2sBZlj_j&{1j;_BBO!`%gq$i}i~F?R-~bRvqEa2*xlK$4nUf^}f*}fqC`=xO02sn!h=L&~gUnQZ zl}8~ThBO9WDP)0|5CbAId3gu{q2Va}EfkKZS>DXu(QI%rnTmuG(aVWw;{L@nYv0;N z7m26)o4nhb4u%)A(GXg4JRDB%ZGI@sokl(H_Qu1vmls)-qG5j?4=+dMx3W?BCJB<8 zne59&lS1PKn>g_{J}-}Sgl)!x6q^Y0*VdO03IVg(7Mn)i2W zO#tqzGSs2A#<*FDo~jUR8-mYfti@FA1G>S2HbuSwMmtH1yY6TOT>r+W-3fPRQiTSMGg}x1|a)y zqz)TkjIKpZgd6aaTgHf8MD9W$;E^N3_#l$3&^33suCetYQl}fbQo2 zCU;N}n4y^~=^#@I6%Mcz4q$DiOQuDaHYwrz*rSS+;$PdgVdz6>?CtPAU#TH0rOY(6e<;RcEy`w`e$sUm5hMfXTmY$FTkcy!o;wLB^C1eA66 z)rQIftu^Vej!TCvz$v3lyKeo5swMcgblH<;lYIhA$EC|2FU{1d zS?8xvtPYUY+H_dUrz0TkGqpTRu2hqatK`I%8~zA>i)mb#XNcdR<+>8y}w zt5w!K?iKCyq{(;R5Yh7LB$Z@RPOx#!5K(uh;MSUTSj(-GRFd%tV>MO*Q+*Y(`^2sg4OrY4NN??W&@>1&+4XgQPkh zBx40pF{g&8)U_q58ju7x@kxpAyjjq0pyt7k^Q1&kMW=b21s|)5ZKMDlZ;m;|!yqQ9 znOD^9Dzvr69Mv)AOkC`(HqDxwX{P!PRJG;oCX(fMw&iH^$kKk=M6yqH>DY4eweM1( z(mDf$n(FXqtu04&Y&lju(Nd@6)je;twVoW++8}6_PqVmnjH@E0T5`;NGK8zGPTl4a zE_0tW;qDt9T6+T>R=$a)hH!V@#nf6qj_UYv1Q+{^N!_~>sHIFzO!edNH}UolhFrrY zai(L)vEr$S(m6TuV)!vk`748`Z{E({irS;kAG}q{ch2v;mcKSR3*Z+8W1N3m2H`A? zc{Ixb?Gz!3?DAm5ujE{kCHdicEB;?7N#4d=-Tc9e-}hUk*kYE28Ma6zM;I;SuLD|n z`g&o`7Qq#d6Sz8~?$RPl*JZ9l=X2BG7`sc)@!4|enz$&Gx880=F`~RS#_KOC3Ry!} zfmqB>GA#W0r`<|f^PQ8oe17JSP$3%>EQ7fs%x6vN41 z?qB00p`n-F~gTy>0?on + + + + + + + + + From 272185e4d88efa6c1a14cedd7e1abcdff6ebaed6 Mon Sep 17 00:00:00 2001 From: juzeon <812312770@qq.com> Date: Mon, 7 Mar 2022 09:10:22 +0800 Subject: [PATCH 2/7] =?UTF-8?q?=E5=A2=9E=E5=8A=A0=208=E4=BD=8D=E5=AF=84?= =?UTF-8?q?=E5=AD=98=E5=99=A8?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- README.md | 10 +- adder/adder.qws | 4 + adder/db/adder.tmw_info | 6 + data_selector/data_selector.qsf | 3 +- data_selector/data_selector.qws | 10 + register_8b/db/register_8b.(0).cnf.cdb | Bin 0 -> 1120 bytes register_8b/db/register_8b.(0).cnf.hdb | Bin 0 -> 743 bytes register_8b/db/register_8b.asm.qmsg | 7 + register_8b/db/register_8b.asm_labs.ddb | Bin 0 -> 3779 bytes register_8b/db/register_8b.cbx.xml | 5 + register_8b/db/register_8b.cmp.bpm | Bin 0 -> 576 bytes register_8b/db/register_8b.cmp.cdb | Bin 0 -> 4557 bytes register_8b/db/register_8b.cmp.ecobp | Bin 0 -> 28 bytes register_8b/db/register_8b.cmp.hdb | Bin 0 -> 6922 bytes register_8b/db/register_8b.cmp.kpt | 10 + register_8b/db/register_8b.cmp.logdb | 1 + register_8b/db/register_8b.cmp.rdb | Bin 0 -> 15791 bytes register_8b/db/register_8b.cmp.tdb | Bin 0 -> 3307 bytes register_8b/db/register_8b.cmp0.ddb | Bin 0 -> 53718 bytes register_8b/db/register_8b.cmp2.ddb | Bin 0 -> 41256 bytes register_8b/db/register_8b.cmp_merge.kpt | 10 + register_8b/db/register_8b.db_info | 3 + register_8b/db/register_8b.eco.cdb | Bin 0 -> 175 bytes register_8b/db/register_8b.fit.qmsg | 41 + register_8b/db/register_8b.hier_info | 43 + register_8b/db/register_8b.hif | 42 + register_8b/db/register_8b.lpc.html | 18 + register_8b/db/register_8b.lpc.rdb | Bin 0 -> 399 bytes register_8b/db/register_8b.lpc.txt | 5 + register_8b/db/register_8b.map.bpm | Bin 0 -> 561 bytes register_8b/db/register_8b.map.cdb | Bin 0 -> 1205 bytes register_8b/db/register_8b.map.ecobp | Bin 0 -> 28 bytes register_8b/db/register_8b.map.hdb | Bin 0 -> 6564 bytes register_8b/db/register_8b.map.kpt | 154 +++ register_8b/db/register_8b.map.logdb | 1 + register_8b/db/register_8b.map.qmsg | 7 + register_8b/db/register_8b.map_bb.cdb | Bin 0 -> 688 bytes register_8b/db/register_8b.map_bb.hdb | Bin 0 -> 6061 bytes register_8b/db/register_8b.map_bb.logdb | 1 + register_8b/db/register_8b.pre_map.cdb | Bin 0 -> 1063 bytes register_8b/db/register_8b.pre_map.hdb | Bin 0 -> 6683 bytes register_8b/db/register_8b.rtlv.hdb | Bin 0 -> 6680 bytes register_8b/db/register_8b.rtlv_sg.cdb | Bin 0 -> 974 bytes register_8b/db/register_8b.rtlv_sg_swap.cdb | Bin 0 -> 192 bytes register_8b/db/register_8b.sgdiff.cdb | Bin 0 -> 958 bytes register_8b/db/register_8b.sgdiff.hdb | Bin 0 -> 6711 bytes .../db/register_8b.sld_design_entry.sci | Bin 0 -> 168 bytes .../db/register_8b.sld_design_entry_dsc.sci | Bin 0 -> 168 bytes register_8b/db/register_8b.syn_hier_info | 0 register_8b/db/register_8b.tan.qmsg | 10 + register_8b/db/register_8b.tis_db_list.ddb | Bin 0 -> 188 bytes register_8b/incremental_db/README | 11 + .../register_8b.root_partition.cmp.atm | Bin 0 -> 6467 bytes .../register_8b.root_partition.cmp.dfp | Bin 0 -> 33 bytes .../register_8b.root_partition.cmp.hdbx | Bin 0 -> 1872 bytes .../register_8b.root_partition.cmp.kpt | 10 + .../register_8b.root_partition.cmp.logdb | 1 + .../register_8b.root_partition.cmp.rcf | Bin 0 -> 1164 bytes .../register_8b.root_partition.map.atm | Bin 0 -> 3540 bytes .../register_8b.root_partition.map.dpi | Bin 0 -> 716 bytes .../register_8b.root_partition.map.hdbx | Bin 0 -> 1659 bytes .../register_8b.root_partition.map.kpt | 154 +++ register_8b/register_8b.asm.rpt | 129 +++ register_8b/register_8b.bdf | 1004 +++++++++++++++++ register_8b/register_8b.done | 1 + register_8b/register_8b.fit.rpt | 952 ++++++++++++++++ register_8b/register_8b.fit.smsg | 6 + register_8b/register_8b.fit.summary | 16 + register_8b/register_8b.flow.rpt | 120 ++ register_8b/register_8b.map.rpt | 218 ++++ register_8b/register_8b.map.summary | 14 + register_8b/register_8b.pin | 278 +++++ register_8b/register_8b.pof | Bin 0 -> 524488 bytes register_8b/register_8b.qpf | 30 + register_8b/register_8b.qsf | 53 + register_8b/register_8b.sof | Bin 0 -> 240789 bytes register_8b/register_8b.tan.rpt | 216 ++++ register_8b/register_8b.tan.summary | 46 + 78 files changed, 3648 insertions(+), 2 deletions(-) create mode 100644 adder/adder.qws create mode 100644 adder/db/adder.tmw_info create mode 100644 register_8b/db/register_8b.(0).cnf.cdb create mode 100644 register_8b/db/register_8b.(0).cnf.hdb create mode 100644 register_8b/db/register_8b.asm.qmsg create mode 100644 register_8b/db/register_8b.asm_labs.ddb create mode 100644 register_8b/db/register_8b.cbx.xml create mode 100644 register_8b/db/register_8b.cmp.bpm create mode 100644 register_8b/db/register_8b.cmp.cdb create mode 100644 register_8b/db/register_8b.cmp.ecobp create mode 100644 register_8b/db/register_8b.cmp.hdb create mode 100644 register_8b/db/register_8b.cmp.kpt create mode 100644 register_8b/db/register_8b.cmp.logdb create mode 100644 register_8b/db/register_8b.cmp.rdb create mode 100644 register_8b/db/register_8b.cmp.tdb create mode 100644 register_8b/db/register_8b.cmp0.ddb create mode 100644 register_8b/db/register_8b.cmp2.ddb create mode 100644 register_8b/db/register_8b.cmp_merge.kpt create mode 100644 register_8b/db/register_8b.db_info create mode 100644 register_8b/db/register_8b.eco.cdb create mode 100644 register_8b/db/register_8b.fit.qmsg create mode 100644 register_8b/db/register_8b.hier_info create mode 100644 register_8b/db/register_8b.hif create mode 100644 register_8b/db/register_8b.lpc.html create mode 100644 register_8b/db/register_8b.lpc.rdb create mode 100644 register_8b/db/register_8b.lpc.txt create mode 100644 register_8b/db/register_8b.map.bpm create mode 100644 register_8b/db/register_8b.map.cdb create mode 100644 register_8b/db/register_8b.map.ecobp create mode 100644 register_8b/db/register_8b.map.hdb create mode 100644 register_8b/db/register_8b.map.kpt create mode 100644 register_8b/db/register_8b.map.logdb create mode 100644 register_8b/db/register_8b.map.qmsg create mode 100644 register_8b/db/register_8b.map_bb.cdb create mode 100644 register_8b/db/register_8b.map_bb.hdb create mode 100644 register_8b/db/register_8b.map_bb.logdb create mode 100644 register_8b/db/register_8b.pre_map.cdb create mode 100644 register_8b/db/register_8b.pre_map.hdb create mode 100644 register_8b/db/register_8b.rtlv.hdb create mode 100644 register_8b/db/register_8b.rtlv_sg.cdb create mode 100644 register_8b/db/register_8b.rtlv_sg_swap.cdb create mode 100644 register_8b/db/register_8b.sgdiff.cdb create mode 100644 register_8b/db/register_8b.sgdiff.hdb create mode 100644 register_8b/db/register_8b.sld_design_entry.sci create mode 100644 register_8b/db/register_8b.sld_design_entry_dsc.sci create mode 100644 register_8b/db/register_8b.syn_hier_info create mode 100644 register_8b/db/register_8b.tan.qmsg create mode 100644 register_8b/db/register_8b.tis_db_list.ddb create mode 100644 register_8b/incremental_db/README create mode 100644 register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.atm create mode 100644 register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.dfp create mode 100644 register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.hdbx create mode 100644 register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.kpt create mode 100644 register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.logdb create mode 100644 register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.rcf create mode 100644 register_8b/incremental_db/compiled_partitions/register_8b.root_partition.map.atm create mode 100644 register_8b/incremental_db/compiled_partitions/register_8b.root_partition.map.dpi create mode 100644 register_8b/incremental_db/compiled_partitions/register_8b.root_partition.map.hdbx create mode 100644 register_8b/incremental_db/compiled_partitions/register_8b.root_partition.map.kpt create mode 100644 register_8b/register_8b.asm.rpt create mode 100644 register_8b/register_8b.bdf create mode 100644 register_8b/register_8b.done create mode 100644 register_8b/register_8b.fit.rpt create mode 100644 register_8b/register_8b.fit.smsg create mode 100644 register_8b/register_8b.fit.summary create mode 100644 register_8b/register_8b.flow.rpt create mode 100644 register_8b/register_8b.map.rpt create mode 100644 register_8b/register_8b.map.summary create mode 100644 register_8b/register_8b.pin create mode 100644 register_8b/register_8b.pof create mode 100644 register_8b/register_8b.qpf create mode 100644 register_8b/register_8b.qsf create mode 100644 register_8b/register_8b.sof create mode 100644 register_8b/register_8b.tan.rpt create mode 100644 register_8b/register_8b.tan.summary diff --git a/README.md b/README.md index b33db2d..72d5852 100644 --- a/README.md +++ b/README.md @@ -1,3 +1,11 @@ # quartus -计组课设。 \ No newline at end of file +计组课设。 + +### adder + +8ä½åŠ æ³•è®¡ç®—å™¨ã€‚ + +### data_selector + +8使•°æ®é€‰æ‹©å™¨ï¼ˆäºŒé€‰ä¸€ï¼‰ã€‚ \ No newline at end of file diff --git a/adder/adder.qws b/adder/adder.qws new file mode 100644 index 0000000..fc216dc --- /dev/null +++ b/adder/adder.qws @@ -0,0 +1,4 @@ +[ProjectWorkspace] +ptn_Child1=Frames +[ProjectWorkspace.Frames] +ptn_Child1=ChildFrames diff --git a/adder/db/adder.tmw_info b/adder/db/adder.tmw_info new file mode 100644 index 0000000..f9d7d70 --- /dev/null +++ b/adder/db/adder.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:06 +start_analysis_synthesis:s:00:00:02-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:02-start_full_compilation +start_assembler:s:00:00:01-start_full_compilation +start_timing_analyzer:s:00:00:01-start_full_compilation diff --git a/data_selector/data_selector.qsf b/data_selector/data_selector.qsf index acc75cb..a1faa02 100644 --- a/data_selector/data_selector.qsf +++ b/data_selector/data_selector.qsf @@ -53,4 +53,5 @@ set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" -set_global_assignment -name MISC_FILE "D:/projects/quartus/data_selector/data_selector.dpf" \ No newline at end of file +set_global_assignment -name MISC_FILE "D:/projects/quartus/data_selector/data_selector.dpf" +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED" \ No newline at end of file diff --git a/data_selector/data_selector.qws b/data_selector/data_selector.qws index fc216dc..42962c6 100644 --- a/data_selector/data_selector.qws +++ b/data_selector/data_selector.qws @@ -2,3 +2,13 @@ ptn_Child1=Frames [ProjectWorkspace.Frames] ptn_Child1=ChildFrames +[ProjectWorkspace.Frames.ChildFrames] +ptn_Child1=Document-0 +[ProjectWorkspace.Frames.ChildFrames.Document-0] +ptn_Child1=ViewFrame-0 +[ProjectWorkspace.Frames.ChildFrames.Document-0.ViewFrame-0] +DocPathName=data_selector.bdf +DocumentCLSID={7b19e8f2-2bbe-11d1-a082-0020affa5bde} +IsChildFrameDetached=False +IsActiveChildFrame=True +ptn_Child1=StateMap diff --git a/register_8b/db/register_8b.(0).cnf.cdb b/register_8b/db/register_8b.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..252bdf3a1a35992ce966737bed48ec7ae3ed38ba GIT binary patch literal 1120 zcmV-m1fTm4000233jqLb0B!(300011WpZ@6CZn72t000000Eq(t000000Av9G z000000AK_F000000C)lHSGkT9F%b2z+&7yb@fF+h*;Unc%}kHF8zEL|k37EW)pM1PF2@+-KJs=5;Wx^^k)g`c47C}4$EAnu zU*KW6hmze}{6k_nzm=-^qr@R?NZExx44Iuf_Y@HUrg)jU;3VI<>!p3WYwEi;b)x!m z&u=Q%EbR9(oHO4w^bot}H%4(AdJy;ZQQU?e#C>fPx1k4dUmeA5=t109MsXW@5clO# z+=eDM6Yh|7iD>yfI3Q`|=k*rvkQ4Qi`zX?MH&URzHVgm2Jzeb?!L^@tlb3!8hUHwiUh}=$Dz{e&YIhD>s(EiZ}+^ zbpPa4vn|0}Z=v(?IO#8N8O{F^*Y@Tb`EaK;zMt*?Y-~}_)7+Wt`vBj3MDFqnW#A1n zl|Iw#aYwRGl0P%9<--|T(5EM=b;@c>+;_(Zj`Z zjZfq%+sQ}0lq+LbJPp?JmGi{@0Ip40PiQF9WgqQnQ6Z2I?X(siN1Hjuevjka6({pT zGbhDSh8gEA@;L(EMoM7q!W;CHoB4Fhk-`Jvi(G{Zc@6>lFD3Xg+<)-!ku>Y#V%;<% zF6v1r`hrrGmnDggIijQJBhhWAGdJIfDLP1#UPC*#C65Z;M(VaDlXU6SBxSM)Q~vImreF2 z+Q{@A+PNEsGTF;-Bhxc5@$l1ou%ow4)%&(!OQ$Hc_n@^H@YSa7p#Lm2R$H$07;ERE zyKPxr>Tx=!xrm=8zlbATG5N(gM4JD=FU!O~aL+u>ca7t(WcAaB&MqI{Y`!|3a))K8)QP3ny5QgrwS00960cmWb%V*mmWAq2$1?tbxpt|302!6EUk zej!1T4dH(>7(pr+9Ka+40~3%2DRp*niVt>o3~_~NsC=W|!VXr{gQS!nRjI#=>x9*d z7jS@8ctF*u0cimgb^al)LB5;xceAiENC72Ga4Pf!DYV(T$e4v83aCf{s<0DCa|5xn zyJNh+lb5S=$hxG@rkr3|7N{DKN0@`AO-*c0RR6PkPqkp literal 0 HcmV?d00001 diff --git a/register_8b/db/register_8b.(0).cnf.hdb b/register_8b/db/register_8b.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..7f81ca7813e9bc6a4446492d92a6f1d938bdcf02 GIT binary patch literal 743 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXATQVEa2q55Ng3w-!5c)ZkU%|L1*xK)~g9y+4 zSwew zx8ChqC!G+ppzh-P#Yg~c?2W6>onO*VWxE5c*byl}_er%ND z7U#D-EBCAv^0{i{uHfVJQn(02Jrv#{cw@)8Pik8WzDq7o&0Nj$JSRqK_AfEL-HK;; zI{h>EjPB2fYa`!yHK~zfe;)Y+3Hk+pV zS{-(~yl%n_x5hIZJ@sx&*Ke%U%9`?f$%;S)VNSqUjU|ZzY=yOHm?$Z}d`C3^bCtP|b#r8 zVrFKak&?imIh83%{dmIljtYMN>k7ve()&;RVEDgaLWRG*d41lyQZ9jF)&)@xY#j_6 z^EX%@`g-u3+`4^z@;a}--aL3}!rABTTHi_-cU`~Cn{oWUm@jXEhrxk4JVqi@fgu6fze8 literal 0 HcmV?d00001 diff --git a/register_8b/db/register_8b.asm.qmsg b/register_8b/db/register_8b.asm.qmsg new file mode 100644 index 0000000..5e7b877 --- /dev/null +++ b/register_8b/db/register_8b.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 09:09:56 2022 " "Info: Processing started: Mon Mar 07 09:09:56 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off register_8b -c register_8b " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off register_8b -c register_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Info: Writing out detailed assembly data for power analysis" { } { } 0 0 "Writing out detailed assembly data for power analysis" 0 0 "" 0 -1} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1} +{ "Info" "IPGMIO_CONDONE_ERROR_CHECKS_DISABLED" "" "Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled" { } { } 0 0 "The Active Serial/Parallel mode CONF_DONE pin error check is disabled" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "241 " "Info: Peak virtual memory: 241 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 09:09:56 2022 " "Info: Processing ended: Mon Mar 07 09:09:56 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/register_8b/db/register_8b.asm_labs.ddb b/register_8b/db/register_8b.asm_labs.ddb new file mode 100644 index 0000000000000000000000000000000000000000..16465da62d93556d815f07120cb6f0547c7e3f82 GIT binary patch literal 3779 zcmaKvdpy(oAICR__B*rE#M~#fl46)N7LLo@7AJH$s&lqnW^`6&KPKe*8*<4lHcVmW zQismrR4%7zU2vGoDkV{3KRTndBE|9hZt0}+$8Y;%+hhBD-tW)*^LjtuudN4xKtF#2 z2GM}0J@Ecu5Iur=l7_;WVo;9%rk)5ySy)=3FuTpnt<5Yj7#zwsh#pA|2ts{F4hTb8 zpnN@0hk}lyTmq>KVA;_I1z-;l=nP!`0{Y?83rMi~nF*F~_tA`8H~z;rML7iNUz7NK zk557zNO5#N$s{KG&f{L6)@H9+*n4Cgc&wd%Cm?rI#o_jVgP|>T@6^6K5NuMg^UNlD zvt++NCxOSALvP=QA;(mWgs0lqrzySxMJM1L^ik_`R5}$W!19l;!=(-*9}Q9)eoSpZ zuFw}eOW#yx=T|>(8+!lkJw^>RRyPv6h`s!NPwZaZY|o;GDv8}#(em>*9)H{hGpjUxkBCeseS9FE)2mU(j?dKhGCB2Ba{^}iP4NKY4~m_ zp$TRj&V0r}C}-Vu>P2J$VG7&Z((glNpI%y=s~s(X)A_lUFS4#4Gw`{)qs4c{tv>v+ zq@T`$JF*o5uXD+p?IHYcyD?z?IwTKFPb4XXLGox)d zyy<`_(W~|FbtL*!<4+7hO4K&nH_GDFWG(Id`dq`!s)#BR=hm^VI|_DA|jR z5E}&8x({`_rLmy6{8D$}5)y~tQnxq@c>PvqdDI*W;)hKa7cL9`(K69DXjfO67fX64*X=@Pri8GkrlAq?9n~;yTIhC& z`2JK4&J_y7`69=^>#GzwC}hlRvGIi`3QxFeI2MV7;V>ep+sYq35vd?8j> zyb_#58I^G67Hl2o3$44Fo!^O1zMBspMeV$(U3$;0(watjt>MJ@N^b}%_Q{X=5t*sQ z+q6YVC78fHR^Bv=@(b?vzVKwBGo@SYQ>X!v(Xgsa0iPqLMLM@ukf5w^FgLU;MB*`^ zRI#jL^yHoSfJsGhRFZr3-lbYZ&*7IXl(NkxJH>ViyjNMWAg$)5@uz~%i|*ic2`?H1 zi!`P!e?80Y($rwRK)2xov#uf7eA+Ga@K4sU(`LOx=b8db!^%RI>OgTenPV#&%RO=Ug)QAH_Ryb^2oWWDa4N;=)__d_}&rWZmZ7Rf)bH4%)xFrxDPg&oIg#dwHw^8p2@sc>~cm zS`4nEZUM?dn$j0Qs3}-SZNn^eB~MJ|H&cF6=YVU^jIxgUXwAW1y@H5;*jvRJ3L#Ae z{Hn@MJnPk39s@%xsxA}PY8_n>m+t?RV71qF~NYP}|3}aYem4)0*TnBKaimY#~ObuK`M#^H| zC?X54t=DacwFzN#%Jf_{j43bn?X4C2MXZUn>%E&%kskps&|$Y1lw7nt0x9etu(ELG zk$t9`Qth<>KI1=QunQiN{??UJVR_!EpEE_2T}h>%4%#oG4)>rmw0`^HB=S**YMI=X z45$-%-Dwo2B7#jvFoHAMH`;vQu+p%^1Y-p`o%WIksq2ebPqff{irqsWM=sCxo)7mR z_oIDJE6c_a}AKn6lE#^ap4%z^*QD=ZS9c?qmYJx+7JVw1!fef-|@R zw1$kdtcv1Rp2fJ<=#vDXF=L;2RL;PS ztvSy%&ugk&Ewl7cnxCe?c^3S&ItN42(HDzbTU>K@%OVo(BW~q9zmIS3g7nGIflWQq zQn3|c%Z+q$)<(Z^igZ{zYk*uO32U0;(Amwy$7Id%NyzXTs*1W~!;DM^6RGWA3iqo9 zTTS;|xVaU)L4QZUP~GCJH14rZ!`$!0vUr1fsi(1bc=JMdnhJR|)f(dhVo%c2ezrq? zxST|pe+!rl#_$~R*3tb|dLuI*t*DTm^h!c|8`Oz^NIJnk@R~R@BNGA8%Ofdg(93e! zGSGwDHA~16{(*nxcnuSiT-Wo0je0()_DH%{+@|KwlYU zp z``b3q(SVKOIGsiUr~e0`&jfoKc~8}dr4M55H`qX`qVwO+z6HrxoU`O|f9tMbZ9S|_fl~;he<}wk7RKW@vZGbC99wIQ z$C1~$gjM5_Xl(s0Om}#)G+)8wpT%HAX2_9&el@=`A|p@fiGzq7R&` zavSa@qYKb7PykM@JNEag6L5*IBgRzniT= zI!OZ-eB~%@X8xa}T;X2JU-g?Z+quZ~)Eqr9*t-mzPwrN1}R*TqN+_ZiDkJInxo0COLkvj8ZnvEdsJ zPxVCgb1uM*CO`d~`CTn8W8b(};mQP@Wjen9-qU5@()hu^J%Nocklb`(K~xjzu%vC< z&D)l(R;fmp7vqQLdgxJOe^dh&+SoU2qHY_5FYio?Ic1q6O`F&FZ3jb=Q1j2mTy?C# z5Ng&fS5gFS^347pN18lj%$9iwez7;wqO^FMHRpuDazz;gT3KO&Esh6(6woLQ$nkrm zF{XiQHFH~E4%6G-I==AAHN#Al5)4n;SdpjMN$}BXd(bv$5U8M-3}Xk9_Q0N7$o^ff Gto#oWT&=$V literal 0 HcmV?d00001 diff --git a/register_8b/db/register_8b.cbx.xml b/register_8b/db/register_8b.cbx.xml new file mode 100644 index 0000000..1794d22 --- /dev/null +++ b/register_8b/db/register_8b.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/register_8b/db/register_8b.cmp.bpm b/register_8b/db/register_8b.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..384985cf3755ae06a8e44b4510a8624e8fe39dea GIT binary patch literal 576 zcmV-G0>Awa000233jqLb0B!(300011WpZ@6CZZ!u0000000LB3T000000HXi^ z0000006+o&000000C)l9Q%y_5KoE^zAc7wB=*d4|YPV|jCiLP-4_+m-=^~Lf8#miu zEO_(oMdQ4{&Mp5)a@vnS|`aM&>J&Nn(FkrB@aq}&$ zbza*(-{r6UP|pVC!F%20@@#N^k#x2^qD~mW&T7s9Bg>q1$jB;Z9W(Oev(ynI1k`m@ zpAo6k`GhD&R=8J>5$pu#2Tfg3x#JNfwZN-6(hoNch>}UTx{`jZNy$b;w@fQpq6r)- z9O2ECDXu9DPUFIwTb;TxzMBoLo0YNEH(BYlP5Pra%TueTI(NfKG9JaLnHJeZkCTF^ znafQ6fxd5zaqsWY4@%iED?4L|+vKbbX9P~eLI~F=eD>53!i3@TVp1Obc|rZ7{~zwt zPsM>(_&W-Jq+e9Qc43Q4nR7Ryd)i;)-heib`fq9Vu{VCgFP4_|3IG8A|9AoV$jSf& z%s{LR#7+Ue@lO7selCtdk?{fkK_T(Jj((2ru0ioGjv;^D|T!L0T9Rz$60$ z6OiTuVvybd$Dk0;5Kn(Umw2Nrkf96=EnpI&OcKZjDf94jadGuSwdv!x;tG&a3=BG8 Ol7T@DN&^4@0RR7K#QbLf literal 0 HcmV?d00001 diff --git a/register_8b/db/register_8b.cmp.cdb b/register_8b/db/register_8b.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..693061400e2bdc65e41d3efabfa0359e87d79f9b GIT binary patch literal 4557 zcmV;;5i;%%000233jqLb0B!(300011WpZ@6CZl6*B000000EQ0$0000004xLm z000000L>8q000000C)l9Tno@uRTVz}6$J5>W{Q*oqei?ed{Cg`E(Mi|#&RlqO%G*y zOr|I~&OlwJsm6@bUQ}Z`w`Lp~n~ceZAu%6Q8Z!uv3T3`vG=`_Vta# z?;f2gH~RZ-e>H_iaY<@-oH!YBYW-4_MA^zbN>C$FA-VHl&Uwgj!TxXZW$t)vCapqGY%Oy z;}=riiQe+)kG@~%>?WkEUhb6zLjMnl`%BhI()m}`o-7}2vzmRAVae8kB>B}NQr8PU z{pmnD8u%PNMWmf2Qk}^*d={+S?I~Q^Li-G#&&{(I`Shu31brZ{{Xrj<_C@%*-8k~-%iu85 zHoyJNH%RLue4n{Y=vCr-{r&Cu8lIM#jWND+-XoOQe=kvd7p*VHcf)xmIUk>8`<2s| zDxDVO;a^`Z?Thfe?O>r-iSOby?f9}+Vr%$X8sqzi_lkZoz6%uJsgIZA`!${4Mflvg zQsfumvuqIXnRnZs<8$rQe0y8c$_KRfSh$H)A| zQqi)t|JwSu?wE3Xp1VQt`2pDS=Fhk-<@T?>ROnUOzw6;WxBsUF_Ft^?XI=;UH$GKv z|Jp%VpXciNBEVRb>f)E%e|TnLleo+}J`*zC5v`-P&YX$|L(;cIbUTNPJ4@*CJW~I3 z&+0j?N*j3iW#F@B=*yStSU>Y6Pfq?8(M`uWhY0-zG=^Ok9P@_RPCQH{t*z;6GHy^v=454$6 z49C~#R~;^6Qsje|om<_QiR2`is~JA1g*q-&9~`0kNzpv|?5D-X*ajTK9YnfaACeBL z@H>&5B=H^j*1;UPCyZ{vk(Y;5BdYU3B* zDGX0XI-0M|yGCp%vT-EdD^Nl_j-SwRT=@l&U*$Mnt>YN4hl|w4R}T~&;x*rFTyv(_ zQ1tBhb#?Y4431wb?FR}lT=83>^EdPZ!|1%5s>g_H2}6w062<7MbA8~nVf?$9`D?@b)Vx{;WeUfKMM7)AdeStj_-*XNPku7*rJ_| zhr8QTMh-k6xqHV51NFZh?IFj(=bP>C`lr~(mv>=%EXBV4yhB^|-7Ku7Un`ZU6jRSR>&{MJLJ*7?q`yiganRL`ze`PW~3Z|(kN`i1_rLG2IyqsYHb zQ~N^yxGwn~0z<}J&N`$<2Pv^7~?j*Tmq~!A2 z*Ha{cdl-Hl_O?mzO7;C7HVIkhKJ|p2*a)-=k1_QKpvt{wUM$ zJ_&c-a3&{7QNN2ave@spAZuo(mS5EFMlFuS4p|Lb!TU4RT3J$l@Ir8EqvI~wt_}Oi zLjm5278b~LEqd6HsU-?iP^-JUG7|;vP38R-p>RQ_2ljg%Wa;{>JrD&vdDZcd>a+?8 zQCNZ6Rt|Y>6hBz_+CFdQ%h}Dz0TU;1AtRikWd@$+jnMa zIVPv07L533fn&n`FdP%E?cI5%K2fVM_WNtd`ctL{_KSzv`s_?C`(3@q{kF8NC0R{( zryoN#XT z?{2?Fx5}O9E6mZvAo>}Hue>!hIkca-tsqe&kjeL$St z#K8-?l#yjinP;Fj*l|6LFGA82?H=oX2Nr0y|?>$kNAA=HpBe7klFo3g=@zAVZ z&=zzFBX1NK+R)CSiqYTB^0~o9n;-8R=$>eE!XeApJKAhiHQMYW4|VpNbt?KY$CURA z%Fyl`T(tQqzX7%O3bbvSTME5>C`OyN4a(5QkPPNZ!VmimsJvI8O=x@bZQea7Lwk^Y zo7V&k?boz!6N#a>lJF)&8QO!qOY+jeUW2x+AeM538H!gD-is(hdyrQWUU6tZVXh=R z3?s{!j6>|{Ou|N0`?jHMDf?t7Mw_=V%FrHU-{ysi1{5B0XcI*X`hv=er71^(C&ug< zrM)adI8Hpj@XkjAdBT7?hb*Ii2e+;0AiS?`usr-w5MwW)n}cvDuUZ&jdCKR424d_D z)S?iE{(h4A=u#aFJJOxa=Yg8}&jXR|qm_J`5d@`9g>w^SaE?0ht%iv4Nz#VAFthJ%Rkc zHKF10{tk}85FQjkdSiW!3RtLa%5j1dhI`-<4WvD-YvA{x$|+}kFs&Yj3(-phkudxp zd&AX%H*^idVC-@jnr5ip8#p-{i1dc=bre;2L-!#nV4->##-pnSVo#G|DDM=6uKN=( zPf$F^@~e9TDPfo#oRv_O@dkQLGjLK+z@9FV8DUMS#hG5bfl|7MftpxsAWs-jcE~dN zP&z3F8;1Ca)0E6h2}2y(PtD{o2<9W-K#XDF88v(eZyw4~?}NcWMhauFR7%Ca^rB9o zKX?!r9x)pv)S=xxo&)$%n%65{gi5%*kQw34X*xD>warjBM-i7(SInod44{jo4?j$! z(3|o$F1+KBr@R+Vn1i{!x74yjQ+*I=ZF)ks_05 z3c$xb2e}w{$JQr){-nqFlK&wm=A%P_(I4?O;a0Y#HQhSM9K+TU$O(lUN{pdQPJMhH zwkg~}Ca;TVDAAKLxwryj z@gkzGEnFazTzuJRQlwWa#WE)tK~Tbrjrv$^p?{3Zgl}Q^Vo8~45w;13qK~|zgJ7?p zT3-cO>-eJ~?wz_Si84!i_ZywCk`r#=Q1UV8o$qA=e;Q?iMVcL zP7L&{Ni!ytnO&Ql5dCilJ#mbvgO4p-By*4T4CdzRCi88oV~(DCY&*xE@?KAwkkt$U zp7i3!TK8QM zFi<8h6ltJLY^M%I#xRgGIQ)|{SnFcWQLPGcmh>KYGWYi<#i9g%975mc^JE_f$k?vs zjDkeHZ{-X8;VWcD{DG$r^RbQ~-ASYQZd%6RAoqzpf@_1KutG72zC}=izHY5%)6L^=F z(eV2??4^ESuZN7hAxiwL?nw?f__*$e{TiQ*+j7MZeK>2&G{$+M^6^eyC@;o&sp5=r z&gd88JW2WJ`y4mJCDw0?_KS&-(J#jNI604bTSNU~oF9e(FfStuWsh-)^971C4q6#I zOh2|^Sfh3TFWDjDlN9->r06+RO z*9-cKF~<7+L-l)W2mL;;`e6>%VN=tVSihgEejE?$lWDBqMAa|kVIJe(Tq9YYg@u52 zFyASV5A9vZHx<(wu^GOLT%NoKu&g`~tOs9J{a}u3Hb&;P$_r%`<@5B*;~naJF?O_J z0RLAZT#0kL8ED7O$Y1Dg!iRkU4BN0?k|0m9S@r<#Gse$0%$G&1KCK`lAF| zs}K(@SWt?>W->{p?#_hG23!OOiiZk8dR2NYcu)#G^`eyi0p0{b@#4WA^(3AQlxjUG zR`4R|doMf5Hg@67%WLIr+kq*ry{Kl*#EmmLHD z77o#uh8g(?m7>0o}0C1cnOtS2MP*Y!ZEvf)~lMJ}ys_1kuH=LE%!*Z`QVB3~F?SQI=!MJEZc!mmP!hN9F; z1V-tixbyu>66?g66@a>JGNg1MeDCf0pS9D3wMNDkx-f}YU*_K33Bu0N*un;3&C5#H13zkStL$vg-J6Aa>Gl4vTADg!1u!iC z5vbHJ&adtclhP(2SgRwjmdH}6F}?hCkYS@@32aMI=XJL4oakfY=aUgS(GKADtq@LE z`C)H4ouS*l-0i13d@yiPkFt62T>`@vLv7Gj*oc_sUE^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/register_8b/db/register_8b.cmp.hdb b/register_8b/db/register_8b.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..7665214651f3ade365559c8858b22f5859cfe213 GIT binary patch literal 6922 zcmaJ`Ra6vEw?=7c!~p~xhM~K=q$NcfDF+4+hHfbVi9sYJq*FmcN(ZDx7?=SB=@^=! zJBE(yzy7uETKDCi^YHEb_FiZ0r+ujK@bJEo-NSSLca{FhrciI6*BYL60E|KLLyQ^qQb&5tVU38|JU|V*5|M6U0Fq0jkHznKl?^@Nzw8W5U(thcTGZ22IacklYbz{`@C>5YkbY67<8|hq3`QC#hklx}R8qcVO9l*i; zG`cZjFOgyr?>9eZiwMl-@!O}*;-$aKxJ!eOzI%gEzZJprU7=i?4TTbAJE>dtt$A46!m%aZF z;qfTG(Bz!aCv(&~zp$LJbXX@5j4&gO>ZNdN!ux=p@fAWLnTI4+L){R@2wF8gJn}@$>;Hrm#5n>UwuRL$!ZeS7nZM1_tA#YZu)XfhcQQf(6?ZG6557;>A;!HW2 zsGaO)1Oj^_Tv;btD0R;W9QV+48etT$Nloe%4ZX$po_lJpmnDLSktO*S?Z5xB;SzI$ z4SGUrnx!8b0bkjed>ujK)*sW0KVLq66y2x0N{UdJoZM0XddMhl%*!Tn8JmB?D5^(ATk49Y zv4I_UXyGOr_UUZH^MWM|m1-JieCiMi-~R+W?)s?@GqTnY$taEyj+fOc-Ibf0XnNt*BPdT^hE_p_>{q9d(zcgGh ziDw*qHF+pFeE?|8HwIA-eNT+4U5o3fwD%+3 zu{}Pfjg7FEQW^8{`jhgySwpSw_L~AonYgT02I`8^{;QeWHRXNP5CvLz(CQpe44-@a z@M^@2xAwaAXwy7xsyHycS1h$)*@*G!rbF>(dpycCpos^6?`dnbjbl!@WFwcPm+k?P zIh=YdEc0R7pm>8xdauMZ9GBz%s}I=llh#Hv*SXmVPBqL&=5 zdvnzvdb8?aCeoN~4OOpe>?qtN1fq%?`$%eDu=%+qYNhypecy~fB!7xv`N;PdxC(J+ z?0M&Hy8S+{c*~r@x_8jfFNC`}(fXhEj-{CDN3fkxQEUhuiO`8p8@k;$2+9Ko$-wW) zPmV66@}K!lm+vVe&&39jo%8jPp>H#jWUnHV^*7IaGIg6XaZDu*^ifMYx&a;{Q7dMO z1^nwr(=Uz^tb(BRa(VsVYtnsAhta&QO;SywjSy)4*r)NvrW;U(zBuy=6&^%zC4#j$ zuZNjAC`pxBmBNWs#{v7Cb*-Kq3erTOUa(HQVxad{kS^*k{4GykSYg?usQ93jT=N~Hrdw@-(-$3tKHT7KS z|F(^?ukp}wXP6WT$JbCKy=w=f#W@b5s0S7zX|j|&(%v3q zr5yTo;nUm5(|sj{L+wJ$M>>hDh62WS>P;sugBY70lXy5vNsc!@F)8VK_LGy`SAf+A zVMQFN&waoqRxtj$@%3B>rYnxW{vD@?1%)UVXAg&G|oV*J^f3%Zs+Fyxq#r_imr{K znFdjWXCPyf!)Q+(JmQsGl2c5f`&f$KQuaOh53T4sWl`_ET7c_QK$)9#_)tqU-%(rH z(kYDRrJ#KV0!vbEVf}$lKPqEHVS=S6|5koYa^rin6DKWH#P@NstGD4f;?u zZwaKNR@2I$prH*Kj#U{}IeU>m7VV$uqnPZGvWe3yG(ATQJNE94NlkGhCkg@UIuj;eesVy-xg&yx> zsOj_4I#P>t6IGPBP39OS5|{PFjdwfX7ftas(J)pcnE*524NxDT&%T8tEYMA z`QrOCx}&T5WD&-T$gk_(7N|#}-d_@|1i+Ih6yo0;M2T-1VBPOSp18WF<3e;a+&`sw z^rLLiC>G^Fjr^hN!8HW9hf29_3fJ$4|Cy(>rx&@xGZ6s|s-N3F88DFx$S1sNe@X-52?^$!~$0 z7WGa{$R$&&Kp(3Jaag6uvPv;+}FaY2*)GB&OeJDav@1lgN^b|kk0euT0 zvT4=_7xXFTW+^|7*yo8s=V6rz%y{7>FA|9$r!y}sv=pd=8k~c;D?oJP4Dl`x)Fonz zEmaJ^6@6`ZKIT^VZsv-G`kHYM-p)t65AW8mjjZWB!5+|3E+jwR5ZC~g&#{QRjV!2J z?oeOy#oeec2gd$2015e!+*qFgs5jIuE5DfC^L$d6jO^E*R-rGBctw*4TyvQ+VfTLs zr;2*}v@}Ptr62XAI6o<_JD;uYlU9f^`zZh(ss^!5nKEDpyzjnc^LnerOrr92E=mnV z{JtB+R;MP3eQv1rf}N5c4pv*XXC)}eOQh_|S;@mu1Tu96r>`{2^`|+C z{YHUyFlf%S$bva5DNc|5#m-UXK4`^MKdfP>5=tAY1mg8{YRO$4vx>@~e4Y0PYodf) zVnJtfIXcSbpF-NQMscIr29e8wN)28s-M>mZ>)?oou2SyGD)*7K_bE)4cr+c$8-X>w z!jJY3jNOCzw#*8p(%EPQDi4_JYgbp8+aAo_1?F}(FsG0xRQ0n;RO6eFQS|WsILN$KP9(v7CJ6Ybk`SUvIR4-EI>lfDf2eV0vWJfvd zMrMvP4D3mp4YvPi&%5ljsp_*@2c;V3OSmPGKq3~Q^dT(0^%FmJfnaI_3}*>gD+A4$ z2P`So9A`q<_?>Rdq$U=)ZOV2iJo#(phjVt}E0wqI$n5jAzHof0FhCfryE5*uHBil@ zt@j`Lk0q5lEkct%XLR8V+?tcUew(S4Q3_uL|CLI;X$Z;Zj#=@ue=lh5)G_EGtH>RC zFTwH6nEWNUBFy?`Vz8r0;c9dm`%U_{N6NGl_1mrI zErWeGWo1C4MkhuHr8&cOt89~z(A%2NN-_R@`3xCnh{||ojJ~DaxEY6anc1i6)DoRD zbe$mReI~OuzzgI&M80&nJF?=;aG$UH?wd)ePa%)TY&W-x3r|?w(eKAl?N{pi?vvSO z!SpTF=V{8QYVCq9CQ}jqtDDs<-Nbph)D%g?)rK2pFP8=K)n?QGlameE-z&WWu^N_T z9;+Sv(c0>>M8)2fdB*8Qi!7hn5(^)P6-Q>MQ_8q7Qnqb*r!oFG_=I<`7h{rZG{rmk zp5xK_b{6lTP+Yn6erEZ(O||s??pNQzkVObr0hi}`azk+w*v|6 zsDI3Ht?p#NfqhfPkWn4b@e^=1^xhvOWPATFGJ?!6t zAj|mK`n+!A@!%qUZ@zrrgZlSZ$RHSa{#K=<_GZd$Ll72pWAUeQZl83gmm}ps5vH3p zEW>sPqUK)VYGQ zI_!7ATs!pUU(_x*gi4y7iXrBjUc`9%AMrb1CM}gpD&_1{%`AtS=h=uNNR2LZgG@q) zw>lkkOdNM*`gHf5>Y>%xtPsi3ARnZYm|I!-6UP}qs$yzhseI~yOFnMGJ04#aKUnGb z0MM}hIB|0fs`T;&%T?v(yjKaY69=;Nyz9AM<6c&2l^WMv#(tY3yN7MZHg@~`rRz@P zs+C4M&#iDKcKc+o!MCLyP|MF#`CPwg$2V`mWi)7jv|<5`9!66YD4MLsyL5Dy5MWlF zo+(xcWq9BQrm?Znrgy&s#01-%TyZ57JOTD`Qh&YeW4jd>NdW&=sN47xdY(5MK8_Xo zIKa7&ogofyiN_#Um8yF_OAy#CFzjw~67|R@jF-vFZ@Na zM2DFSXM~lY&pT_>K#9%R^Btbk&IAPh9U`IZP;aS6ck}S9z=g&?j?k#m*X7HmwS<$m zJSAi7^kk@A_=PG|*Rc(+E6H-~)&P25E6@KN2pXgh9rA6Lq$^!GL_k6gZbyWx3YN+O4xYV# z&7^dFxx8CZW4KScN~I`TvOF@=22?_*%+F<%f(M84bYo}D*G?wqPA@ZoT!rLDEeRuG z<7WnXN1455?0yNy(_$rh&7sl0I$XwFw?I6+ySu=9A;x-Ij|}PBvnNJ%3!tUYQ{Hc7 z3@#3=B(j4!XY+55y9PpwsC9qvz2~0Ed@qS`UN8P?dSgfeSEJzu5j?GQ87_wYa87wg zLz1GVv@Rz$8Kn4`ETDSmYHmOOIB$Q}AU(2&GFw3WIBQ9H^vmW{1RwtB=@-Xs4Li#{ ztvw_*N7hiIaOhkOpZFrV;5%u7-+kLRPc>34`=|FX7zUGvuliq`1S;(Ziq=Z>lWb@o z-0j}I82)`-PLc%W(>ti?EL+dlg*iSa43Eb1b0heZ4W<)`W>eGNSrZ_{Z(C;i6 z^X>I!!PIixNYIkYWz`i$1D$A@QFsT#S|fcC?|MDaOPx+h<_aQ@A`W!!iN$z)LpG@3YOzX50B%OTS!P`ghqCE+*ZhJ?)uFBQ}Pdm94W6{MI z^;a6swiRpRzX!&Mh#(K~y(LHZ(UvcAW5j3nO}g?`ejJ*Sq&<{EihNWaIjGNeAIP`b zlS4^ALP}f`R^kivS}_D)TtI>(bUweq<1+rj|A!)%-%!Ik=6;kUMe_3>B=^(nudRfa zHy-C8Cy!&kgzk6D&fTlhI*<~-PlqpUx^tGEc%rYt{o*INgem0Qeq+8^dTrqk|9JeH z$j{Cd)d-d$%lG{O1_qO!22WS+OojfHC0T8rDpQ>jp^VNBnAq?BL(jAf8nR=WX$<)gdzutUvj3eI#Wmb^Rl+sOK zHyd!OOBLCXL*quyRJT%ZOs;3F*wgAu#5beK?Xo68L_=dr~0XvV{I0DG!PHvHJO}!Gw)T#SM4V}Kt zZtY-z4IFM2@iptK%2Eb*L7wGs^{2efO44FZ4%**lU!ITu9f + + + + + + + + + diff --git a/register_8b/db/register_8b.cmp.logdb b/register_8b/db/register_8b.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/register_8b/db/register_8b.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/register_8b/db/register_8b.cmp.rdb b/register_8b/db/register_8b.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..00cf8ad4118ed36d5fc5b26077dcb581c8f46afc GIT binary patch literal 15791 zcmch8!TnW=1P&b(^`Fdu4}Xw_BPl0Brxohk4m(ewAsj$5?+JxqLOL%goQD)JhyX5WU{cy(9DOtrhw|y{oxA+1+g*NsrkzCeHDKo- zoocyUE>}C3YhRW5d>-#N^p8FTN?+t3^g5LONPnta^|pmquvoe6+pk?Y`v**3U+=#; zuIY|`>;8ah{Nks-;Xi(vsADgHleCqGE%P#F9G7rl=N*eLU+10cmIpBTcvvik@!UNBCCe81nOE#sw_Z-3 zqu0bg3>Ai5z4MauRxO_uK)Tqqg}4BKZp=2v-RpPm*D&^n_!-4=KAX?mz&O+^)-8+D z()OFEzn1Oi@87e`OL+MH<8|<}uL9zYfP~sU0=@f|cq}Q{=t|P(yd#sg00V54K0RE# zx9eUpb&g`6rDtC@zv)F^?BS@w$JsDadktnK3=OK@Uc2_q3h!{){R4Ha2cZnWP`k`) zd8}aN1hi2t*xPH`mqF|dX7&%*1ndCGGe2;EZefQArqNdlOV^8(GvDQ>tzqMOK8!bk z>0#z|csQeytk0^xSzmvi#PN7!I}>eJV`9F>lJbVo;6Af*py2=u?dmR%I6 z{Nz48Y9G9Q)_(p1k)7u-azA}Nk$;_Ugm(YnBNBf2mCU?8FUtW=&e9j8Q?dO8;v^rT zXg5qvL@UCCg5pDmGwtYH(j6+(#yeEGGO+?`p~HZ`G|?l*+uCD_$h;#?p7#-KdFMs>IEYZ$Y-ull*!9KuorCkw4Kq&A0Zu)+&75 zA^aO}@Lzc9GBYbX_ZA2Do%RGjBJlzKw>2}9tY>^szK4T9%#u4`^4S}lD$J}Lzo+M3 z5RVqYt!HocitC53VY0oL;%Xuw};$x!EF&`6FSZkX!&@8DGAAqbb$m+*5Ua z_=`4>knDB;2}ktDC26AIkXF2a(-jZ$|Kn252NP!h?M47Sa8gLgu}-X1GeCw)sozJ2 z^o4cbdzQJGKe0?imc3vc9*-CYAYfqCYhHdcm!(}QAQ~Ky>USg&%uBq5=K|(9 zPPfBO_N(6{8OymK)?p&nNMyNSUF5YT_*kjV&zp4;5i!C5mX@07@P^EarB3h}PviJt ze@y25=#Cg`*(ngdVW6LM6RM!Sp#BkGMDuq=>9GxoCTPlJxOfrinb7noYTmbfl^+R5 zW3en*4R>UU2XE|0cX*dfYnwh`V|{2O%-fR@0Gw!~3H#QzL$zUMgi$7ysBgwR+%ZX2^} z*1exJg5XJj_?KXETLu-X;t7FW<8nsKZ?n3*o_2o#q!gjK$EL!_T zv0)=^5#_f$-e15N1Av%tZTe&LcIY@66@ncx8MRre!6r^a{_ zxZ2|(W&NitJhZZySjRc^ns2?71%Viq=xPOf8{hFDS|w3T7NKJq=4&Y8i*vJ3r1ExalvN5WPm%;A@-Sf zRIaCLTtN7*P_`~re5<8VgYhsDV7tD+#LA)!9*GV%l=AGDCm!5F80=pC5gBfe7a#hY z$N`DWa5$3iq}UpT(I}&D02;76G9ST4=Wmx0eQ`%Zd_v&3YKxTrrz`X0l-!6CFq$%A z4hYv_3>{xV84CR{{l~`h3s?tDAQC=t=^1d(KjIa@bL@N}6Tj$= z`DzXqPF(4iK-Z2O% zW!MUs9J9ziB6Sxce`Yd1)O!K{OoF4;u(gh2j2oL5Ej_MkQCAYxgYrw0!Uz5Z0tDe6 zC0)MaI+5xVu)?`;iA0oNshud?8Mxeg6VIPQ8W;P*;8zL^aY?;rCo)qy__x`~AV<4z-TZtYV=xZwFu+G=He4u7n!YBdA-Z_$R>zPTr$XFt>vQz_x@a$WIpg`r#T+Y zv-d>}ZLCg+5qm~yHaahxt&{}=%^0HHl(9nh*W}@1pj#Rf$m=qVLOfyxBtXLV1}f)t z&p%rz2c1E#c)H~*Jo*oF#f8YgWmtA|w^`kK-@L?g3L}t1+@Hy=ICICz3gq*yc|9d9 z5P+sxqL`H>-g>{hX8hzcd)*$jk{up_^Ag@!#7pcSR2V|O)4rlG^fwKG>+G;hcC&@! zBbps2Z^4Ih30VLH5>>aEYUdN>#abWiAAduUWy?^KXp>#}N|e!5ljh1)q?Adn{H020 zs!8)@DpE=$*M3r^G*u+|vXv<%5^KLHQkp7~eA!Brl8H6nl&MV>Nj_|)N=ZbT@5)rB zilm=5Ql%uKO?TxgQ$ z33t2vs*erv2}&@o0O=)YYgFBcAyfR3S6L(<0iB_H`QQ}~E%A3KQnpR_-n@D5CMdZB zi6|8ZjtF4v_9!nS+??eF{BBsoKfXdVW8Apqy9>=C((^=|(l6tZ=qkOF-$-*4_bui6 z6Qj!;{HiVkAJNu)QTZ$D^P1W^Vjpf@!nYT@ul20LwaJ*tUynua>rVkgWCTOU<`G=f zTc#?(MUD6sV;%xhM)+ww|B0a#b1~OO!V~ywMI7)^eZV%%;L#Y@4hxU@bpPftZH2Ah z!GL4>jM2~WtwM3e&v8OIqYK{Tb6mJOIKcTp)n~p+5>)!c>SM+y$-VRR3&9QQ3s=7x zUszB<8T)1N^SPcJ zwi$7-fpbqj-?aHgP{LDTHV;#ZN9Pa!Igb5(Me@8yL$l5349jJjja|?M%JxM5K7JQX z`y5jX^i^{Ek!5d1`>Dp!-k&=EXjFF`B2uMWdT5|!C-w&_6FvG(cXaX z^(xer`jJviRO0^a{9c?;L}LzhiAG5=Ez*NY*kF_%iTZGn6_J5GuINMuGZ~x4PjP@^5YzOBRuL8ShJoBVzo)u9v$NiWV+~|pR zAs;+*dwAmU0YZwqb7GW6D_|D0L!AapfpU&($>WTUNejaaSy!mwu3dBRpL=YCw0FeD zx3>4@W%k>isox*;mu{D_=u=r59NF^DF51{-g>%?KX49fVF#|MmaogX)VQ-xFwltWW zrJqN&pP$@V+e|1kt+vk)|1H#92hM_WlDOk%-!%7aK6;3e!y`Ime;-tg3k7cHt#R#U zmi=LrQ`cN8N)t~#)daxSuUh1xn&d}LY37|8o!jxX~S&?81EP=A(Kz06<0OE#oxtvpZ`y zI-8lQU0Q1DH0-mBI#YFSCRj3lC||wGT_eOgnNv+@J@BzJE&Y`E^{k!U2-^om(c zvx{i7Lb0nGU@C2Z2-k;qq7aEMQ|39OeQlUT zi3jqIEr#Jk;Xyw;o9ru1LJkB~<=>9kf$jO{JtdV$%uIG{sf52>v&{-Q{b|w>u4Ao$ zVOKfKSEMtNk?-54EYj>*;-s{>ySKfgwa;H+x3^8Xj(1a!Z&Md2sn8N)S3^UCYF|%B zS5s3nhn9|>o*pd?T@77&v~+8_$Td0>FHc!jr?0n%qu+&lm+BYLB1$N5 zHq6z1&00HqbxZJex7Sq_cy$;28&!vV8wQ{U2mrq78vK3DeeHd^^|dv)_xoOro%)p4 z#k5>&o8JCzrHbmidwkqd!bItBf}6vJ=*`Jthxai>xj-k3V_$a_eV#HBUtva9Xj&sH z$(G# zG}RR%d&$-BU))c5FV)-}b*)siwAr^^Qv`(;=QrhBSw=+^cS?F@M>i}g_2P6zxr^$S5LJmELU4leguiSHu_m<^wpW-<05M)>` zxvzsrzIkDS+jMio0#05z^)&c&v{&u4g4CyUfCS72DIzAfO?n9D7s}q`ZdPc%MY~zc zx?%07`XKZRl8SK53u6se-avVSgFb*xsAnIzpd!^!b4Sj!fnsr1_K0A^Xfj`*?+;VK zLjnq-Brj_UB@lB%tsi{#ulL?T3xPL*;`P(b46grxtA8q9rPi=y0qAA`Ct#(|#yv~; z1CbC#9LIyHIefeU6-9~p3;HHLo9*3H**|O8`~P|#XH~Dt_~GP&?T`)^I4Ru|lhjL4 zsj1u6%D$SA?I=(%qv7YwmjGnHs@y~C3M%GFp+KKTOmJPHNmz`Ha12kB7V{28)p)a0 z%u?-mD*{?J=%8E{%rH_(vgT$pMSW3T18xsQp=e@gMm#e@UtjD}#L=SO;^Bm0&iNNk z;#A>yoa;cg?q0MX=U;0?VgPTg;lMZY+I-*)Y9w2G9Gjk;Agp7J?ZP6L?YB*1 zRiZRoRGvYlE7k5yk_g*-%VO3WOye5KgIBH2^577go`agQ|N7VYT5ya zM&!B7$UQ%tJT!A@Xi!LPF&uMeecX9#Hxk$SB9paH@x{>Oq8I47WITKB-~C$BHIK2~ zNc#qeb^zBzZdVV_lUK{CsK$FJ>Um1!h{e2-z72WLwJ(smb+Lp$6%bBFYoO6%gmcTh z&272ze%p)sWOXhyXS1=M9(p|^D(0Z+ZaPfEvgF#+e7z6#csv(R9fm5$$0RZA=|1&)QAL2Myq( zW~b7w#Vd_p_ANJB%5f z=kA;z;=_2$@Cw^+fpb;^wu7VDi)!rd!pSy5Zbymvb?PVuyKP=MZCr9S1}~K2a;%;-MzvN5XH*9*37^>is^xnpIL94=ruQ- z%+N@w(!;G14>Q{|6U>PcaD8XD5T>nNZVA zVj&^`Vtr-owd$TYIePE_74Gs|ugiPkm=M3+0?|L8bo(K*cljoZfJQf=s zJ)h{!&>a4K~*Fn<&xYro4GDrWIr>gfKKHNjxX~J z7;L=I?3*D3`|7O8<*M}_7XcYW*ED2T)-?4N_ zAcj&vFSX)(@WP3Zk%*}TJCH~(qHbXtKcHPlB-{0ljiUgUxC>Ah>eIkPRQGAe%99l4 z8cM|nyDwBymGRl#aim_dy+HTCd8qoFmlb`*O`#4H0q74C$ubOx@Cj;@N7XHpeSpQG zW0fx*z;t1ZPq&(ncz)PpFbEq3EX^2cjJ*F`nfbLvFqMMbB80_L?cxuXNENG-1Fh`K z;(?1B`TR@}>ThAq!gPZ{r@p+;#7wWX;j6wg@WH;WtOJazuktpt900XLQzx*kui@dT zw7+jHV56+WU^~KgZ1&aHrLFGj#IG3{tgmhFY}Vk`+}zi0>GlwPE|LO`oT0k8uzXRg(=w+#;Ly*(gfc*@@}WNX7F~?ndEF0S>nB7$5&{` zd2xP%9t{mTbac0SSL;+1GXfMfjKs|rtY-oe4p?1+{t`L6Qg>I#*!qDPC1) z7?)9f*nVXm(>c5VIIIBRHXu=R+_TyLyOw)B{PH#JXN@4%p;1*P>=E`zSqpFF*9Smg zj8<{6h(H`EFdqaZMT~L>A(9*_b&V6wWExs4vO8OUps6ctX?eU*i4%ohBgR?Ha{uos z^jvzzk2^3Vguau33lnEQ1s=`{-*-7sLugI9ZBH#Kc!qx5uA?*Qpg5tZU{o06?jlmRIvDnN zYH)l$mJ#(fLg&s95;Yub_dyK^O#`QJy7m~1dMAv(N7Fav0)-0;MuV)=6DP)b#ylW_ z#CEiE6R8|}&&A0r<%9&(>wtxI3-~YG$j7t zy(cZy_Z>#gB-FVA38|e1+V{NSpCfA`4jY!hU=;Ml%TSU3P$aq**0p;ayH?egsLNsR zEATsOI~+d2WST1dk!>ekC-GN6YP%OMT2R&Ce`d=*MSGE2ZCHK!#ridK|H8m=Yp9zM z#NO@@n~cDiU8xXoj&vyqyi>xJj^iI5OaIdy^r(C*n1H@wl+QBSrf$pml0%iNW|9f6 z1+T&UGQmEp90^+(1^^^*;Bf$L1eazajXbm{e)s()g#U7_;f|y+C8WBllsjY6FQv3Q zV!Ucn0-3l$O^crMAJ>=GEXJhZk;WO*e8<4kZduz!r9_memN62@4uHJgVYW$Svk6vg&@BtgiFGTZ}X?MZrIO_jOQ{EunOI;*!7w%-6@}SHxY$Ma60Cy3lTWXB(Lc zu*m!%`rc((a*)fq#Y@LV8h0Y?3x zg(3djY)YeRs!FndsIqqY4ziR`qE`AZzLZb~m=GEYQ9T~r%mz@sG+mnnzv+DJcp%HX z4x#)I@3c^I^!m|&vuZE{Yie>}L)JJ)WKN;;+KNhqgLdv~&MIeUu(`LiW((3BZakPa zgPb8g>sSjF#vb5=RoZ3^94oG-$0PaDA;(lwL-Db>(^`wV=ujgiAm-!FKKG%rKvfw* zhrfE8bxSfj!uf_w7=*)9!#ri`bluhmL%ak>J zwQL+A!z1!XgySsD2v2WT0=TA6hl6!&q_YM@-Ecye!sOn^H8LSv828^*L z_{tv@*MpYNV$*z-ynD|wAx-iT1@}VaIS9=p!UZLCgIlGu%sCw5YlIte zhL_kIly^R$AG_k>5p9&oP3}`(3%*Vv!?Pzd=Sc8{JS%5#o-X%67_o zG2)ajw1#Y(<%sd5H`uONkouglRyARGh58Ej<16;jbN|G;ucrP2JwpCI{L2pgDJkYV z%BcTJc25C4kMbv&73ssDs2|1RQ}PyY`8JL9T^%HX#t zc$~{Go$`NUs^>A?9$af4^ZzJz{V2>?n@ci9QP=z3P7IcOUi2EDp34v$2|QV zt>gO0aq4{DOMlw`YiIfK$4gPiLGd58Fil?ir9b?I zZ2AvCsqS)3e#Zu04mg(81V!rnCHZ?nKh>o^j2Mr-XzW^Q)oW(a(`tH8pFPC{kK z{=;A_({OR@Ms^sNH+zQCGPx$ls{sFXlPcwhL9M*2i0hzeMfr2-9&B%!--VDX1a2pZ zVQ4sFi5YGq-9mlpnz*cnTd@l*c=Ow#%Uk$?Whi}Z{2^aT4Y`w>StPcT*e8|s&%uKT z6WS$;1<=qLzL`seo!BB#nuI-O?hS8m5^B9Mo`ytn88xc_C>5wY<}STi&&QVLQmtFJ zpEg?2TehY<->bKn9B<*3@fM4$x4(cl3c63ll7Mq3RA?2s2_F7nYZ#MY-GryJzYTSb z$ew2;c@@;vY=`wy{qzfWt^aix^ZIRqge}^yg-G=t13GrmO7r9kN~(^3RO8s#dOcR7 z6ef#Nk+xzOFGoGlnVUP)&DNld!jnHjmfE-=H=yi4@lX?i15n7X* z&Yep~kbou)u`61CCN^MUT%4=u5Fua*X%GYrCH)z(*y`tB7Pb>Z_;v>AB+9luv2bjT z_eh3U7NDLHlA80*Hn6Md%H(#A4@I6Y6yLQ z&&PDG9&ymCs=1E^odeQ7P~DB)iU2PT@-BV3eA35s8Ud|FUV!B+k0zyoI4!42s9ALB zQ+Bp4zYR-cc!i_98CL!Kf;`0CL}h%Ys;X9uI%(# z#yEcg>b1kb(g2NM?) z&Ie>e=_CY~;jSB1KV&mzTrGI09#ogQZN8+^`p9Plvv3~cl(#^{0E4IkL5IXT2%fx_ z6Wf}JaVr@}0|b$aOE``8oFI@oz~8iZ8vuk@DjOKZg-yDn-3t)CE#_i*fX^T^_d5eb zm_WWkgic+G5HC|YozNeaPT)=v^2<0Lxd0TUE}k@5YIGJ+3nYU9oMync z3u;bIJNZcAOh5rC(V{#xHJXdjBMU{T*uf(}B_GRd$L|qd889P<;wj_Q=u<9WE7*T5 zp-wQrh|Ci4_AG0Hm_I>AM!buab#|pCn#+?J!cfQ{K)wJq2nt+4_}ulgPV@Q;n}$>Q z)R-MzwdcfNVxeKikZ7`HYf=oSJ*9V-r4dFw;+8Z)X_H`Gb!n!v zFwUM2gKR)1x-G|-Hp^`i3}51NH zD*Q&ifO0c44^;U;i+u%DgMfRTo+P$%c9mYW1VGPbWX(YvrV3fdv4~QG0#zRd$|T@r z8G!}AAGhaJjD%7HU@~%;y_x!#=U&wn3%fCN zD=Af~TW4pFRVeL-8CiO#K88wII^{5Yp-kj}Wp_~d&9#H!5I)%X?F?_IJxTvu4+Q%i zfA>Y+ji%c}RXWmn>;??j%?#)rR*&DOZr`Vl|C051FBQ}aR^#3-;`j(8ieTZalxR~ z%u6>*Z`oX0;7X*`q9S$Zg6FvNEsbCaD@-H;v_B4sNT?JQP&fGOM<0w}K?Jdj7px$` zZUpI-8y?~9j~TMyt)|~vNAr>04(*n5GcO*MC|YRIIU$uwD!d4FAkJkm5F(=4rgMVO zqSDABCE1Ui`d=hwD-2ppGU?a^u@j7GZO0y(-=-FMq`_%|5H?6NM&$*XLOv=wFFmw7 zP?rU>qENP|X3%ej2<>i8jMx{Fw`f0v;a{<#-?ff=`>@5I>5L9^z!s*FFHE&;1j_bm zBo+o_EWV^f@!ZRaK3hx+M$$ABPU<*}LlS0LFaE5{2yx3sRXjtOPp>@}cA6{%P`1k# z5em%3@_YcEK%j0Nokk>MMXIj-1uZ$LL6goNil|0Z8T4AcMl|EWO2KIabOnZaXk~+v z46T%;3@uwr#C#D{K6;s2QAZNk&Xge#w1fE5lXzrVYcsoXNOhQDy?g|o+E-1eT#RT8 z|ACC3#+e7kL}tFhqZw%=b=7tL8kGbTJf9j`$N1mZ*oVm5+2|7}x#7kb-(drOo6YfT zC0kr>r;E&8{UmAuZHqQ-A!zP;LS$Q z9I?fS7d|x@M$A>ktw?McI_s^$=!0W~LoyJ2Mk0e44nCYDZ*FS{5V7MR%-&^jIe)OW zh=m%q#)idio&c>>d&6^(l`CXuZt?;JH7OkKk(|wFFaRH_FgkQI-OLt+(2&xK*p zT5`k0IY{jf!mXlz(}5!&)rGv0evXF?lVgeYpS1Abw!V=#2`Ci-!WD-%$5k|>3bllA z!`z($tzi?2MD$1j&!CqHU_HLr2a^UG@gweE=}IzzH&7neogEf%1J93GTIBb7dcULE zfbhsRlq#bnztpX)7qb@bMKzO9D4~oq=D#6Hrs&dN>Q>vU7+>fiP*KoLh5Ka_YJIM# z6$e~_SnA0HgJ-A(Nd-WxE6F71?dwymOnRbW4@N8mqM&vaRFK!()*D(Au@j(#L0LeI zb#r~Ow%&A*3sbt3D!d`{99AXUl>jwHymJoY9O-~Yg4`&=edz#Bd9wj?ie62z)fJ|7 zCeT}jb;a_aR`+){Hhakg>v)^PsX426Yx%%k3HT*K}Ij?HF5q zJYM}4ptMLBv!FHv7M|2NNvS#rk*nuH4Xr-WpG@eJQZ}Ev5v3sZm~nAjLF%pB(Fm-T zWGzIU2%}OvN)z0lr)UMo?mbQ+G(n zGo&i9K&ETtFe$-OPC8p+jwraGR0@ zUrEd+*07!zI(-T0wSqc8cbvj)Y>ty#K|dEgI1vS-8=M8*7R1VGM}?0L5SMXI6ub9L ztkES>b1Mxny*9SeaVry=Na@IM<-~WXz2BzP9@C%9A*eilR_5Wc#a&=iIrd*kKym7pH6s=s&VZOy8(KdT6V&4p;(>POWTm%9;(+vqtb&cD>MHk zaXY7W`gTEROGyE76l*Z`n)tjtZ@mU{ zhtcj64x#p=_N1;U!uokJQv~&B@PGQd`fR8;5#_nMDhBleY>-6-le06DT5aben;EtS z*X2`$^C^qfhq|*mLY3O{XEq(HdVcnyR%_B2n)4V(LXS_U$Lcf|<2ps_|wa|S`9(nSzW9;aJL!PA?#|3Z@mh2M54|O&MIJ)y6$NiZ zk-i3Q5|&|uNFX<54wfNb~>CCgoRn81-4qk6OjsILxOrcTNI^+2xF^LCUzM>NkFa28Q4Z-iS+0sY(uiR zne+MN?o5xW5%8)GAh)NB=W}P`(4;Y={XbX}I`V&}Rxv?K{<$#vmVT7MqbC^$LvdMV z>IX5gTftU6y0*Dr9xp+iLcq?JhdZrs@e^=c4GWmm-)zf*ClPe(E-6OI2QZ1zYKFub z2@7j<9b3f^T#Cd~h+ID2-Cc94B^JhBypU;fGdt&b0kUog1(0l+I2Fi^Nv@oLEa=3# zPDE#I#S^d$A~KOVNxSUL6xhrej$Ir@&>UyLlshS<9auX8b4p=HRt~wQ&XF>Nf%RbM z4k-izJ5P3WID;kVpgGOIhI!FIU{{?+Fp}sjvEcJxOn-chO61rG#}6mIO|esE(ooa%T}EnO$cQmOF(=m>d^%&NIza+rgV(WBbWzNc&ZNepBXFgSt?L4I zIo+?&77SS$B@+scrNE{#w-96TLuuqT(j!IF08_|pB65#yZfx=!b3|P<1w4k_#TQMk zPa(Jw1-KIEkQ<`JnM=HqQHctfmJFXyEMc;Q+RZ8CxH*%?bESOz-P1Wp3nwN)1#MB)#9+3 z_e3TH#wRjhWjz!(KcXR$^ibUXd}dK`LtybIvd9UaoRMtI`cA0)WIYhL90{Jwgbe;s zOxvAF`=dJOqHO-yqc-TFuyYL|36-RFsd0z*1=Z}X|gB2BAFq#dXfy8IYbj(CggGEdQ5~R z0~B}4%#H!Kq{}Av=OL~57}Z|qvu>og8s*JSW-$vq77ug$V0W_96PsUnR5V7&5)Vg+Ead!&EIM`85VPlSTM;EsGX9M|5am(# zjnbYg_@Mrvs>!xsPDem+bR)L??)*kl$f}EY|NOC4IWxoQIEQs!_V1OPf}MHk)SI0u z>)ON-&ePIOk|&2?=h4kn$mv}8I6+2H z^&7RpbI~vvh%=>&9#GEF4HBDDA3T();fSNeqPY96yn4(bgAqvUWa>0qW(|jAa(@h8 z4Xeizc6{qd-_aDDB3psVUd0zjivq`~S>c0I6VeH=#B*=4a?LIMI3b8Bz!Zs~UosCt(Q41JyjG4C`*dh9lbTf{*cO2PprX(B;s{gd^8GHiv(KIzMl6u(68jH6Y>JkC>B@2U2*S6F11aYqU7l z*aY#L`L?~Q142QbxJ1weB{Is!f0MMD>%{~L`JSvkOadM^np0Hyqc7+af7O zz2R>yF%Oe#!CNzQbKZ>Fs40bKP8uS3%9lk4Io_qAlB2PNZXfY4_}R=>(%P}Y3m_8%ZR(gphd3REh&Y6xLw1IV!;tyJsLAR79nV~KK)~GhpWQO1#wm4kZVGCa~ zzxzB^>c0^lgQviQX3D3llvcr0cGHl zwsC2c2v62bK%0ab7S}~sAqH(lQ7Rem$looWPf!`2QZgo~4L0c20FCo17_wxREMY!I zsQ~nfhAgNQoi~d|C@!6O96>CZs{Sa(S*dTcZ;AgZsWiM@ikkpGLv##-^3y%Y#~~kg ziU!}~eE%Z4U7Aq7kPHc%WP0od&>zR`9**TBy-Ny}YDlG3rfW<>JWQ~C>l`*v^pqmqp-JgiAY2{0Vm8rwUa z51t|nXC&x3AsyFNJi&^>5KdAs`kKz^CL>j+9^V^6iNFF;oVOxr&;r_sffjCZY9(Oi z0wWn68OVut#bt`C5sX*}nYnTQlM6D_s%bYnQVB6vcC_r>+S~wlYfb47Qkjk5 z!`>)&PX)_D@!Fx z#p}x)3iPx4fI|iwjSVjrcmFsL4$d73_E_|KH>Uvv9P|5?e`b$xUoP3119C1fZEv#P zBGrilKyiWO%NiO3u8O&j9Vijgoxmc9^QdPpUHum-uoe2FfR0z0o=)j-UeoMwL+TU&8t>lRx3UjPyY3 zVN8IiOx>b)9|&&IgIvgg^OfO&AWbRCx#I4(HDctvat+rH4h*p)GIADp?3}H2qffPhbJ6%@~xKeHb8}m?@*sWQo0D{-}V3&d~+S= z@Z9-ve{SSSONE&__FM?uw0J$QS^5Fmzm%w<6STrHAasPfd*Q@g;)Ihk9)FnN;l&%@ z|L;VM5c)&AYn=t<=h?`s%jc?EHm0_$St;giZnSxgQO)4`I2(nts&|w%gC;?AJXvJU zAs+;k^T?=lIIqq3t00H>`URb?9`~*}$|0RRv)B(9U21JW;Rlo6fzK7EvQ{5!|449Z zP;gs+ggR=E^a=q5UaCrus!kN{%rDV2Jz2CguxMJpuQlr)fVmjQK|}^Swk=+ZjMy=x zyrl_7S{q+?hc3ko=)pUH& z3bu^#-_K^VxmPnm{B8E=#jMf`n6kw$G0RNDFm_k!(>QxZ1hmcSN7ZfAr7CE`x9~No{;D`kA)1jcQ5L}`Q{sr_! z3mhi$ZL%jfQBxF>my!)I%kMrbnL+( zFDo^OqAfy-y?D9qI=DKrkkB!3sP7PP6d^_Wty{IzJec3+en{s>l}w_@?cv|KJf7j( zN1PhG1dR>QfITZJGbFa&Tzjic-I#Anc2@?IB12!@k|=BGS&hU&pbPpEoQbhOETRx; zw7Kx~Vm%kUMc@I3g{0t$huuoKcdhBU7Pko@F9DY%ZCpB{R3!ux=xfGbRg1$71pj#;8=5PZ000233jqLb0B!(300011WpZ@6CZb2#l000000Fnv-00000080b_ z000000P73@000000C)k^nO)2tMHR>QeOm!bE%*T{Qd=t&YDKXUts(NPylH}5DBOrP zCMpKBP5cNn#6;y;!-Y3SV?ao3NP1z6Q9@&)i5H4UFN_+ih)5g6(hrJSKT4&7P&3X*&~oBk|SyW0P*cB3vFyPHc4v*gm* z;$NzU@90|FOpZdLwYpAXssA}QFAM{5mn>IKLNI(%BF-cd_U9fYrN&dGP);gZ6%|MI zKUygOv3gOBh8_@jVt4U$Uk}ZAhSQ>i^I)!weG$!|sVHG;k2zCg z$?N2VN*|^ZOfP|BCeC3Ilhnd?4@)F<<;s{;jLzwYl`69hJ9mGyEkeu|VP;&8XPqAt zjT197C5y!@pLNPDK^XSs8tG%287WZPpf7%A=Sc32iXlkZAxz2MnN2m`Yb5v6XT|g_ z#%RxC<{`ZATc@eUlM@!Zoj%PRqD1=>qW|L0+TRb z#*Ozo8;bFMu9H33hYA}{=U+*=p|F8erS-JVRvqSL(~r{0>f0^3yLDV6)9SXeIfhsW zLj{dFyB4l77CCI2Oi|n~Q|$X$Xg4McDGGAJX%miQB75FzLovt(mir8v! zajceWWX%RwgHl!v_Nv2v$>Naf!fCvb#x9ZHg|BV6eQlGq8a5xPYA7Pi5haP?& zt7D}+uZ3$cl&XQ&cJ!qB_N^Q1s~6s(qL{I9wVq`ovl_6z@W%bGLBvuuz<#sNS1+YQ zUEO2j+WVHt)4D?Ct6R9pwr4fqrSyp8_36ia6^IQvsl1(Y^PP1bdf6Q6&h3=<+Nf%& z8*B_`Gl+RLApF(d9xChfKjxvA+o5i%F?qRpoa}YeSw4J4Yh3wn+03OHa8rxQHZHR5 zSq(VH6co?2Zd$M5D_i5{<~!@UVdwUGwB<>Es8m!xX6MMZXEoq{(+7u{=D|61ZcAQ! zT-9gZM}Lt#g)L+Hwbw&_0+nq)jcj{X13sGI&&qoJkMl)-JvMG`9`O41<*erhA3Ipz z_PJp*h^x=rtxR?8I+*#ZqeK_tIeOT?qaR=aoPF|I>i+rKvEONN4{q$l|ve)}? zuM&>E)Q96P(tXp5Q%qkTm;tAknetCF;MNGohf1$s^e20U`?r!$S6buZd#huB$QgD- z3kizy)+b_2q4iC)kV-`{jgQPdOQKBPF)uz5<>)L+MEU!tM-1inKN~Uh{nXk5C4_oel@w z91l7jE_ZUCT-C@qs=ypM=&;V|u+!;qt?Rqpt;=rL_pav~J3Mqol+WBRd8V=9u9eNW zx67#+7yZ9`yy<)M(uiS=wk-t_ZTPqx90LD&oj+Fo#^G27FZ%~#T+{g{HIIfJX1VmfG3!~K=?1{Qa+(zbdv&`-IBRk z<6ouC`xUkSQn)uMzeR`U)slO)aPX1)qgw8dCHEZh?pFGR@arX`68<^0@6ex+N2ND% zKQ6gfNG_i^e=po2rE@j@J=&DZg!`;618^S{?zh5yRk$mK*`UPuTvCh=pYgve4D&jo ze$43s@$S_na7B%~TbJPVj4SK6bbK zy=uQ#$?`kYM%--qY0^W(6_#()yp}4kv0PIQ<6EwGsX&$+-*Ws1faQj>TxJOEmg^1< zb;~*XAT3Auab{&z0}j5X7>Ke=naaO*n9Cf0x8uLv@qgp^>l|Ny2GUsA6=JLh9bd~D z2>x4L8K0#J{{qK<%<=hw2!VOn@x4s^69S`8R!OGEc%An;O#L1h`tq)4axMxIqs&Jg z-`0k)^hhk`8=v)|{()m{3;pkfYkZNY`z|Bcm4% z#k1Y;mTL?0Z6@6J#_P(b;qN>z>Ovgn`!n~uWJr<``dIv6Jj>P8=wpj&jhTE*AN9>Z zCRk~{Gss8(gm>~S#!z$F9)Or5#2vMK=DVeSd2eHZq)++6iH6`ghrnt*OL8Lse~=@S zjKEv`V?3WH{T*|$`oDvhk_@4L`cHszwf@KISg73vKu(|ib-X0gmF+%?r3w-Y0Wn`* z8gm3B{Xk%_8SHsnwj>!L-(orA`8=n;#e2e)9!r_{FT{XO|J&St@OU8U??g~P`os49 z`TYwdr_UoD_9Q+FF`CooPQ4802#Ed4;7__J_~-3M7pKpbI-bmqAXV1$!{L_Axczbr~zo3@_ zk`emfcOY+nFgg9rAJkle@s#t2Tlo#0A*T2NeaIqt{rAsjz%}cg`rt-_%zti;)$H?! z!jd4vzfpzAnSAb1j7@?Jf4<_k$eDaTt(chv8GduDW}olVTuG4O@6g2)Ig`%=D|B8d zL5A-zPjN_ClE<%nD!;x8L$mum_Rc?Z{t*7QDlSjKLi(R=w{>FJbru+GQ@gJc!Y-u5 z80*5xZC6A>*qNS$+M%5gb}A=^9ixGPP&+3R!W=mv?Bq%aJ8A-hx!75f5O!E3hVAau z#kOxk*#1n6F+KKa#cam}1SgSgi6qo~J}{V^Idwvq*CvFyWn!4$r7qsFB=Y};zAjDJ z7-I_k4*&rF|9AmhlRan@K@`U)nixN#UX13VVxfq}!$TtxBbvZuZ`@mNcIVig_|=R^ zun4wl8i_U*+Qv!)31}l|l_Ir>*an3wL=YsEil9{>p!0vT6Zc$rv%Adiz4w3b&3x%% z_UW^>-Rb zhfudV2PA?+DokB9eel`V)QcU&9;Vf?2XmHBXk`MGWIE+hqT8~JJpHz?oqPrho;$r~ zmPKJ=;xJtuJi7qFjLmn_i{$s(PpCx?x zUjDO>!vjdk*lt>#{6qHJl^WXEwmWeYq{`HUS5D!4nOPc`Ml*G-$-wTVV4gi< z|Nb9UAf#M5dSY%Xk>_b;45UvEVm&$L$5=5SPGw>CL)GeX2SJnWMPo;FKr{#l!zK9_ z2VKKN%GQASLN19QFXsN^+b_%PK+cggo*3#M`qG%Zx7N#!+ii>r2N_`k*&yV{&11UD=DSySJGvOAh#7jz#f7b2Kb59CUQyF8}}l|Nkd6lN|s6 literal 0 HcmV?d00001 diff --git a/register_8b/db/register_8b.cmp0.ddb b/register_8b/db/register_8b.cmp0.ddb new file mode 100644 index 0000000000000000000000000000000000000000..805b6c3418442ee341942911891054702069d440 GIT binary patch literal 53718 zcmeFY^;2A1(6AdIxVuXrxCaaF?(Xgk?h@QR1PkuY;Dfschv3fO?yeu_yzh7af?IX( z52vPT)}CE!bx*a`e!6E+KYjWHM1=Tc|M3$1xYjUtcCmJ_C*x*fAro=4wlyRB#>PR$ z!pY3a#r%zhg_}&(+}YjQ)SOJo*c3qajZ9UBOw-(iOx(=c_2XEK2*QVqPoFp@|6M*Q zLI2M>Km9+eC&Y<9Y$mq&-*as&bP8bzWo=B1opBSC90W)@$f&5OeJ9AEsGwXjI_i@n zcq+Nv0Pak9bZkt#o`8HKiGdJpRtzLOqvwkBY(M=Oj`%G7)E)JAzh@B-H@DlacYXae zHU|v=Fxc5sTS-)yZiV#ysGEB1xx#-XI9sPw13Jx+E2>agAi@UQn{h$G5}C?c#_R2> z6vq|+rn(@iT_Z)}5flk3zGZ(-g%TLu>JkJKtCgicV3Y`M@jVkihk9;qoGUd_y+Xsm z5`1=0emdvg7;h;_Q~6nhT6U=xTM|g5gwR|RHNg^W3m7^t%O^}%9wmPgw^O8hN-qa} zZ951$*W=21Qv=rf4KsLiK*U?QktU1CN&c{6XVQ|8#rT=4C99MEKGttPHh)m>g?s}w zlk(?}ES2+gqtgDQx*0X67uqCtY_alwm+V zsS0RfxC(@-p7Neqej9b7Lm$}9`6zK19s*e?1(9n-j3qleic96JT(M8=%MP4pH`fz{L z)mO{+#_kbeAl_Lu@ifj z!%M)`XL&By>&V_FpNt$+owGP%{?A3IZ zmhstL8KF$Y2(I$6346{~`-#kzR0YC9dpcpJt?7WYg5IctBiCsyfzi#U=m-*0njEIt z`AZy@EKyiX3H>d_PPIcu^m^JuCbeXf*kvI^qXJ2!slN}y4;!WGn$a&@oQ6o(=pMfj zXTtO6*Brtm*Hv-eJWp}Q{dqNyk%hHYd<+2NLmNoyrEZrDQ@7BE4)T>b-^!A=%7n@DV0FS`e)J_)RqEHBd*6q-L`~W_oztk# z;|(5U?)EXX_)#ldw_0|hZs_xHwx1PiW=tMe&3fcE1$Yd*tyJyQhPw2wFu&J7ikH|R zPt;5;p0D_|{bK8UCA00^)@C#~E}1o%(lN51TRPvXS?~qP#QBxVjv97D<@tlc2!yWJ zbS~CQ9Y+YS`!1;`t!i(#bqau^;K`zPtH~^PI_{f!Xz-T>gQa|kfPoIDfV;&O^Nk!L zKj8<3;5tXG$$Oo37IoTOpt~$fBWA9h2GNEGso*yvm$naorz$JU4r|8%jml+Y|CIb` zUXToT(7Tz8n9KZp70z{jFQgL0=JdY%djZZkZd`0`n$HO6mpsfhXuMYivl<#= z*mn$FkL&6MSUL>?bh4)6IC77ft3zXl;!#lfb|3dLh#GtGeft*i!7hpxG`}T=XT7U8pOVz~##MLC|B%8YauM7GDWvf-uNw8@P`;8@ zY?yQp>%1etU}?PwqMX4q6LYhzJ{D-EGp`Iigh9R;PbMJtSvzzR`qf$uoXz3)a|$HiW!4d#~H%16Z^$nEbZp&1doh}N2AaDHd~F?LZh8&qAul>ji(7M z?eXGxbwjPXvy3YEjofg);>-xU076q0pBU?K2i~WoyF^vOE|mmW7kyG6|$geNCRCW3P>38%dR z8R=)0o2B({tQo1R&VRxaG`$cMoErl!at|}RIXP4!ZeIeKI2%c%Qr2S$#)`i38Xwsa0 zJ22FouB`NRkj`;&iAlWpFAChY*3TXT>1Y>R3c{QmBGB9Ar(j#T+FPfaz=Wz@C|&Kw z&wE_4a3e}LSBq;C(>0!L9bm*~Kf?8^4)j-a*B7}4g44&Im5QaUi@q$sm^79*t(6&9tAm9nMc&#tQ{VkfxhQXoAP1(JFc#^^4T&*ZDyJO2@TA zE7yk+j$m>d#Q=Tw?AifKe%4kmJ<_y0;YFt=Lh|XhrQCd11J`BdnZCqlJ-o>ER6NRO zN@gC1Cv~u5R~JqwocMi)7PGnN-`9m@up;Jo*n8>Kl}f|)-~3>^7qj>{_qR$7&ynWV zgl9ShL1b}634c}kc85`(1g*em6B-R4jnj+qX$jpCXx5r9&W0S}3kcB`bI}d2Fbjq? zbkg&qw?FFLJ;%!=DpAMe$b(3yqc@*Pk!?cv*X_N%xlsG;3SMVnz{v}Qwfg>yrLkM? zl;!a%vuLjj%>!18%2pm%ci{Q)G01zFH|MV;jAFxay(iPiy$}@g?VUl#F(n2~>JOJ^ z7V5t9M~>$;4U!af`wv{b`y>u}L6lq#-_no)c-3&<&^EwSpYxxkh&^Q2)9L4D_YivncWKxOD+f%nWoR0!NxDAs?P`pNQVy%+tY0`S$J0=YGALApx zqTA>tp2{;>R%#C`)K^40gUK`>v&tW+l@I*oR)Tl&tA!Vx2YeoxSy#*^^)(Q*stfDO z?0Q-bo~~H8%T|8|8a|^(#uPLPx=toue+v!g*sq?zEa|e+XD^Ko6GeX&{p zWA*$xMeJmE)7DBjlG%2Q0-oAHi3*xHhZ!1J+2qx!+VFH%S#;XDMlU7RRWc?>F4xSx z?$OVPALf7AxhQR(kPgJ3a<^jtrp}zxqFkD@#H{jmLsfgF9kI;wVE)wYAF_gDh(Gr> zOc(x^TJH65C3$}AywUjXX(GLP$7O|G*%YEpkoh)Pu)PHZ<`2M;nWGx#g7awJd?zOtw4uJhqG4@M;{!=+ zHS7*6uRKa)(FZnBr^bxCwM?RE=ir#4pp+r$E2eonu2eYl;%-2HRyq>O)ctL=tz2%@ zt}Ybq;Z@bn?r&5okq^=Eke?!~{dU8wlBm>~qrOg%0e!BXqSTqHzK)df3YSncLh7ZN zDlu~2Yw@LlQQckW^4so;VH(Wvu>t8z!t-Rfq8Jp<%D1^>#YGd52YE}jT(9ERt#{tX z#?`8!bck1=4_|K8Z-sa8JiUF8?@>rc{S0aKG~czA9`cJsncru|jpJx$7vC_h7*7Ed z8?VD`G z!c^ZoE+1PIa`XxHe?6}AjQ4)mgFrjnA$({hohU zq`cCE1UHheTH>qB{NR#B>d@Z5eEiUSN?o(THWeW2?#poBKZZX|nX)S>7E1>rrGrIP z!C`NIT)qJA3)DsKpg7EUb3PH!Hx-xc9jWYr6_?peM%*@1`(%Qa+rx zJFPeoUT8E>7F-%8XJeI6SfS1>!{ zjFz07kVciQ9yuPq*=@yvyTS=)mTzK>(?T?Q;2YVHnWx=bex)@on68A!->gye1H?ex z=n}x{Xm8^mBS91%-h6x)k)hM9_XX5L#ep+wJ$+WoxfV@fq-)o1SoRKg)-A$z1Sw+q z`YY#X6F`4yc9U3VRvX8DjpDdI+-L%W!_JL-PR!U&D8m$zPJ7RB)L7B>ziu=pTJqV<=H%P-jv`xZkW*53&E5BbvthGEqA z8E9MJ9jL_Zt;5oL=m*u6HP-L#yP?DdGhr0xqD4P($jNI6Y1H+P)=1A@Rs!IzM{Ne= z)(B(6&`RapI$p+Vwl6aze=|E^nxc5ia-`=REHV*qH+l>#qiJ$Ch2!3LD`tH5kWIF! zsaFH>UbS9CFfvD0DcV|TLVXvYQFWBBkUQmeFZg8;KFiosFw1!Q8K$`WQ*XQqhkN)0 zm^7X}TFxg|`bWnOrtKZ6Rz~ql=#xH+2fa>6&h-$FatOj`CO89o)bO`IwruB3baf*> z%PV$O(6HJ%_ZfPPw1RtB=H+5^skr8Ll&B}HX4L(A~uHEwB-cWhP_#}r# zPK`K?L$DP$@h@O4MzK{5=b&OD7ZI@mH;CQFCvTU@mbf9vw+N+brPFQEb9h>Lg>(bI zDRvWPL1e{ysBNT|ncx%?#Q#Ra^VPTJlwWY2n#f79qV$fw31cjnaO$e;nl}vg#0OA0z z0Sc7fp)E}x`)R0NR^dmfzO>PBm(;P!ZOJ^(qWcXlrEJFP zeiV7au2L0gR!!kfC@hJKxOiy=RcFlF-SaQbSQ1C^-O>xxABXCuX2a_7?#|-JCZV?% zyH}$VwAT2pj^akbWlzM03N2-J!&__VZTW6By`FoK^UHW|kTjY{v0jr+Ro8E(K#!ow=-PS6siH2i&@~1Bw%hwei$=ep z`DHjah(+_GF18K8>)Twt?tVh}rBeBq7w9#?_vW1_jg>}w2t)GFPazWQ4FVTgqcVG4 zPr0I|@SN8aogwDeI`8|j+`tXU+M;61QuG;Q)k)eGhl4cAMP_FGc@r(^YsEW5Fr+L@$*V08y>^ z7N>|ZHRs_RgDgr~h${B8(o@oMpDe+empgn+8>=btA7padBX?$cd@UcbLY9#Scr*5x zI+PkiLILq7>}066YYc}B z#GY=Ek9uJW{CxeH4>b!}APJw{=I;v)m8X4_)J?k#4terFQ$@h=loXZnT0_h9#Ly?H zNGwHF34u*mwk1U%O0VXU3<%zB?XH-_KO;dz1%0uCBiQ01g6&u5VK9@=(}E)i3JcK+ zf!d;j$HS0RX8DXPESfJf1mTp7f*4sqgA){%`$d@&9MCKS8P>0ig(NIG^|SP^laO9f zpU4(XRA}xOD=9VU?_^cK0=_t5L5ohIv41UhB|_6Ytoivp%H$t)80r`(5fxvJq=>|O z(AYmCN751htNv?T{=e$QlK)fxpYi_-z5f#L|GTmi+eJzcj$2VzVk6X*PN!Z>&?j5t zvfbqTps7QBb_S~h0AU%Xu&3wrb*<}fk^f;(7wnC$@_7zz5uY?j27nCoW{I$~0}udf zuNIy={N~`np?>jm6Ch!&#^kVKXl!-|yWTQC6WyRr_~z(gTL;lu*Eyx}N1Ij|y&X2* zWKKRxBD`9UjppTU>*{Yoitybxc6-apxwDB0Tb|%Fzn(;7;cO z$CrC$%NLkK*h7p`~MxbUBIr)?_2exC=s1}w`r?! ztsgYbxpUIZ$cK*3OKB7u=l`bB46E@#Qep3XT)JAOS~V7{E6C)Ho>3OA&Sm)I>X{|* z<%b>nj*(6fo*f+NRdAi*b19kMBi3jtDLa+N_VBjz`8&qKGMp=Pcz$nsd>8)N>ysDw zqrzkR^&+6=M6!;wGu5uWFuS3#lQfYv>E*sOPPODfa~TT8r)H zH5Y9?PUv?Ud7(!M)}$fC9&KM<79npDIH8zz#!1%5h*;`ewDt?Tkz{z;nM`K0?*(wO zXCJmM4&_Zk>uY*>F2og|&AmOHa}fJ-Dn$+q%hJP{|F@mb*mq1g^rz_$p~I5yi(BQ? z4SW}$&lq+KmqqM2zY`4o(dEg@#qyzP@42n+Mj(E~k2Hc8vEYlSYMxL`*{#M-VMM<{ zT;36Vhgj_1pDAVI7rTZ2u_K^tNGKOqJy)`J*j$jB@A~m@&CU2axWFAlK2VQs{r`?2 z;})IFJppfj+>LYO3B#Wn@cJ-pEhIgMJuZZJjSYt^jM|gR|92)2W|~Y+jq6RrC`x#Q z0UwJq2G<#XR~;P5q;4qqhxoDmo{4UXw|1?7o;*WGj^fu2=f~9E&Yuv98@J9+~*vu@g;izLO#65c#wT8wjT!B zTB~mKm^AdGgG%t6D{^sQpLE`2H715=&|6+qy`HvWydJVDe?DZZSdH<8YIwMX$;)2#T)#f$Td?Hp{ynDx!Wpt&c6QHJC<5w3hV`77j0S@E_5 zYQ~QzJzIPF|?nOB1^j-ton08=&}@aRwvKe&j( zGE8?_`g4p51Veq%QEs12l3Yut!7!A3k`Ao8U(omq6kv%Rs#q_{y=MV9W_jIe75qR5 z87eD8qJInDd{=s*KKA+xN8hfidselXr}>{QDdFZ&GGv3E_sZZW-{~`h2a`zOi|s;V z)q;Hm-ee;0;`zIAqy2yT%2y4TM+GBht2RaPMJjbYkZ}JRxTW4dV znR@U29-Q3@9QwG5{fOhH zkkBhMEZc`em&mVqCLVkRYZ`i-+wICC9{X0T;kl9G*89;34LzvDe!_O!9z*VPvaGnk zK1Qwmqoq|p{>yQAHWd8oF@SJv99lo!yIgM*?hsc+X#dd!jnMzwM}asLk2JJufMXxq z`9`I|)@@u(R7U&>%5{`7+umCF3X2m-u74 z^B)~wpaYE6W=SjVR0%^g4GaZOrYJPq_K7**9hIj#ZwkHX1C8X2y5sJIkK%2%T6<*``+Z^401mP`VS6HI__a_|T%QsQ!sK#nLfhhBEO%V627x zRLdXgu5?v!@^Nniw%~-ep@02T#3}76Kb3o}s+l0G$qHl#GFsDM6AhgOmc4*4Z@6VX4}o`&6F`m?@&{?PC2m#a5`Q@g=}|(6ZqXooAmj%m9{#8PKjZ%wdLQkP{}S*2 z^|CXtj3sIJ$-)82zsm+#aTVTyvU!eM8lS@ozRwxHr(yH!xns$b(~g%;^rs`kh4*5P z1~CnIQ(^b4@LV)mzpQmYGYZ@AKR~T{71-9Q2bkZmrL3GMoIE-q|FPXh_|`zMAlt4D zjotXGfZ`BDB&SARD_$r3ZQRrpfAKc?gF@ z25t#@hn#<7zL6eScy%IGdL@jJdm8>Ge>cs<6(Q{Dx%k^6dKusstoR2T^^hxf!uJG$Kj>p-j@+)iohZ`vNkiktz^Vk!JN1R{n7ZMl42%EebHOZIH9%2y56_g`a z-EZ=&eY*$DZl}!jXwDKuy1p)Gnh3`tQ}ns8-#P5|3MTU`bT+OhMSAB`bKS89N6LCR zgoBx5ij6|m;w{!lHR_fx*;+e|*gOyaHm+Uj#~rPXKdnMQJ>Q(7K0bzYGV(HlA z>9elSUf1kkndt%YXJqzRodjr#nFbST+j_0Td=Zg`5}y)yLymC-U-=!dQ1&n_#(J0@l+^%GgdN*JugU>t@|*uSmY z!b+gzvKYliYDLUA34*p+^2h@LGoQ&6Ps5=Z!IaYKIP+L0bUMa)+%RrEq_WSV3i+Fq z5%)@Tft(gXhWSAl51FNb(1v}Zniuz!FTN1|4X3mCBG4+4;vxWE1eH9oz%C4NMESLvZ*s!YmyPUl?gDP1b)OT zi9^|rL*~(qkP9=O^}Y=YxcfuXx|@0#L{6?;1hntRHR91^Zo5nzmrlPPu@)+-`z?u z@_r=JR^?aWBrozRWDqN_lN^!zdMR}<=G&-VFPWZcY&|Mb_^guB$Pp}s`sZ)sRpcxW zR6-r}3U3J-ZMk;OUk&qkEZWJshEqB*oky{wgg~h!zQ0{65e*ieh1vcd^C&aor$z zzf?9B428DU4W%gun>2()yMiOI<3OYfCdO*yjybtVfhrVScmEj$dlcW=Mm#5IWz;nj z)<6JxZ?PG^I3nB;mdS^=YnLCf*lxwp6zJfki%3MVUnB8F74eEEsJDEmZS&igz{(n_ zN<8T3Z{<}4a1FqqwForHkKfo&oA(k-U#W(0IKwwo!kOzjh(6RnS0Xi=R-v9d_Rqnb z!2yCd5MROL{?m5hQxaHT2e{N~eQ=Z?XEx20rrA9w^V_kNNkXqeleT>Uu3ho5WPw4& z7mz7|8-t?{vn@ES!LW26BP~YlDE+3OhafoE2 zY6U$jn(e0dW~e=1aL8b@8|y1oRAX@0freCd$6Yw7Hj=Q^0!lc^Qlsur9|~ifEv>zW z<4Rn(3BRmrS6)!xuU5xxqx>{zNdiQ~@|YSCQ>*-K>)^0PvH*GUVVyWbIH+(gOtSbAeX} z7F&9m{AMsvo6JvC8!#e+C!t2FY~k}@Bwt1oRd=^Te{Mu4I<|USYCq&5Fc#f^wX*uM z2Nqs*(dghxv#I)dTo$@W-1wBMDP^k3ex=n%foffr5V&mkq z(&LAy70`XovjH_ibu4a()qT=~Y=K5pr8NOqaP5tE^}DiXC~pIgG`VrrOmyj2EOlPW z;aBfX>M0`L1{Dr`4=kyuZ-kNHTtq(o$iNQD8EJ?YT0;OV_0B#j-HNb>apyg13qO2E zge$`-3A&(#_~OIp=_g6jI(HT_zmCVkk$Wmk6neD`od_+KNeWi7T$K(oGmpYq4|U+YjYk&-)K)_s?abJ? zz+Znx7(JiNpZx(sWRVct+Gf#1Af|IHI8N~c|eNX=?wkSsaS*0l8-5S`CgpwtZ-XF z1oO{#9fMCEw9p)f2l6K<;oq<&V)8J<6sBiR`3q&;;v`d71Jih1G_@ks%oGlSD#>ET zAVtcR7nxi{a52#87Fr`_%iA$UMCa>Tet%yD-t5*cIR=*&iS4F^IkI+U{z_&pu>i~d zb6|V))3+;V04qRo(&iLjyK;PD=1c$b3Fv_P@cnZeI4s&KuyR?Z9%Ip0PNUNZDU=Ub zObI3pVP0B#t*)FW$r)Ha;X4(t95+BDA zSjxXO{jhxT?Q(szKHTdVmJm}EL>ZMs?dMr_UxCH+GB%IUP$}`o{0oH#8SjilnGX;m!k^)K~0<%xCML%uh|qDU?co_>()& zPAF^Fa(5U2D4tlw#v#W}!(8U1hg&iK@F&eb{v`g#pWxt3TT;z7%ycn6lieX!>|~z` z!(B6_NIRgp0$h$uQh4d#m3t61hBw?lKIJF+$EOF%N|u%?|BvLY-`}X&Iq2bL>OOp` zmM#0xQ#=I!PpAmn0Ntr?1pWz?2qBh*7{#tSQ!RPAQ`4G{aJiu+OZX7}5ipS<0>OS3 z<NO0cweuPgl&WHP~&Ri0$K>`3#Gy|f=)YczAprFID&`kw^#`X@oz*%C67Fl`QuSZ5VZb z^AuGWL=3V}v(wYVG5=$ZEj6?Q?7$Py9@+ujdC1gHo6Kk=*;&Icc?XIrZ|PwKQ$z;g z8R4X2E!rcI7XopD*sw7adM?j);1hl=FgKi$&BILin|lUk5h?HLVyC z)ECdYiX(3c*=)k&tB&O<|iOHaZ(yd~gak?1lF|f6YR+i6~K!T@3X{-W@PUtuaU$ft!@j zf`jy95gKDM>`D3Cu(QE)=M7uyuVGlIXS`-`d~5XH2(bgO)as#=s?j_l>#)3^6A5zi z<}asa-wo1>JqFgaxaYU(DJdRMOHoV{G$Q`uTx6Hv{fPUEfT)~Lu<$r$Jo+|ezplbd zV>M>Dpj5+~rjA?aAne~vRXSk{1(_7(Oa3z0Q&Z-=!dR(}R%XK>#W*qgGhXoil1fDM;93V|<6c`cGOREc4D?iE;3&b(y zX#8qH>ZiR_s)zi2Xg5Wm;i!}cspT>QScK$^Rq|hEAK9~}5rbD0MMRrzpXt4`mGOko zDab7`mfFmQpQA)5Ef<53*_!jwfMVm(2q9Lz^?<%@VvnFhJwgDeO~8X6806{^5D^p- zIqHqn74FqpqY)JlHEJ-5*LD8(m?Au;1YrSJUrGnd8h@z)Poryu{=(&ES^ufTv(ANK+6Vk4{NJLx@|TOk^Z8fmH9 zTcNK7aUk%#_G6|ihsr|(D$}4b5?G(4#0JT<*;;hHD!!7NiqfOw2aCC{AYu6U78>=~ zM1m1?)E(LAI6>Clo&ZsW~77jN;US zy`(K{?on9HiooY#GP<`j5?&rpmb*o#SG)z&D}r8|;QWcv!iaj)!}RjYg7%FYgw&vt z<`Dn9<)T}(N$2ytS?*c~R3Zpc+KK&9B`MDSOKzG2VcCq@zP|*3MP}QqmOnxrskykP zTMz@O1L}`BxB@tvbd?1W--6F3D^@4pu|tkti!Li)O3B1AA)w5?dxDY=3J@E$FNQqk z9z-)a$_)>^uOm@L4N-XzOjE#aaG-CB_! zYZFpPpl*K5?S|?qu>WEOoQ2bk=Xu1~Og2LS!+c zWK(fix}FLfqWB=Ysi4o*R@?9f(?Paczz|L)%C{bAyh8eJs&vd!P6o@=+e~nA%44UM zzm94djz8YGMw?2i2%U3_xX&v_~jwgnFA3oUU30&UY6nmO?hQvqQ11{gaNa*KHf7 z8+HwE4hbFq^~xr;dyp&-T4GN@QQ|nBZ(QazLa`_&(Yk|)4-k4MQr5)JFQbplQ5rMv z=;Lb^Z^zF`mBN$i8n?tSIeCUs7|T~?4_RlOGKgQ(%?61Dw2D5ZIcYZkP!pImJ8|% zuV3&9MDu~JD&<4aVtoS}mmrq5rd1JkV!s$oT-j9FC5->}% z_milnrdoyh__Q!r5N5g7=?ZSW<@P+Nf*bQuepD;O(T2v?6uqjHV~WXhrZvhKpDCpY zW%Zdc-I#6SKaluDtNG8T*uied>&h=4Fa-O}%qQ#YiCf9o^|HU80oMZ4x5VXjCa*1I za?^dh8_v#lKijBQ8}2@ZAss)Tvy3$0>f{`Vqv&W7X_wK|K_-&xNBqwCUP|neRIY<{ z-xh9@@YJ6nzf0h&FAnrSylv3qf)UVE8;!q7;u#vD^S37`1{u&# z2D5RIXY1Er{+l?bESyNxHIQS{O1jniU`^-}SZ#YkX;L((@Re znirYZ$U?Hal=6g#Arq%y9I@N+`WoR3z#C&Q3G98>7JF2!I=29vVK`*dfmmnDOK(+* zEv4FL^puYYYjvu9{6x!&SzzgHUQLSklaPR1Mj!>#h|7mZdW~Z?jboCqYcvf2TE)OU zZbl93Yv$aRsW&E|0<~h`85A*>K4f$0XPhL9k6mh9iF3ZtT4^X)qtkAvS>MQH3G&p9 z)&`P6agAs(jtc+anuhkPgLm;XtD4=d6%=a`0kQn59j^r`pQ9nOR{2kGPHF;+F?+5Dif8LB5%?D zrnhr{?A8=AVER-5ggm$SoXQq!R>jC6FknoQANhk zLGGS^-;_f=$@AW{7h_&lwwaKS#s~UeI-<-vkd!a!oP%q{k98#|J%nV4`S@C(RBVwRRI--a6#y$MYS z_5Iy9L?pSD!UI&&3$Wfqu|Epb!sVb^8Fh~cAhFhx^_mmyfYQv!!?vQEcRq^5A?*Ug z5f}n|ko3MQ)K_c?7=G|!FY1g?2K|9O!k(<4pNl`lKi{=_#mSK8Wli(<VeEzv7cGOs*%U4ZZ4M3RY=u1Pe4a%%&Hm*8?p1w(Y!}`dv zczSkh$DDAP9Up(UWz7^78?%Ta%nvL$CB$+5tl>H;V!6}SJUSh7v)nWFoNbZ5S-J}*P&@%+| zM7lsi%X|6Rl8iq70%k|&`u*))>!1(2*a$G1lrj&p($IlI!nE(%F8Q98nT;0s)ny(9 ze_3^4k2a6e6~zakGZg$nF$ymw9vpvOkaUC!`US+TWjiWjfMr#fZ|x`C72@w<=enMV z9lc$A2qC&1z!|iJ<{WZlSeiEzVE%SRT{d(d4#V_Oh~B;I0+D!w*CNKh>uo5ko{-!9$+R~U zVb;il*NR4Nqq)`CBn!`?N5+_zZOBT%hiS`~>M`pr?O}ZE&F*GPseH1{?@m&CvLRwR z+SD+87zf=ygHM8-w*4YHl_o~IIW!`S?Bx3#pT~zExY4eCI05=y>Fg`l>b5hB^==&2 zjU{CY*MxE|sdRb9lL}dTyubI#!M2JrSr+e1SwiaL7CuZz?Ayr{FMER>Hk>P}N+plI zGFFYCO{CbzS|1bCLo-KlQ6J#AjNQR59;Mpg?caHF$lW zyiuk<>s^|{B*nUb<}vJ|J@IL7dNL2iE~Gh%tyRihY9za8Ud|6DP1PWOJGxlOZ-Pf*McZ0j;Z z2{_tag~3kzRvNkYd8BIyYN8K(#h9#Ip0MCi#DXI~B6qiCS@ZK+t~& zQT4YI;EM;S;La6Z37XL#ERB;Z&Y>=FGj%Y`#Tq=49yd2&EV5xsyInjHz%{e&^@BcQ zoJ^$QfO_GxqgNvJNgut{RpKh!2R~GZGbjlLg{r}vb>7*~?#AcNzj5@Ft20-bbI`ky za(^E*uzE#4$*|JBtM}rf_}<40J@;hmfJ#_8z8%#F{PgZ*CQ|<>aj89RKYFBmL=h&D zLTus?53kduD$m=0%+C(#mbSk?Q}w2+XV(P@LX1{OwZx0|$#auam<*FsOwr%TBM}HZ z`n)YJDS5oT?XIUg#FnPY7Y2LeJXvk?YG79D#Ts+;Z$wbFo!;beiWpZ>u(^LIrfTWx|Ik;TTO*0pG}hC!cO`Do5xwHp^}ESQq8 ziE~i*pXwizcZoh-N*_T)?I!l%pI zRm%+1LJ57+g%NrAh0ZNO+gfTM!xz1Ll$p#T!v_H3NztiwZk*ZmXxBU1)5IVE3D9BV zW8+8n_qVmexh97COW5$8EUk|v!Ct!dRA8*poC4m1*J#DqUR4=U0S-?!*tXH5nLC5< zTSi3~o8WLu?2;Zw=4qDm#9rU;arM5m9CR@8wlq>jSbfL##8NwJeT&Wa>zNYxv41lE zeAR{Xy@y?M+`_NQ4Pr)b(E^~j+%hlVq_$}$Rf=65+)>M-U}64sXt5S4JMgP{)$Jbc zi$db?&vEa{eORh`kUHXd@oo9x(jWPKEya02<@~3aC&n5<`8_R%)AoMpk7s9qjhzS? z8#gl>f5k#JB3J=Rt`Rqo&ay2MRl8wz;p5?Kzs3qy@gm0lc>fW5tUL=xU}(8D2Q>FZ zfLa(4%?s$`SwbG@&A*QOJuS998>g1HdJLX~c$fT^SxLyHSuU4_z4+T=v1}OO-I?SV z7boc_{vr^h+y4RjKn1^3ym!D{Qws>?vFv@lSC zx2M*+F94L(b1K}%O>?wfc44`;n&o_Txp(TQ z)ZVPEmsnd_Ph}|@{I^T+8+mUmv>xG&myyA-z+~8B&-82#XogymKrEAX4`**Hke4A2 zJJPJ8bABskaDKwGN2%tlt?!{qvZwrf;~{TtGUv3|7S=Qzn_`=Wchl3>Uh7&n_hyPx zA2PPQ0MjyQ4?m~f!DFvdt(cx%Y@M|*`&n+Xb^l2baE~-=%ldHJR#9{Xis~uXzj*ez zGgt)9Aa=ipm{NZ0fokWqUakkCf;gG6_#hr-YfqqFjB`-TwBl30d6gCg5G zw%=CTS=@VU9em2O5Kg4Q4vJx*j`q0W{FCizk($cz8S2$4Qu}*6)cOq%`9S^CYd!7E z)4%^&Yk!4>UkWfiS}5_m^FN-c#?6pd_W!g!t0sxtP>iN88~<^ify zM(gQbEqLY(2qarSkBtTDpfce3J$$r&+^ZF+&g_in6lk65N%LA?dwSsRbk84}K2be| z>_NLR8GQJa{ka}K=C>85jvbvv%$|u}-vjl4Cj-}{KuOZV%e=m8eFu}7&Gg*PWbW|v zD0OUX5891AE!XJlXzN9)Ph88%_;(`|sY97eRrJ6w**yybg;(3DJ@5#9xQ8m41B0B9 z(R!Gd6aD{`gp5)lJA3zi-Cv2H5iLw+p1!m=X`v~zuSN#HCHrN|@!iN=L$XXx6h#^H z`vO}La{~3M{Tj=t{Qe6IOfCPnz(wjhZ%O@zuKTOT3;8pj=3nK_0h3_tyQF2LCkNHk@*0|l;$Y4hy4og zruDxM6Ov=`p*N=RuMt?zp5A&9sQ5&tJsMv?G|d3hzKVW=0tT8IK9+t2yN=>?=VJ z&Cz;4=EKkQW=f#8_S%9IJTG3=dUm|#+Fro3s$v;z4{g@^Yjz6y-)f8jXup)YlKF&9 z*}5~{^N>nC!S0Lu-8;jF2m5;c3RE9&bhLgyJ`Z<&uLJ#0T3EIC=QOf38YVpH*`t*I zn_{K5V|{-g#tZGS?92^vn7uLj_BGuFL0Q~G-soC+E+FDB8%2>BS-K0H%n&?G? zO1%n0n6&v!u!rVoeXM6spr$fCCo(<#JsxV^5dQNnMJ(+Zwky~7($)iYiTxUng;L+c zC)#(VdN5QwdT1zB&qE_nk9#s&|KweLgJ0TuGLXac@K}9kh_$TQ#@pOtzrwr8!Hi@t ztO(|!OrR#iw6wXHqxF$yiba{(_4s#9EAo?Zsg7qvN1cYFB2`47{x z5!3T2)6)ieL^W&e|EdK%fH%s4vcC*MI1CySVI{(Em`u(?=1Zg!nsV)rppX0Rvle=EwV6x< zkg>`1baU^n26w&5A@4si38Rf9s_^7eF9-f33Y&53dAJWNIRM@- zN)dzWam>QI+05P1o4HyazMrq>u_u#bQLf+j*0?}z#m)gfXMFw`DG(>agq9v#l;Se* zUvm?Tf?s7a_Kt^hq@-s14c|>$RnMWQ!aV~X&JZJc%v1`WIFYOck2LTsw7*2I4hwDH zTu+m&7ay9m2hImG>Ew~AqUMdqnXTU37Iq}U?;b5Cc{P{Y0>ieRnS9o;2t5ysQQfa(`^-a zH>~@F$@ov?2-IAU8*t9=`4%{NV|&l*yt5s+O64IBvATyww)4X=-k8EwJZ8^DUR!X# zC`2+8=Rj@a$*lTw$fP|#z^Kq1SPfuwk~#P^QJNE|jvj_e)%SX;)Lf?LJTFJ7>%1Je z56!bjse8Q~t+Sj=+W)8mm1EZN^VQQl&E*<8k?4ECo?QcXhA_?_#pVUJYLnqSJ`)2I z;%I#4#Q2-dslL-)+m6-jR&twTx`5vb=V<++XQ9^qX>-7yBORy(9)?Ap?p5<5uo)9@t|pK7+Jaw2MOw^@(R@ONxqN?I%eED$TOfzx14_KMs&;P7=P94dJcrkU`?Wj^ zwVv!*2=m>`3Di6<2mYrLn+3I)j6W7CdbS3|2kxKu>{03!rU(0xtOX*Tf5vk^D#Ov> zIV%qztp|BCE>K^3Hp3HZy?Gia*aUdJ*a3{B{eu7O!({w>w&9GL$@ss93lxUxR5Oe_ zv*%H!XNgxUP;f}>*^IyFp_(C1fE=h8B{RQDVtgCO?_#2QR6YX1Tw`H+TePR}d}B6Vcq0#$(fW4i0nJIfZ`s~;?^?%C zn6M_=leSy`itX0@r>UpSg2TMI15fVq*b8@pdDnAS?J2}VtY7}~-qZSp&pV|!19s3a zo6MNvF9m3hQhaY-e4#Hh;!In|GIIj_XvF3j)?G=CU`$RM#*N9|`JvW-uyy%FP@C+< zUY;JMhOwD@iN`Vi%QB_@9bdOXyN!i^u=_ype_PmOWSYWPYEPgJhPF@!bB#;~=sT5B zYPc6i!GFZ?WP&Qmz+G^l$0lqY{s$jBg&5DSqxEEc@4)WFSkp%Eb?93X)pJ=da0HVw zO})9SR1?OZ@8Z#OBX}i~xtQg=!E(Oza^N>CkYke>ogWy-vfz9#n<@T%4zPD%z3_h< zsMKgSQ}}Ol-ex$T#c;lo^`h8oJ>M4XXEe zHbb1?=~3!6&-=mMeaxO;*>dyh5UaR z!*Bm%R9#P@sRYB}zCllqQs1zi&SdsXV?F(X_4Iu9g#3R)^Johuda^_Bjo}!E!3;Qc1o1@gtUf;DI5ZA(*Ml=407pgf>AI4+h^n{)_ zR_Z3^v3q+m@NA7L$iOa}dF*=3W5crS;XDIQT(M7>Ouy{YnOx3Hrl%FtQ`?IeBZc`F zqZ#l0w#nq!Z}@IC3PNR;>Ovj{3T}T+^h<018mm%xpG>m#ZH=1f z)$n;f$Rsu6WTLg;*OXpPR6QZH@^Z+OghtS2=qX$su*vkoe#7@dIa?B;LNdF-`G!qK zCjB}Z#Rq=*>)oqnG$dv4_ovC6+n{U793N+`{m4qGQQiqmk-FGhm*Erw+-OqsuJ!!3 zT6n+Bf&X&^1fsRzc`|UDU^3@3X0v}TTBH^+dulR!9$@y|;mN>`9)59P6OM(}LxHR` z$9$$1!kq@*s>grPlXm_*j`iydmUBPL`InaizXt)6s6ASL&$v;;s|EkRfaP4ma;{)G zRg1%mn18m2;=AGe!w_BAT$9roTDQsM*l+l5a{72NNud4#u7sjduJ_m=nNy^0V)*#y z^W}PdZ%hMqKZ{8kf}^KxY5hDfhUNt77w=@dT>DQvE>iD#I}V75JU!*wKPQ2e$cr-r z)y#_uU^W3#NqbK8Y%bSldOa;tZ9P=^eh2<sDk4%?Lge?}bqg zbHFEfGVuGIm>W*!Or{y1mtvFAY`@{V$??w*l^Otj$K*7BAGd|MSWD|e@MD~eo{i(y zG1JJbYX#z*Ky~x*fvbuPRjfqufsddiH%Bmg)@QYvv7XLjV{v2b0USQh zvbnrZjGvW(Ux+Z9mwKMoVdH9bW8AP$-bPT2w|OR>@3vN;u8tA2GH`Dpv)R9H4reKB zJ>^eroyQQv=dNIKt#c3A(TGH^#%AjwZ7uVUAMu;Z`7f*0gSCz#v{s-#&)^~PH*{9H zvFCnIbK3dOPH|gU6KiSxRGedNUfJhA$)29VJY<%WE_}LIQjhJI?L}2jy6|`XHW^f> zvDtJ7^NRUQS2mAwk5GX&5W9qXSP2h)+0e>spT_;b0+qR^{1X2j(+Z=h>G58S%3i zuI{tACNOl=9&Vu-bSk6R)2Gv9FfUmPPlS>=U&KgYu3-zlCT0HD&*GA3-0Ve7<OG6U)Hq zf#*r!Zf9F9uLr7nnrE^IjZ}(ShyMs4dq2xDxMz}KxV7i`v&{m2l8mhez zrss_~2N5wI+vZ>a=4gF+>{o3L+#eO!aycv49y(r1o$Q^`l42Njr_lCy7y;;oO+* z2l;9IL*5RkT(9drSFK1L?VTej^$4@aKkb2MF?oAoxJK`_rL`aF1!^3_=YRd`Kypm+ z|3%WAa_wJhD^gc@`&>ASgUOeylH}=@mIV>S?yJ>5)*g_}skf#~2^=etmO}1&P1(Uff z&T*PyA<8Gy`Z~Y(;@8^lWoLs|dyx$Mg3q%@sogw34|^hSy?|$9veqwUn$Pq+ zty0gJju5V{-s>RGFlM%5y=cRF+QeJ&;SNA={en9>Sgjn>{3gR^48!Mt{kjaDO-k*+ z#_MV}zqVj==Q%I3g8$#-ArJpGgN@fjrui5)Q)Yu695S>LeVw`MEFQ$z_wu!=A!`fQ zresg=wMyXK_}*@;7K$Wm%>bKiuCa%&P1B4>^lCKQwaNA(?aI-vtQLQI9ubLcej9AY zT&p=ybBGYOu612NH7Ro=D6lwbJY< z_i7cX!@V3nyA^x-5L99Iz$QD4C>ySy&C{o*cwX~k;SqS%{dou6~8pr{$ zC>eafkt8(3{XbqUV^3TuLRITW&GaF9N~{398_oEeESqU^P=@v*P!qhi%E3eX9trwFHdtcx^s1&SBlcG$PBTT(v;`)6_}mzyYWqd&7z^QP znQ+2@TAhr4r=?Qwc}hOyT_6*15I!b+}R7z5B8rEs2dn=uFF3_io+Q&5`& z_t?XM6n?{mGQibXkIixaPr>E{DZi_|3{b^#XW=Jt%eEGH8#XIHiuVpyMyXY;ha#pF zx97RvlBoV~v*k=+3q_3oZxp~MW`SjbivB+ltp)$ph{^cB09EQUCWE`Sq@K4~j{jV1 z^W?5%t@*6hw=8El%lVq+yyfKt>LbXp$;>#-ybb=69c3&&fjT>bx9Mxu!n^SsFuf8p zSE=pELStmwvsy=F@HS&&&CWvZZRUauMb-5Qn>2kN%Dl}b%-c+g^|)I8e{BxbuB@jA zVOS6+)6?~tC*fxf_StJ#(O9^@rzcQc5B4xa^hG7GS;T(n`e=7T@O!Mii^>3KW0G7kAO`PF* z0PvZfX16Orb!JTQS9LaFPPSDT&tzyVt;^Ud%%3RESK*bb$Fj;WoZIs@oW0Xt!2f1~ z_!(*c{xfA_SW1$ zHHOub_5!Y7R7nO;T&W)Ty3%r5dYaR0PPZpA3SP{Nvpx!QO%9GlQij~@9LqGe0{L3= zt5nNBkv31~w#tn?IHoq49K6z(%t6PNME*9GV*m>&P0CD@;$(Qz^(h%!l>M|d+1e%}p1Q<*^d&!>g|V$be6dx&(_ zv~?K!^+$JazQcb`k85Fjrq=Z^sI+yh`Tr=qcT?ZO|AN~});c}aLMj6z!YrKV=~1d7 zOfXtY>qi(q*RxtV*1GK}4u|RKWY)U>AKU`9Q zncG+nK5(7tfxWqxqxBGP|DLv6_n#GNE7`4#eg(L>0qG+ zD~eJBp#>rmic$nYnway=|IEytGdr7?`(EBl@{)6YzjMz$|DBzkot^FFoR`I`llI8M zqJE{sKxL*g&odhOGF=ug+MSollhW2fKYFURa!v`OGTSu!A_Nr#?h0=+gROzF20y2b0gnLJg69*5`C7*3Gdb2CXV&_SN6`n7ryq?0xZ zeBua9K5CTGV2xrSH>pUhv3vxtw^6Lm20kw;TZ!pFN3kY{QLMol3A{DP{1_ofbBNCA zHL#Ju>x7TmPf7=cQLH>oOCy133G2nF^LH9M)r*nWHq4F@{Xz%mI2P+um#k^wo%92G zZ6Z~*6?K!E2({}r^9a5D+51ZAg3v7!Cx z8G`sU`Oq2$8m4I?owP+3dR6To%FH#WR0l6ytg(%r%MsLZOrbR2r`ka;_VoivWuUC* zOEGvM7sn!gyK_4!kt|;M&hZp#nX1}2v#6?iV^+t}7vU-7rZz^T>$6tMwCeLz(l%*m z1USU&fuNs}8Yy~m+;x{992mjc3~*MYszwHF{BM@ZDbWYL>&vupPiQ0kPl)@s0usN( z{XXK`jOmE9MbO5CpbdPDQ7?|yDOCP={%~HM$xO+#yJ^HC&iXb-XiLx zYqFL@N*f7h)mT-X6twY$EPqk!G@}`jFazGy&$Q~SEG+tpP$qLqd(*(5k7-i z%laAE?8&dhqD+UktJ+1Y1D%1QiYQCLX9)2*u9q388v~z9gpcO0ls*^g?p|h~ZpmUw ztLYXoh_$+SsJll~P1MYlDZMs~z~H2GMOsn#pFP(&RhdVBong2IK&(F6pt5gZukB=9yCK7$IN}DtdC6jN+L%WBl zY+?Q?;gf_mebJfcS$m_M|ML2IKGRsMpQe6#n;%?|jMMvik#ctvq20e9uQc2(^t+@!RfNCh2^w6?N2N2GW!bq) zW7<-hs(OD`gQSExAiX&5D>o*gIi{C{Hp!%{w?(J;G6b!bx9s47uqU>KHU*(MczT{Jueu@Vvn1br~Pp37GMr zb9W!`^+i>^A?WAF!PogA^tcw{^`WPEU?yQFT`5{cY+0-42mQpg5a(y{>ZDx4sv4}d z5U&aPxi;u0u7y}4=;s`XDe@V|^zNXaUe-dqD(L6TOsgq3yvTQWzJf@~A0!3l?t8v`FcD+1v!}mCi;^XwOKd`O~-C8><`hiy!&mJc-f`Nnj9LKd&mdWqj;e5&ezLP^}K}N4m4i?1G&Jbs=g6Mcd@TcFVLhkS+oI^k@)>4)CIUyV-$0f0fCwiIf#DZSxiq54cu|D zeyBQiNJ^k-%ENmiJVMhunXgYS$Xt~(t-24n>9f=Z(2L{seF;l_Rn_EdzOs{Wj=c~4 zyOOM`pH6y?)(m)>4`i{ZDvSv?X{zdxtbC?3XR=asM0$1B4yW@aXiB5WhrS}jS)+~` z$Lp&h?nAfQ=Mhn9{Fp~q1Hs8gH~yjCfLT!!8V=| zwx*3=h8FBciC0rURrN9Q<&pe6SZXb2G$YcoS&w5{b#-Qkv;rr@>sXRmgcNOz)K_}4 z{X}2w+9y^L>t__bAiaRGZOk2OpU|r0c&a6kv1CXbH6k<2w6T6>b)7UZvw1qfzeZ9EWs{Wc&UR4?>oUuds3ErCuJ5^bnOu@QP2uxTQe1N!f)$p?JxVhQmM8a#nm zSc*tC!7E+ni{JZ;czJFSCKj)+5aMa>BaJl?-aQSE<}085C%52hbl^;dO;)zh;BKak zoQBS+K+tlrHn2y-Ba!C5K+|Y2idMG>?Zd~FEj>A`9Rr`A2V~3OYw199P@oyuI;;1J zJ%b%f7&$M}Fd?Ri>&Gw-pdvBN+WOk%+{^m0Mo=Qpmja(FgEn>wG+zxg;M}xBJ|htx z%?|ZV5xjd}7E7gJi9P4sSKRwwGw%LCW5x$C5DQC@rgk*Fx=h4Z^Sy5xFY~O+%hReE z=jBeC)p@z8jZXRssj-Pv6;AZki!EGtgaPIBT9^JceRa}?P%z$ z@mX$a1TA6FgD%epWt~U9)370yIr_?x*w(75_$9%|rF@2Oj{9o#uNgY+7R=CIGd}7?=8D-JtFU@48@APE| z$EYcDX>4b^9(*w;{$*=9Qd;le4uo@DGb{q>QlIP#Ge;iDN3B!B2q*Y}4!){t7h>Mz zTw1U75wQf7ht|exKwUmGFp#BtTuGSI&^JxOm_uBz^=}N=$;C0%v&sQ7@>9{6A>(LR z4R0!QNr!{A=IzfCj)nCUX~T#9Xwcz$AdrjGLeoL{&={H$(34}D(^S>_f(~i=y?enI zjr;Yu=1skESWnPSK#%Afo=k%?HWg*0F|Z^wC=)zQUaqFPtn)PFDf6Z7cyd_VhF0#k zNE=GWG-vg|M|~LQi0mKsa_ae7=Ii%tX0>MyJ@OeWjs0an@roMze9+k2LoD`aM1$kC zXFwuNZil5^;h3e;i#Z>nuk>VOe2D2+0?h{kf|E4#Vm{mHD?J&(?6zKv9H_7KWCY`x zdNC4LM4zAp^kkaD0}^H4KhPWzkQn+G0?p9@`C>pKpSU}3zu@aL0nzy{Eu%X1xu8mh zxKo`%jfp0r|M|)K!D*R_Gm_USe0sU3!8wy2xh)`&z|-g(o*Wid;ONE3i6IxnGD^>f zgirSMD=OMNpLnL_ebf~8G*^bW>#2#x`O3gKo-=T1;EZ`IAJ%>WIXfWg!$R)`>7_iR z1yh+ky>N~+hljFP&+aps?+IZcbl9oVRMmSMtdWA9^vmE&Tf*k+So*8=F8lhTaMoPF zeFykZq?4A(d>Id=Da}rT2QH;4eTRjTy^fR75a5t__8KViv_MS_9?HkQ7XS$mQ@-$q zi{q}9gZk>Eyv8rh+BX_#l6N!~$~mP-(`dGcFHkpS=Fpo6t@tHtX(F^PHE~( z=|=X50Hhpy`w}_L8-uUk24Alywbo3!d>+=oqp7M*Lt2#O@mk$C#B`S+&z6Dakf4oYf;M8lh}w8Oi$zMu2RnR{ zXuQljNa&`G+%l``vitMYiT%^JhtMNUT+Q;o!Pmfg(1!&+2M1*6deCuB{3{{!*f)>8 z+I@nrPXy$kfM_2U4s7Au2AX{XvU@;w3CN}ad22v67R2mlos6ccR;G1v3bKT8bY);8 zFtLxng9b!T4lAZbTowF{;46-td^XUG4albg^1*<_^jbI2oDh(Cc?9P4FnVx<^b4RS zq;gfgYe7>PkWM<3d^O9uPWU<-ts4v@C+{bu>5IPm7RFLG537&HQ;6_o@@!_})Dm{m zh2pL>DPhdh`}#qKq;!BFpyPP`YCbPvC+UeUN|VxfqE-P|Kl4jJ#c2MR^{r_C`$FnR z^UjN+LzTIzZpgIJNk1gS$Kt$*wPtjL>w_ zmjj<`g0K9Hsg!mX8kMJ$_71i&PB<$~O8LkV&0CQia5tJAGtMc+)1vMSdGPLF8@~=T zU&=Ul($ZO4&{+f-4V~m1(gGu;UgrOV`JMY4i{3abUMDd{0sx^k1C3!CAf0p`{Wdvw z(pxh9RMj$>uarKS*?dZ|2I&wDLdM1NP}DQqXhgK8A^ot2hOgJse@F<3W3g$*hfj*| zu~;@M0eBq9k-QV~85=N|Vw{(t=e!^wsY`vsq|?92`>cY%q|EV{xgz(vy)1q5O%X=)H_}KOSnd zODIkn9EYW+wgP2jVB_Z@A#AQ&{nWwKUDpQ(8G_V@WB0lut@u&q~^q-b?a$KCht(!a8UP zJ*AK6YZn>V%=L2~N!QV(Lz7qL)Zw2pCorA6YJDVU3qs26W&&t-|@DcvG4YDKCv_ z&MvdMl=3<^rSm9vgNO1-=^dfQzlj#B!Cgb=)5~M%O+HnHGd4kki?#8PXx_paOLc+g ze0p{Y`pl%D=RA%U1V9!IeMFj?mHed?yn;3%G<|gvPSOB^i_=}xOA*p)sN?nsbxJvP zYPQ0ezEdNXV4T(6ka&V_Y!x6)5pRuqN|%Q=?VG7%;59bte)gfh=xeY&rm0HF>Ap#5 zkF~22SVL?-lrYYsQoV}6rxzJrmQ!+Ho$k@smR^m9gV-usGCp-0g@oi&gf%0Y#x#-m zQXhD7x<@{3y0ez%wsddWDt*I9Y*p>BsxDtRFMs0dk;AAoZt_X#Oi~sQ=!`Yi%PN$i z<8xAo*No50r85Mi7irqaPbi|DcNtA@=kDga^9IUiV5?A~o(D@m?JAUJO%3b!`JxwO z$>58+jCBp7b_gzW7UzM;(`dqxcP=GxMxtI^6K$lg^kk#~2=auKG;m=W%9L3>NDZP4 zL0;C2eccpVda=%3S-sGcecc&2uSae^-S-Wg(KYup>jyr1ev77iC;cDMG=0szs|4fu zz4^Jsy{!_QF`v>|!FgB%rTM({)?qZTmVvIuJR+p~jN?_(Pg_2>Tm2S8IY>_-yqLjO3>wVGyU`N!k5=PvT~R!i7VxH3p)I3SQ{3#p|OBi z9IprPS1v|&3fjObI4>bm2bXDMpDY%XizfS;D5YyMn$mjF<%1=R3%>4>&>;cKylv3@ z!*G;~X?j^N`jZg%xQg-y;c9VzRs*6Z!m6rsgFKI9G%5X=5U1O;v3kETJrqkJsF~a(JS`ZJNz?b<*MFqp30K?}XXFURLg15JvSc70xCPY9hTLp{=h| znv|XnzP2I9X1r3u99dIl8r`MNo=0$6FSr6_Tg^}IqPf`kr(eTS$xFWPE6i5Tz+%ii=~adk_p6tW}+u8`X=$x+~1Fel5r| zGR$(0msqHTeBN@C^Ke+zd2N_UzdM_Ytg3^_5`4Vks!r^2_SSnvmQPdCwU=g@gSD#j z)gdiLH>O57pS~?fct&Q2^yR)_tNF>UDSexKHMNn_a$(l&CqbT{s~38TJb}->!Pg~3 z4Q;_Q+v>-KMs?Up-wEZ$R7o$TN$Kh=Ec#}La5kDNGtSgXA?3?}PYs6cXIXmnBMxoJitdnleT9vd) zN7yP&l)0)tlW8L*?BIhB^L272b4u8q2X_R(b;?BY1p=3;hHy?L(#}hP-ND0Ce z(H9Wh=BtUYFZ|Akrs<32Z6d}8|11`Yd&GwY8by?zpYUb60cLz+=s=Vv`ZA4aScXjB z7hLSJ&=u<)c~ZU5lYOC8>1BRrLnP32(yop8voCE?gB_5nx+rUtbkYJLUr=+k){Vve z%@h{i6iMm#Ss7JTds7&m2CKLcGA@>9YCvcJCJRe5lZDl2=t(T%u9jse3?Dke0-~W; z71pKT4Hs){O@8TGR43(gwN=$YW_(hj4G`UnV@gZxU05kKmhuT7tcuZ#eVrIu z)boWghqcuUJ=xb^C@de-r^v0TjZS*A$ODw)PJ=7X*R<-j4Mj7}Wf@IX-5IRzl~9fy zD!w!<+Gm&bK~lPx6s-~ASbT?i3Qd_)dOWjL+LshczprL8r!=?jILNx(Ilc(3A4TI(sL@yF4h;#)~RbQij%{1+# z_D*)vR^-cbo&a*W*jCZHXd)@yEWR|Xl+LGkHRVa^-9d*dgz|8u;Oj^#N_<#*g}mJE z+Tb)}+h})fG_^5wyEeWYa^)o^tQyxC+9x^FS!!Sf1O9#+#+}~}?1;P9U+;K4tM(p`rkxzf#< zHG+dLI$b%W*WrQBHOjUdmhS5}&R?a!h?B;vlQ0emcSEq^4sSpa`m~CM1|7|al(*SR zZNK})m-4~WF4l&>F24c(c z%59_S+J@3}f^DP}`vspS@}}lf`V1Jz#bHHz#z=);OtS~QdOmkadV&r#Rkb7Ccmo}f z5rwm7b=<~$-WWK|^)gnN-*X7sj{j3ta^W~1{b8hcOh zr9ALtJ_AGYk;#GDDs7L;QU~^fxT}Qp1#NjVt$wy4H0$tB!B@0ZOxby@qJ^)lSR2@F z;SqhqlWEXTQFLZ>s631_QqW5{FkAg4#lrKM67=(T3Es3CZFRixQ3)=RQOgD1)ilTb?h>Pl#wBMji1uNp(NQqSQHIi zWPD=IrAB2&gZjd!=`n#by4@K~;PY5O&JX(8sG<7lS@;uyPt;Fr?aUYSgOhwTI1Vf7 z2Q{o-Omk-leLW)ZaUa-zhd9RgY1((J7l%IPU%AYU=d`$Cm3+d}Nf%C3mEae-a$9iT63o|u(v4ofv^2q&RrPHkD>{IY) z63^?WmmQ9AWh}HB`84C+Nrf+ZQ(?=JUJIroGijB)z5v*_oHJcJb|A%^^C;N)~3$6+h zjt`;lg(+~kn9mLRN>4`e)45ZcbYC8e2ZOJN19D?P9uLS0VLwPb`TvgK>(PLGFvRqy zLC^aHJ-<7I9xdUYA*}0zo>vT^KN9q;J3GLR_59JmXID9W$Y>T1y|!;sBU?pcKF<>k za*6t?svl+ZJDv1(>TY>oS2mjF%;)exvn?IP;(eVQWL9?#J*B%tuDl@h{@ya5`Qy;{ zm-1Qp&52>p*C zrkezsF9#%^GkJcdjZ}YQS3%a{%E1ywXEE)h&r{dV`?@gL*o`5*o};SB`#L-HlGGhf zmgg-YUY`m`Jl*tYqVe{8M1wUr)Bc@B4dSqFdN!BvD+ zK|iO2uucnM{V~uS7LbV{UKVrJ^9vy_|C92m*WtriD%&ax@M9e=6XO21Mqo_yu8`yI z&upyWrOSE65U-Cn$e8oUOv04n>Z}biU!C-(#uN+lxgnHV4aG}Lx5)UU6i3?M8E7sG zvDhqxeqb1d7#;YG2{BzY(8T%Eqk`reo+Ms(GzRrp8=F(-Q|TBvBJ4Do()h3|)4Ym? zvAnMngKgX(H4*F#zUZ6LG>p~sMQ2cuFK>tW>2RHJCSmDByE`+^v=0aqS8}n;Q^;IA z5>H$GNysTNULOy2Ts$2&o{WbxbrDn52Az#b2U7dE$FuSvulI%1{5+y@QqG-(6A=|f z-06(p&?>l<&?Zf)*GGBe=lV)d*5Qu9*NMSam!p{K#lGU1`Q_7by|0V(m7dJ!vmx}j z@@ic0rE?r`U_P>2>=8Kc7dSVRDl%UieBl%0>XGB6 zZ+J2?G1&9S1`*S&8<2HESn+&`w}!aK({Za1_eP^zB&4Qs7K=vZDKx7E3IE+gS$9up zkKxo-y*Td3gL*L%%fl10+(cimQ!n&nUx$SJbz#s?JiYUCLBbtEITp*PC3=YaGokgh zNl1%$cIZb#&do~_T0I-$H8He=hfdG$3-xI{r#)(Z>5vNsrd6Chs~4wx4hL&qZ#*$Yn+3d~`Kme-f8_$w zNza5<<$&S%VLcDsyk4*J zG~-^H*P9dhGj(gNvqC6Cv0Y=K~JyU)3Ub%yQ0SMqpy zWio_(P=suv?ygfZcRL+GDvG||{s z)J8mOdg;k|OK-4NaE%Xoo)ltngGQ5{Ec3GgxiiFcZe783M~M3^0ht_-*9VIl*b1(9 z1U+99?0M;6&p!&G$2Deu4Kl}-a#O>6_xz2CG`5Y2floXq`IP%|dwwwZdN?3A1|-Jf z;b714q~gfu`M~+hLC?c+rQF9TNi=GlI;+qo7T=e-yU|4iO(&fl=E!v#5OeN#XnB_EjCJ^O(4o5H$uwgc5F=*ix zwW)Dl@8*n8O5Y3l^q4@iY_NoLL+G1OS6m~@G5vYq^TQBU+?O3!!Cl(Js+cJuU(7oz zV{Z?&!-hd)L$@+^_r~HLPWLy3oN`LAgmz^t=M~miyE3+^ja9W6p(pDnmI=MAjLl1a z`noZd^S*ZYR%2Nn!s?_8f~}4U^=ZC3J*9`k3a;&Xs2z3-VVxD?{`pWhVQrz0#dR5< zPFgdRhkp$1gablYjfEJZ`R`_&Q~E^k6;tP~5cdZ|d-Z*R9%)XdE4lzoG*r-J4i zBod3+kNEV;|;6MZ$2CKvWKJw8floCgCrwhnP%}o)5wBC z6HmqYOW<<^@o8$Ks-|Q#bcSRIYhv()Q*E1kQljRev${^AtmBZB4#>hv=~sa!o+6U> z>{5y)|G%^LYAl_1Jlo&*$0=%6Xzkimt5tmLy=&JhHA1K=g4(M!YpbnRYbN#%6{E3= z8Z~1@8+(UPGe)dmzK_T6ujIZT_i-QZ_d7TDzRvTUdyZ}xRi5(w)a(d_^PTvJnhPIw z`NkVaE1l@5p}HO{IJtU@eT!kW^D~Wlb&!*Tnjs+M^Bd!q7>oCesfFZ9@^^FA9%}a6 z)lOU7864aNO#y;XarFufxPt6s_?k+GuitscPp5&@e~0Ym!D(8SUYJ74;I~UFK-?sa zV%gXRblu~_7hh%RX)`aIl=zF6JtTL;I=gf1C+fDGGV7%_;kc`TnD2FIPy3|mi_}Gu zHJ+!fZmT<0F$aE!eOTPSfWB~f362xV6RBc8zIa=YX!UphTi>Pfart+!qsRm7l%jh3 z!@ih7cD>w$NSNZo`)gkd=)vw(+PzaRx1H)&XDWnW6nIFzSpN{B$n9nOYCa6%dB8Xa z-y*yIbvUCzQmAY=tT)(2meU%*UBH&r67~uox_sQtZKtG``5^5b|0xggXSu6j3T*Ro zwJ}J0=hw&TKP`Ay+F(txoQmG`JNhDCDMIj45-zt`HL~~iNq5t@1<7oaR=A*_OEDFY znLpoMUWQQ?Y92nva5gRF6*<}s6mr2)yb@BjmvGO2n#$N`7X)NF82r>EMnK^|3Rd4 z(;){sbsU@&Q6RLFWmI?bAGfGb9nIdNwvS#hW~<))y(uE`+O~p`Me3tso{75Ta4^FzYhGu zM$O|Apr5<1VXt1k6($+;>`&3^?qomB{coFkQ0elHXm23nj>$!)u%9JV@*pKw`a7X#$kBh0Zz>WaP%J3ps9f3Kz_`7(JcvBy*? zH~mo=OrOGxd1}^};f^bbyYi#JV%|@}xJX#v*L7z4d%tO`Bx@uL>E80(sDn|p&JeO+ zB-q-+!UQ^v?GH6niq<=C^#mXqRN;h!r0210zO6q_j7}t@Q~*G=`MBPjsIM=S8FNIY z4KoA9`bK*Wvo-iec4 zVu=o*)o@7QhI;k4?x~TOw`pHLHXqRn=t6=hHo`vil41KE%BZJ3talwF1G?K~Mrs+? zt==UU+|Lzw&6k8At`?LqrB3>(SullkG+pD%7>$$f=^x@wcuMElP=6RhMIsy*9<9Gj zgl43$I#z_;dqGh}d9@`JPk0LE9$_r~9%C8FxHOWsz?rH-0pvhiKzPIuF-Pw0_3KY z?Tq|ttw|En5etTVgEqa6ieh65N2bcf^~vkpe=q&vGIB5>D}NjRp?p9@8vNWqGt$VN zmxGT%?oU@5=N8>t>+NXasQ6sz*V}B?Bb;XRmXBu)^?&$%zH_3IBvq8^L|GKB(3yYg zsP=PjOqiTt2G)9%^KA9{g&~9_Y7kNP-dB2Rf!P?;+0bUtm}{De_LOa8ERE1r>T1%~ zej7eY3YGWN?Gp)lP!t!KME?kwA9~PUDag7gRocePAXf7#QNvZWw0-hciKd46=^@{w zSV7mnvZ=f`s^T7z$B)UUlLuSOw$99H(@1LU#qr(8yiWYrPyP6oy3^jfr6qP%K^(lp zbbmrq-*2v=yqD#=W_sW35M%j_lpq_f!9jbaJVGk+{{aM)J-Z^sDw}49iyJNe%2k8$ z4=K&Qo$U=JKIl(jqGd|T0wKjD5^qXN@6#DUa(~_)XzGt6GHF;7nfS`Y2Z%#RJXtK1 z=|#WF0^-KAP9n>jP7a$n7C56hPoF3f;f`rxkDoO1j);es!4?HRs0Lb}r=ZH5bCb6# znnvZnEGM%CACh_$_szZ0jcSxgPOKJN3%HQ|XxP+~Wlqq;1xiAW(4e#ccf*8+B694R zir~2oTLEWC3PfDj9`jzOL0maB=>ljWxx+eqOh7&w)CH}%?A$A+2>B*zYtgFmtC`Ge znrX&Tz?J)pyhASL+bQX&t)ODLI&=D2$*r1l(C0f^6(rS5_t)6?`$@JwHB-TRCFM$p zZEI|f1SU@cy%aO7ExjSi3@1MyYQ(Q9CAhoOtn7iWre%VcAH5G+>pP`jbkC+|R%p;A zE+{BEyAP`Fc}`_*eAI(`hWG~X7`VUWEScP*xMF(zGuI=1lv5haeCEKbIHtYqPiC~M z5?NBwN9cwTT=_w)?XvVC*P=xm{GvoNe0lRGzH`O^G<*|%E)eT1$r8LmV~u>Z{9pLn z(mO^j7cXvUPo>!T>MA5?|ujMh% z7E|{v5QkCh>>lmL3@&aeul&gaB^NY(9!{uGm(QTcbdh-mVV`bNru11mOu)0)Nj0hr zO@T15R|S~4VvJlt=hkvdWx`uy@Rths@NP8`xgak5?NWXJ=q^LY3kbnTDXTZpYYLdi zEj>2GC<7Hp zTAJ;|N66=Avs>R>%@Bl1Ds{;sjO{V_^}}>h3JiB)ADIU-3GsD$-DqmfS>Sy1y*1tD zW{;DmBDr?$TC#w#K3hDRh5vjtB6!*L)c_|Y*sO?4;hKU4?V%E8>GM;0o_ZpssZ!>V zpFIbbt!;(*EP0(GI{|zCtjb;nOf1F$mU^<4lXb;{AXilh@P_X{q7XWee0rF8>a|Mb z`!1=6`QO0yz`NTAJezCUt5jSHS+p0+YfpNdLtBm~hD|yHG+fKrE3e z8!7Z>c~hCwI=yq(gNrCPZz?qzOuh}Wg5Bi@paE{QalF|5Kg*~}rQGubcZA=S<& zB%skaCg`33)b3@WNePPehsxc>O45SX@v^y^PnXj>{fd4e_R^VJvlyOi3-lhZH4GDT zZ;6e1$7gzEf>%>8u5P$PW2p!6MS}LPaeuBsy)qZZ7ICyn!z>Z~AafzyWq9=Ld3Xw!;&?tHC07I!R5;tsIa`}TtSi9}E*teUWP`%)L z8~q)I4cX$jGYpTm`oUh?sLX$JpH6_s3v)?iNTKci;}!r2gzPTSnAbe5Y+U(L?gfVn-6)O57{%taoVNG6P?$NDLrl62*H7#DaCFF0j!e18e8Bdll zwNNDE8NGEUi?*yx0LE@}ICiBvmUZhw%6+~UJdkEdyJ2JC%3qrad9HdLal@w>R3o+L z2PbF$@3Dd>fFevCak%TMcz4GYdG)P-z>!&~r%$obRV`z@P2d$f8+RPf?W(PatKO!B zQYsshs*b5uRMH`lVyye0-^i34K8YG-6>y`;-9h5~5ikRXZ$)h&R3j&)gfNr77IJBj ziy&+G$5|&LZa|MnuKs9K8!5-!4VXVpPybstN+HqP{&`gz~|brSnk!B&as2n3q^k9hHp!&-;AEp{FNC0IZ_jSvEu4nI&?!$7uX_`f~JPh(6B@UnDmYS$TfA;?em-A`t6?t#Qjuu6jL zrj4_*wj7C@?XfWO(Ro&YMa>K0<)1zhRep(*e3Bs-t<*d!v7vQj(0hICV=5O0+#z4{ zFTUIQHD`jIG~wC_odXUbBJcdi`BZJJ>%Z6p>Ni^1lr)S5eZ0*7(M)t+RM+S8-xl{z z51d^;bK}?4xw%00Ad*ot#mVN29<)ixceyT0d5+SN;D*=|WM*B4l_By52`E#P za4T098;N7`Zx-ld*kHxKtMP+`!1{L*m^yK0ovB<)|KQi!T1F;-I*UEBS^9%1+?lhN zhRn{a_2t$X%fQ9p^LY>1*>R_p(^d01hC<%1^OPB{UJ09&qc3xh3n2H!&(;&_c!A|M7k)dt>9e|mSWmxLSojC zdJ6+VNWB-tkj@cq@tGzg!1ohCdd!;)UsDWvX>=e72ssvl$>4%jBa!dhiNzrQh;{;W zoeeoco~09G>r}Aj_Sc{3`d97-tyt*q>)YSnUFr9JT2<{(OGC*#Vidup`S>O0;JpkL z)ihvNDO>Xd(A*t_%=|~umi>$l^$01c^IIDmCgW?VYU?jBmO`}G^X@il(w2L=X8Cx1 zY(9;bIXz7OzEU_b#&c*NIidGu$yP%oZz-HpU%KG^SxFQ=iPw6I;TIa7$iyHKP&Ks` zt5H}ke9e#Knnsy3Mo!y^Qdzc}cIs=mt-V)ImE~VBb$qK(yHIN7;FY8mD zUw(tY!yxqlwz;bq%uZtzFt77z#hw9amp!RsyIz6}hyK&GHBtsX+56EiQfDWrJuK`1 zH=V((HRsh*o+w1$f#F-jMiVv%j?4)mZGZ5n86CGALj0((L^XNt#Ba%aImcOMo7lO= zE#(HT9aB|0#cXTA=?)KiQ<>4dV|^_fABBS%K#%C^{zymWeO^HB(uSrmo5Pitsk$6z zm*~w;Mq8~Tx$a<_@!s6Eddv{70dk`QQoZx7un$v;(v9EUV&uMVguguR4F4U9$f&sd zl41Qy-G4q6b-G4G%mqPy_EKTHUorCl0(xPDDOgaAWP7UihGb?sm)`ddC3D76;D2+~ zNXi<WvPomO1D?iWGdojMvGRYtl|HjjSsiMJwMWR zbmJbx_KVx8v}Jp?{+#HvMK&S?&R1SgDg^joO4Zt`)kU!MA+g0FYy6_b zKC6+)^+Rc?<k~k z3#WEk{R5w<)*GT|%lzT{(hIhd79sy(Xo3sNxk{$r9BEKCNgisjUtmutD9Tkx$d|s;E6?l}IPyaDm}j_5+oznZtq~ z@{5C?pP1~dIZM(nN|m!2UY?RKN*LOkC1EKc=yX|FLeJYkAvYDaVF>hv(?aWgc;mC{ z(HAV$ zm!U4^?Jpv2SB@N;`sA)OGst!+#t}rkkjp@pG4K^CInR>f#Iag?%XcRDiWl9wUi^#H zzJXyRa`TuN#=DLj!N}T6q-~ZAG_Y&m@_k#ee=PcjZz1Db zIxQ;9WphK6FGvI=JTObq{%^3K?xXX55;MiNjt=v=Xj3nQ%fhg#0pX{TF?WN)$3maU zB1C}e9Eo+H$Ptt5zz-zk!uyAJZLShpqT>MLe1n3)_Q3=q(&&bhK<7qTLQ?km?+$WhA=nMCjjSp+}D4%HEY|>X3JfWPB+w#TqP2yO*!v!nNLJwiQ z9VEC**HqwfG4p_@oN?My`K4WFC?z<(HjztXA!+qfRH3eKNOG~dT@1T zfoTnvJ|4#@Sh06aIyy^wowo)F((RhoxK9n2UMZgeV7pzl#>EB7+o1v=M=AyW2^*%V|<3|HFxTD&8a%c!MJZXr*X+ zNfva@!52C)usTm>dV2{A-4^axdDXUPIzaj~oDD(PRp;oGC6kq#zGH2F-H^Q!r*o&S zD1#ShJglchMhFT(l+`Dw?zr#+z?meo>qE@wUtv*>>_*K*P3=^GElPxKHj{*lhmQ|} zyMS8zV#fTE!dFsAG3`6VfwaOykC=G$)k)-*ItbXF^k9~-INKkz$oc9)aclW=RCqS6 zwkF7|?8#mP{tzEPg-;L`dKdbnLse~!$TtsZg9W$TKY1AI{sa>ZNNS_~dA6W-Nv~C1 zTx~AL@I*o7yEtK&@ulShUgt5Xh|mLn^&=C=aBYkt%)S9yLBEN>0sicDbO4+0`PvHW zrG8JVbKeD|i+%csLE2IdwQ)m5e(gD`&U(SmC=pQg%>5%kh#J`38)F|KY*|b}*`lnT zsZAQorb|=SGv~CxmK_I)owF-p{RO+l%4GD)@-BoLZ2FMl#LtzPP85^PjK_&L_#ZWf zGOcU;rtpo-}iXbu52`4#<^HMP&j+b1BdCNeA=>}XYt19my*GM@4 zN3X;lt-r_gqGeQ?MqLHKF?wNCq7`c|l(kz{khhWTVP#wfH0?Z0SwSH-o2Pdw%Csuw zSe|Yt;785CN%so}*&MelR>(uoWK6g8_I(5le%;;U;*c{lQl2dqS234GgQ8A(W9xV- zU@2A~E$76`ZT}#>OWgkS$6_`!$nhbnwB7C!gDFhpP@G(O=;KlG!KR@%k=kp+$b5v%tSq&p8`a0^AePD$oqF_RU z=UVRMu5v|!jFQUS;a!x5{Nhi4HZA)%;!ooC&c*!n34a~5XpKg@^Tj1W)i~a*%aT59 zE`TVt>^`b!npL$LBfg~C`ynim|511tJNu|skUjW0>cR97`Cxq|M2Sg2Z+XX@!CB`` z2P+aRwCbAoI!ay8Efp{Yg-JWVo6qS<`h4kfLZ%B>hdH}IkGDs7%uImbs?3F z^5`6|=bz|JSt{&of=G>#<#3QTiHbtxIC1t*_D44K4`yClTuI44Gdr+qM=iis-$Qx3q#}Jw5+BND zbYgo?gO&z!3h1M-ESd3QNgQX5wTeQ;#sIn4>q%z8O)o<}TeFhU=vrh{OUaQi@Dn3* z*@gNqKfUE@@cK{<>GBikU+UhLBmjx5Q#~05z7a@YT;s&smt+EJ5)4OoqRnXXA7gp| z{Pkrx(a>fQJ0r5Nk^7-(!Nv#FpM6)x66vH%2%{k{OR8LYL4b+B_{JL+gud_ zCrM7oVsUn7Jza~go2)aH{!?;U?njcoKU7HnGS`23-_#${mVbLO*!J|>^Y@ecyQu5M zP&4zb=*KOX(_XX0>BxdMl-(j| zd~bx$bs(h?I}*Xg@8RTcY3`~$_E7kuRsm7g_&8J(?D{#kDbhG*1aV;!RaWd8D&aYn z!CWgda`q}yGqxA;1f*L_-aEqA8Jq_EyeFN zbwv3~Zug>Tqmw=DuFsa3pZ z81yslkuKWOWB{<3IOmz#;zn;_WzUVhy4vAPeAg${tun;1R5sIOn2i7$&XVG1!sJqR z1OCIH%U2%5#?`IjL$7zee{lU5x4n0iGbXZKz{I>HFa9G}V(_swtx;k;Ngq4i z(C13uxf|UYwQ#J@>du*YXtqK(j?rtQ@!d~G=`p2nY}hYG+maT~W7rVazBO+6KqO%) zcDZSjYRsO3%*oH83!~nH6MrDQ>g@Cfei-GB&n{T&{PNwM-VuTetY8nL#0?m-xx=(UbLh|0T+MchCJpDr(NHO~F@K@IH|C~DQc zn1kx`6!=ARZsy@=v^i=H&q+$@3Ic)1;uH7svqXAxQ050QV{Z_tvQ>ROD4!^r1>DFKoEHm_|%{{*cuT8PDlcj9VX1 zJo0}X#HAy~t&kjErox|(CpKO)QdI{D?oWhgM4Qr|i;7Jkr-~QxNGiXz5IIMr~*?_9wsbFj;=o}qr;29@wqW>}Ex#{-=c3Z{Q zZlEXo*SxUu1@(6ajZqlT&tv}eSjo>V3mEcoP#bh*?-k;3egPUd_LmdTp$!%_coU2( zfiJKJen!3}&zX3(l+OWNtTf)NKC;2AHfxEx%hSal`O-gos2^jcEw2*bj~OQe%|Xv7 zcE(?{sUv4N_O2u9wvajz?pUNN8E6zrOC>i1H6a82C143WQ|ux)1_+o*Uszm5DpT)G z0z!0=jO_RT)ojm-y0o+E6~+))@yyh0pygRz_3rQz5bK~3YI!#i%e_(S_-Uiqk;r9D zPDRv))R!MI>d(pK>lbUlv%C+jpVselW-Pt05_+`FCI zR2r6kAsZrW_Kik!mAdx$;2n9jeh>mqJ=CBk0L8sNF>ZrD10-+`9;ZFXg8S3y#g6T{!sGle}b(o)#RQQdf?%pG{q%cG%B0c ziekr#3N8G!MKahKp5}QVfXYzqS(5wc_ijAfI=(2t=|XKF;{7hw6?|O{HUakA-SLjC zdo1=ru_APfybUqZS7=`07FX_}wQb>ONo#|Zd$^-+0-yhf)xbWBoVl0kqlY-mTYg&; zcpyZ^0c!7=s0tPF!~E-+Ew(4cdAUmdc1F5yXBX=LrHh8#nqAe=YLm5+3 zE|N6lB%Ix3@-Nq=Z9GLZiIFup#V-#si?G1_$@JiJVcUGGRow*V`uHpxzMqZ^U6(ll z-taO?F5Go@VtGRJL|J;VB|lUB*uO0Jn(|i;(<0s%wV1+Eh0D~s7u3+$@yMN7<~nPN z7tQK&Yny7A;eY8T`#(=bidtkfAsE47@8ym+(I~=qZCmfop3}uCta)$WiyhwaPu)b{ zz!Pqz2Ubdw6bdd_(gef2*nJgdwx~5i1Vg1)Je$@aA1hvBr!4d$rr2XgqhTqBIT6ix zhlGb;L+wWQ@DIjj+IyRjof@5GyBL0PsE*cotQ%ZYZ#BES2x?^ltz(M;ti)>`j_ z1{F5eU^hRCw}~@6DifMw0L%bMpPPW$1aZS8y+^{7BG&>+m^j|(z>PCRW<|gOc7A zjRv@4%kAYoiM<>}l#x}Lw`CyfU(%WqA(I~`dB><-{o>$uqEb3tl4ei|v$r&|lnC{M z3u6*dw@x@W4O)PvllAFRt$j)(I?&)6Li*FNZ+$WAs)^l?&kUZl{Cya~xru~PqS5=v z8qxQ-VX4mz@)_1#zNoYREeqhV-2grpsc@JrM~MG@P&k!cMsG(VJ!GCtNY`4>+0O={ z0PwL&W8(ke1)d#lu(7)S&e_Z?e_Y%XL=|tW*_iLI$cufcb?^Flc-_d?Wna`zwqJ9) zMMfd^&nu*B-Wc%u``F@hbc2nO3+YTU*Ee_Euv{+ljZetBs#ONyR?hzo=n%hq3y!IW=+gNSC*ubN*kqy<%jM* zGP(Bt9hS$nJ0HOXIf#ViTU;fVfTcm*6Yt)8NA>EAy>Yye z4WDO@G8shC3*U!jXdRUf4`0(XJ4ckPOBiG2(jJi9 zHZT?3LKQq_*BU{*g=+Gx7tCnZc-;B&B{}8jz8-k$tyvk_B(Ynn;cuUiK;GFrQ{@>y z_ELt5X`K8?VJRshv(=#J_TVxgu&`6G)6B8X$}zOix2`P?+tG8ux<%!xagOhRxMI4z zY{GA(K`@`XVTZce*}D3mbJnNhLIR{?_f_^E_*(VI1Wcct#8`-Ew?JhkCL9W3Gu{BO@et}XVnBj*o=DabWB09 zl!4jmv-eLpW9#@aApnp%@{&VN6ZsSX(npeW$mt?u*%9#&T6tf7Re~FWD&l4VI!uXg zRo9}zm#AkmofHB1TY+li8 zqolS>UY)kh+ZgC#wm{CwpqLWONs+qoU6PP7Xad!a?DOXWLmq;oPbYTpKc@ix;Cib0 zijbTRWM$LK9XX(NlT<{t4kA%I9zOz%Y%Eh!ms<@j;)&Fe!oE`his&kT$ePW5$wO%= zhD=@F`N8Wut8PBfWts!qtI2& zL{`trnSsr&Q;h%BIo^t_qkqC(uwqM|0AjLB_|iH?G~GnlA2X=8O+w|V_g({D140ed zoSyyTQFf$7^C~WQo!1{IHYN0+a3!&N9EfTpFj4i=Uv+qixZ%(Rxid24kPIu>G~R5x z|D|#)eA$zY5lHmj=3&Lyan{ClG`0ThV&~Xl2k1QKaksgoGxqBz7hncIp*#{KgnWSl zOu~%jcmTAdWs^gHR)ls^H-0l5&3JLO%SY{ zsl6x~N=vaP1(*@3%II2J<)7Mz)~w240?gXm@vpO6WYJ5X?+MDC7^|B5VU|ciC};{b zFspl?EzAO(?ZsX|=j#)@V-6bnBD4X6XsRlQ~LiIa-v^|8P@2`#1)=lo&oxPbU`@Qke6f{Zf@-V=zT%41`inANQyOr zNQ0&QF!~W_XU;fLgJYuFeDXUC_P#26FCRc;(n&Eg2qCyDnFfbDW+tMjS&G3=iV(9S zMF`a}+U*lRaf&Fq5@m3p2YZ=)g*s8=iDL_RFsgv-P6(G5=8RNv8d^?)r;IJ&#+0+; z-Bi1lk@jSY^H7-vx(0A<3JwY6!rGxMmK-v^*@f(3n6Xff>$i#{+r_p5LdLY$jZI5H z+C7ZWT(2i<$Lq`M+&>LmRuc?V{giHMn1iO8~Ef04#=e?^1Ki#T*=PvwYiEpDMsk~@<*DvJ`kO*t^gIak!VAvKC zsrZDVptXGTJCg26zG7Pwn>0i&TcQtIokndvZ0nS>4i?=Z11<@7Q?Md)Irbh$;B#u) znT_ZE>vV%RdmccYhx-ecZyrN&wTy}Fs$#Y-U~p$&NyCS4m|9KSPphN=2mLJ9^0_=t zQ>;xo%QcCu@>FvvWP`~>w!f3H2qheQ>w)(M~aK237ytT1E!Jgwd#(T-?=cl&QlU?c z>TS;xF$#bQ@psS9zdC4wqmElzU)kF~{SjQ-k_91wT}v|nq+5~yF^7A5SGb&bb1Z9V z`nEK}r2VApV61TeXFgw-SDSNxjm}O2$RbN~iXW=*I`R2GnGM+4u^4 zhFY71;FD~4&_v$#R>$hYb;E3Nc&tY1t$)tcHI44G&w91z#*5rzQ{Lvx>{wTf}Sbe8OD>Pt>j3*WSYoI?HZY z$=mM*U2+I!0fhsC^~-R%`?UXCLR%HOY9XD8&r+@g$(N4*s>{r)8)C6df|{1hKzYK) zj{*<##H08q|2_dM6eKzn_!T6mX{+III`qoDSovoulhFwk(wva>68b-Lj_o&c2^YyE zGuNVCn!uz)dVdX5j{fsMyml$RZ6eJ5$=N zNP0Ciff5f_pAdNgHp^Yz(vXw7 z7VdXTP5p~|qX?JhwVv59nt>E1q0lr1Pa}B9!^j8L8p$jzqf#8712F`kK>e7BSUn|R_2nfcK6<-6 zHTT36M>GfBx9qa0e4CSZR#hG29&Al*nyA>N8?aNnm+k%PtS4`O=aWsqgQC|BEz`^-TM$JQ zbm#K2psfz*HY@zSbZo3Cfdv;qlQ~z}`SLZoF|U-9o4sG&bXKs1sSl3}L~>>tUI=NV zUsq1RynwT~%7T516|7de??Sbqy++8KPteMLP?nt9rEvbr%zMom+jyLR0Jl}fzQ>Nu zrT4=iQhH3cW26>k=|irjg4uNU#PvUfjCfD_Q6sK}|s0NrWy=le3&Qz6Wk< zX`rdez|3_4Pcsb}zBM^4kutZ`R^-Jro#)rf zBRQ{Pt2(rX`+1OE}0%4QSJ;a$UF$2U2@0N=0)vMk@6vG}(gEq2~&c9;L%9}C{L?^z#0?9t9kSP2* z>Y(k)^Ix*;Uo``6>hPxa&jcIQ+LPq3Fw(zEwB>hd+c_7!k!1lnmUzk*$>ii(8JeVC zZR<`wHXrJ~UTcLRzhuthm3O?p6O!t#Fx>G@+40Qwh!VIozNjLTp+$X#IP#13ujmKv zV}M-i&tZwvxSd&9V1C0fyYK0AiZo`Yd*JE4sdevPv`};a{-EqwDwJK_kg9Fyw^6~u zTukeyslOoL`WJP6_)(|pZqA$ED%Hm?yF4*~o-=d6Yu2mIQ!DeJ2O^7yw25zeeGm_>3!tyuUy#M?icrr|qzRkvtJDEY*Ig@ZsWirV%%eq}r_^z4x= zF)4bAj{y&Ww~Xr7Uy5eG_4m}!vY!a1nai;Hf{M4QZMER(q}_HRY2A)|;XB(p z8xq1{Iui!pNMZx1m%jTCRsN`J>}>mm58YiYyVxrSgk(pVHBbAoFSSL(YFESCgQ#P@ zpASJZr#=WVqZJ?IWUF>vVU86#8}5!fu$uV<-#!Z-kXK~qW)EFQo>2l(>jDF3sw=M0 z?vLhp)rHkAgv@6K^69WOuOf&?*}s&`f-pMx74A2Vqc)V^o0%Ng*GsNEP57npZ zw2{wIE9i|R2-DGvREU*aXV=rA>Lmft?}?oNg{2*%>#T~|k{vrTt!i5qp{*WcLePl( zj;zAtBRnkaMjh$hX)$&<<0I0Z34SQD2QJ(dm+;_s>AImV#wSgtCBs4$XRJQEgy?8p z8m2+7aHfSM)1kI&G73WMLtcXAR^K9L*N;sLic4w}b`S&6VWcR5Ky$OnFDjC9UFxEm zBmio8(~GyHbA+vk3a%%2QW%l!i&=}P!yvUt`&e!I1F9g^vcB;Ue}CrHm{W;&Dpvh6 zkbDw`CqUNtKo$X@P)v~q2|>528>f@>RSw3iPFq39WqjKqg&OZGOLV)CSYr=W%}DEuEz^!F6pf8Ci6eYF0TSFc@v{fGZTGUU2F z#JAXyNT>sZI0An22A|2+Vgoym{yyHo*D6bTd~8S0TFN!=VV{En0`k+%YfGM6{wNTc zU0B37XE7WV|C6%;)uj;VR_VM_PxnCWKK{>ayPP+HvdY!%cEv}qszw2Y_1baHR%5QO ztQb4}npm9Kf;%`RqJv#J&A=V)5AE3u$&{0#%V#FM3XkLhka{6dx34y6etVr{GbhwP zSHJ$#|Ni)w?JZe>ewRA<{dm3W^PT{6_q%F^&GuZ=E*^Q{U!$xjYWJOl1mL0jJpD?k zR>2qb-;sEBE8*&F8&9KQO!q~ECE?)!HqGjxilI`Yz_Xjf$8t zI)d7sxTygO!F<7I3H1fnRWm3&5bK&1x6!2J_IJRns5%hc;a!vBtuu#eS5RAOzWk$$ z&dJ6hQ3T823h(E((zK`4{N+dStf(ordDsx8|DBX{yMfyBr9*yGBLqRGh3S=I} zdrB$>u!b*<=#KQ7lqnGFYo#gZ3@cXmtg=bYKIBA9=`Z0ZcWE_)Repm~u!wEI;5ll@ zX#1s=c_)pya%L3x?4;Zg<1kzEuj6zHMt18N`YSap| zWR*anle%bFI(~%dL{B^ieKks~@23Ah-LhDLEx&Z2zR3mHGIr&lZ!V?r8{B@1-G3)| z{)hkACv2@)I)TW%Tk3eF8~cFBkZ^(+do@brFU{>x$Wu5p3zzc=P79WvNOJmdS1={_ zVj@mIIx?wDwJJ%6b{Tty*lCSS(u*)Np1Y>?HAi%JYYKn*_Xu;>bLZc~37?TmpT!R! z3kMk&7}UUlQb|kE)lkc}dvLT%)A6HEJ5Sd)Sh533&l`W^Mtr#J73~%b`c%MyW-+y% zL{(d)7jR_Az|F5CoiFYi*Ui_Ty<{b?Z(bAdJwY$jH}L;bGyEtr(3+RyDla&(@Lj1t zF!|#}yH{S7i+@a$;+qTm*qwh5v$Mx5&dx3%N%6`D{TH%4w!imuAsOI)`)&FnR zl@DZ_f5~3k90zx4y%X>`$eH%(9)4n)ZEw8Dylhwzs`>7qjVz11H_8n!b*()Vq7t-C zKB}-+%@6kmftxrV0bhfIwkzEYPY%SxuPlV;fkuLtDvd1=v$8ug$B(W)b9pcm9K5!Q z;{z^FJdxJ;rpvU1ZLSl>2s51c{%XD#<*WB>V%Q=!Yy_nf%Gi`EYBwBqA zxYGW&*{rq1%}Q`y>e(#D%{977T%^U!C~zmQb>GQ(zuCGBI7$t0E6m11o5zO(!I$kd zHW;xU_A@&-%&7R&L3Nh=^`)KM?z7 z=!5B183t@8HLY@`nzx-^N$NU_L_AstBhFgi(D=x zH=GQdeA}1HEW-3GF75+!dmtTy>R|VoqvO>3qVT_zm!bR0`yIEBY{fqn5?j-^lAgW$ zRnlwisu~|Bx=jvfI}Y`CmA3OVRU}qgwfTvoEuhRUWKL+Z-S>a`VWyLGB#}$UG5qphpRIvWvWy+ z5qi9Cr~c3UrCGYly^tsUjlo!n1S#QLg;15~AetAFMz2X{$O5vbT>HMn>sLJ?+B-A` zh%4gMcF4&;UPKh*U=-)7ob9V3lUjTUlhW-gm;^@}G^&S4O&j7r9=*Bxyqr-m zf$^O&aGN1VGR-f&Km#MLXhiJ=+YNlixwPGytkkP&jH$ENKWCLDjV~@>hjne{y0WU_ zrRI;#0R_(>OeRu4ovzy5kq;U^Fs@4|VYu&r;+dwYOfxsPkC3)5acdop*f zM~Tr@A){L6RNcT5lAJu*Sh+T-pb`QJ2f442{NdT`I6R)x_l1T-I$=4)kwlz1@kU<0 zczN^EG|Ur&iw4xe26drKC$I<&1Clc_$o)>iLW_%=pu>@C7n_1bBR1G3Mm3#U{#_b{ zi}+UH%9*Ig?I>uKl7lPs9v9s?Dh0b|__Jye6OcCq&6@~4L}682{l`Ns4XaU-PJB)> zJ_eug>QX#z8UMhzAL3NPAlezt5cHVSVoQ)qN{zG-vX=@%B=%r$R}e2`9LxhZ;(q}E G0RR7^;|72L literal 0 HcmV?d00001 diff --git a/register_8b/db/register_8b.cmp2.ddb b/register_8b/db/register_8b.cmp2.ddb new file mode 100644 index 0000000000000000000000000000000000000000..6bc390ffb1ee2e2466c9bcaa54dd5d6cb763f63c GIT binary patch literal 41256 zcmX_m1ymGo)V>M|2-2vOu!J;7FD(rV(w!>Zv2+V7Al*nV-QA6VH0;tHl1tZ;Oa1wM z-*^6J&YXANGiUBQ^US^PeQw}Ad-kjx`}s5br$g-NTFcBCY~^52Ex`VXTEf-J)|8ry zo0s|%9|tEt2iK=h0@Uhe&TdvFX4ER*Ol+vRsMSH#+GgLWrA@6|o*sKXiT)(x*)t~S z{|wJ=(EfL1%>Lieq2y#HlsUQD(WvytSWjs{Ok%K&eGVxFVnJN_P$5{@rw zmP%^O`IU@5!7(wq*));`IHVJFRt3%OzVOGgi}dyxQLa(mnSAgSt#eQ10`&ol7cPy-Eoye{ zFQj2zbLJn0Bf#W;{0ACqMV`;U`)GU-9p_Hu!M4!8K~-hrbT6zf_NcnxC;IR8=wrrU z-+gC|_dlILVY+{|pL`@P;2%6F>8>si_@d8Ret%t7Kd*IZspObm2)p39XFTo(eCB-R zk@N_Iy6R9a-yGrFnuksdLOJt3wyb~0=}SQAsOe&H6;ne$7f*vC8p4>w6h?Uicv_F; z9`x0uf9T@C$%{ph_3h=kULNW67N6CG?4#`A!ouSXdG^l02iMraV-LWM>($@EjYlPVO^HFt zxjz=PBGR^cPAWShdT_EvYmP_dw=t~kM z=QoaD)dyuW;!CYu;rd{Qj)9NwM1OjDk> zxixG|Gj~1es=dnajOlL0(n_m07tsrS9Z6o~zEsc8$=qj9wwq}zl*pi$S1fd?ApsrQ zMitMDS02_!{`MRbpTtWMxTOriJ8s)*Nn_l~uEiA)=6nA%=fAC5v#P}tGDUUNieEF- zztcWnAG6OEkyu2MwHNA8to|bj2c?#|v{(L$YlhYQ z^T*%xp~gEe_FF;)!XuFwea|t;x9}daSfBAseiHh8Z~%~q`an~Yjd`|)Z36JA#uEQl zgY}`T+}Ny0t+7BmPXZe}YX2{v3Y5m2=W#-Nc>KKdgzuTP0-{Ud!d?6aM&~R?#FBp@ zRQ4i%*q#+2AYt-#3lJ8Oanvip5Dn>)%ho5F82TdjnQmBxr_Jp10nm=*K3lVo+{;$GZvx=DGGr8p5|LN*QGCqmI7i09wumfGylA~Ij}2}93Q3R&vnRX$|qT@lg5xwyfUE8n9Q-Vc_)Y9Am`Nft;;lGa^+KxZ0Ul}jr zkeN?@Ed2LMSgE;En!0|UiN9QUiYa<{O?A9btUALPhB3jcfg$vB`-pZ0^4;_ESdHcoL!EMk3{DF-6 zu6H}phYd?MLs5&J_CV;>9y&(BlsqW3JE850B&3+jWtn7@&K2fz+7BxEVaY-&r$1|d z8WX(#e$8kzkUnl{x%@3-gh$-2aY#B#sr}yjAkbzDOX{cRcNdP|$z3gC44XAxspF@> zl9vZLHd{6x!-Y|m1PViDJRIc9geVhfJJL_z{Ths1!)&;h2^vU`ynU-~Dr*l_%v=QJ ztt3efbNR070wreZ(2x^O4=Rozz6!n{kQmm&q|E)j7w5x7IpgIEVD*J9tm08 z+k^9mXj&dI49~pYl6%i_hwy9fu`6JMtB#vX?c+bbZc_{#_}QfubxOWq(z$d=-JLnX z#_zv;a(TVCC2^38j-j&nk@V;RXh!I#i1^yI#E3p{VHT6Lo= zE9)%xg{*2TEr`+?3DMA|@Bz9@o$P4N!f}0X(xP3*t&w`cVcW7JHcO7kDfxv!+2_7< z9K|f9ab*jZy!ggmayQZ$r2!@VqpIQU$%3P%9!J^aH70!rQCEyH-QQl~BDML|Ycl2% zqnQGcAtJhNJ}=GA5)g&1WPIA_p?h?b6#N@3U$b_8SO0vNvqOCg*-`jZ`62VIad3)L zfZLtCl6gC$)_jB_v*tG8&~53P!g8R{eN{?N$Ok?owThzH!LoGi zt9!5Q1d)T?FeUBhD8SZRz7|S3G@r3wfDi`~!xY0ZaXRsHBG%Su`6O>}S7R(|KIkjR8jjiIE^{tOjuJ0y)Y z=&M_&t?c60?Njhh<4GTE0w&nqoWuI&ezYvF6csO)@V_2Xk~h@#e{UB3K<@Y@5oKHPzhw9NFEq!N(a`|Qa{#QM`ZL(tWa=~79mS`Ur+!_>u?J_do$y?4S8+p+e@+m}* zYU=fu@##TN6&(rQz*UWxxq;-GCMs{5=2F}GtiMl%sF(+4j1^7&R@#ZS=H0^f4mZWU z{w?7xYKudVYg;*D2PWQCNt4*P?5b^()RmZ#noZg6d@gX6ndjCojqOD5Qn@f+LfFC~ z0AU8W-kIkLc5+S}X}jgB&G+=3jXg>-N+yn>*=3x3C1>EVUfO{`EtD*k^AYYYhWv1r z?3ayrb16=Gj;H{WO0jL=MlOl}?>CyjLAX?zz|Cg?=|H)*Q5hPJ(AJ3>=xW2Su}9jhRw? zN~B?tIvgJS37%S#V0|Oi$?cK!j!9ua@6W58WcvDB@=$hQ;@Fn8Md^3Q=k*6ZeCU?fDR2 zkVSKO4W#U48Q}s`@vc-UM~ir_=Yz)NO-2bC}Sni4_`Avi^ZseJf&yh#RN zyDpoA$XJoRo<7h{k6nhN;uGr3FPk;6D3b-U zMW$uBN=~&UR7{_ijYoR=%k7^mL6{+famL^a^`fH0e$l^xd>=&c{AF^^Wy82s| z8EhEiW%Sbzz}GUf$m-2@X%-Jt3h+E_S(@;GD0n^WkW6VRab@2b7 zMDIQof+<}B(X!izOfLYlRhb6z*Dt$AunvnIW8&w5Puf{qL*{gMGq;miivd5QFvHTt z)}e=hqgvR>u14%o9kR_4T(zv6_2A+#&U*S1*(ZH8!ZOTc z;?5%52zxSEwg1E7R{l^4ll5=nSp5^kpq-Y@7}a58llOc{om7lMEdG%iPkJtQ^@u;D zLVw=VpmeSJf5z$~oh33I%{?30Nf=0j#u~njJvPmv30?LvWlRO zp4zdAo)Tkd%E}1;VP$pKkNL-F?#->YbsFtOosjGvl!!GY&28fz!CC)u;}`hhMRrAU3CwT?7?k@*iu-x|(u^>B9Nl*6VVw ztfe0uGW2_@HN6bR%tcH*rA*#K=RsACgl@}=r`3MhM_hF2x8?v;?0NUkX+Qx(_;nEnb~qC zC`%{lRBTZ_?Oq;~99v{i+PC}cLMxthP@l!O07kqNhJ@Rd*R;_3p-#YP&bTYN_TzjB z4&!94S#3z9Y9T~e5gp&^eCQ>Exob49jcd)2dg6;w*%iooU0L7fpD!}39qSF0T9YHi z(;j~?90~Q6<%qQiUI8cbfixw_Ln0Zv5kYMJ)c#?9E#mQFJMXb9j_Q`(di3o&hU?l- za@S6(dxTPdydxBhI@P|DiAgn)b+zs%@JMNjN$ylNNDl0NXC$Fabb-5ze_qtU`&cNgxD zGWzLk^G=WZud-RYUmNMERxaaDu4eG;Nq)tt%5Ye=o7dQKZx!3Bn87YdZ?Ug4}} zUaZ~yX{pC`RLMOKKjP==nYa$t&)VB9elE)R9aBPiqAwAGmL;8w3^0ITnBe~DT0;`` zqrgw+-yhZNdb~jN_BRHLA_EE@LSdO)A44_adM0;4f)ui|Ux@P91y1i0rq4espv=dD zKeTnsqS=Adm=2zk(4IIj%N$y#E=fy&i5Ck{Z%ur=smpBrBR$9Bi)7}X-&hA>7y_m1 z&p&Zk=KKjNHo1w?VJlO*myHkaJDyTy>eI#o_}3->)s4gu2_L+>`D*|6s#!J6`z^ND zr{~fR&p90%ja(Jr_>{&s@4u?1QEOp)(cVux&5E{O4S{X=ihSmR24ztG-k#pk@`X8r zlROVHE#t*#tVd(k->mWw=1$Pgg{nSA@tI5G^SAhD78fP@UVIbr<14jq_HVvE#|j>_ zETs6G(p!YNBMv{~^cVe25ul2DDR#x_PlCv#9XZAOvkjl4?9_@EAq~46Y{@X-`m-Ah zkD68-iD%yUW0WDJZ<)fNM=6}7B`x#DqwI3EnyUmqD#@SA#=F8Srm`=&{={B6EHly1 zNrGEPXHWjWB@SX$uN5x`2I!F%2T{hQgC9uE4o#yY47daqJ|@qh{JiJ-;(#)Xo zrxl$Y@9KB%-4&{eUSl`mkT1H-wwXeI!KKqO|b1gyo;i1+6RCTCq{+JM6Ix?Lj z|1*WMr>g-$T*H2*N6p^By|5Ia_{a{5PfYKw^6F zi)X`#r{vsZGSNUw;YenC#mk7C!WQKUzB=+6RIY~&i~rbM@Qdt>N@uNjE@uZ9zjw#` z+r0f0DCT!OOte=r2zPja&2#Uu3Y^P`(mQcg7!m_4+4o}m@_C66f141$Db5%@n&~v` ztj+6pj~71&P`X`+3GKu0k!WFD!On0met*GP-$ZPV@BDasIX;_s3-g@+-QMpQ;w}j- zeZDQLOnMVUQ{lWOZLo#6aAW<#G=N^Tb6Od*zeGtOuUmiswPUniaBAV3@ilW+Nfjq)xbp3yjs`Kw35clB;G5G9&l0zzv8=OJi z)XL^Ed>>pbX9_Ei#!Mk?wf3bgZ_G}&iI7bpy8YMrTyPmU$G+*$s%XFt2{3V|yDrzO zvm%RDyfBpLc*FhtF2seyqoQ_z5}3%9&*)1jVw3;3Io{M)ipe=0Uy&+4GeO=X7Rd%b z(Fn1$P4GF-I(tD=L%emCic)e>qCc~F#|98COX@BgKW~mW_gp191SU@aFp86SrPAFe z;v*GGz!~{~GKr)P2x~ip^j{>%@>CzK}B9y*y}6!Aqk6MsFG*YCXQyVW>gSC-QbX91IcZvr}i%Cs=; z7=9Qv%&zU!eSO5wuZ#m0O<*x|6W9UN{$*W9;nTzc8?OK712#^-lFP?B{V`W$;~S*G zrQpBqsz6@~#B@|h$1iA=D-tYK7J$Z9>Cqkd^bfS9>%~ad9c*eOw$0Xe)4UVV6{PxN zcJn4m8FTTju#B5!?eZQiMfUrRSMi3yVCjAzvby$Ic3vz2AdDXn@Zifi))ao)bJvJ0H}G}M-kK} zqsyXxWNA?t!|t4V2~`j!gW=ZH<(6zNR?HFyW-b{zR%}ZD_x3_^^x?o(neGd=g)6k8 zgC+T9VK+D^Izof_8vC-x#I=hvRL;M>37M`PvWZng?7FNoQ7E7w+da=#%I1wH3vg({rU-^^%HVno?UOE>2mfQDWftoN7mhbBIw%NiB%bmBXSZ8pi%(t`pU^}(Pob#V0o7$LWUO&PyL0(z3i)Y$>!=B+8Ut?Q+7Ab0)LCo zKm@*$zG(h=qmbyAT5w6l{v)I6H)%{8pq#&t6rXO*CTmR#`ODCQ{XL`m9x@B*$s$vX z{2F5Ljoe;)XVcNge7UAMxpOk`3Fj=h$dpgD-MAVo7E<*iH_R5C4kGTo@wC!52|0<` zH#`ZI+|<>3?F^%VQtwVCGdfB9me0*2Kuo($@Vja4M66eDVal2AUnSB~A2Lvg@WEgj zNaJIa*rANt{^|pVwn}Ud8WSpbV5aXzrhIFxUx1xXF`y*yVblW>=Tu-s zTtY{NZM0o=FcpG)g;BgYi%ZzO`!_^3w343rzII!pWdKP5iz$bv9Vm))e69JBd5-@gV42xh#B9uP#osmq;6igh$vJ1_nV z-~Czj(tR&GRK?M>WOz}%U`!F8TX~`&!wrVEhaX)QvCfOUZi2Ro z54Qs1e$rYy&13AHq6J7jPu$=taO(0)o*~pTC%=+QJJ#O0>nr0nQS4Vr_N@alFfm=7 zJt7^lZl}#RzWEDVy&NL~zd)N>y-a45@-bId>Kg0mU+_P%J27iBXgJe4t*l-M%XWXU z3Vwd~9oJ8I^wWE~J{=|-vx!2xy9A%q`}B<+ug35d5cROyNO)&n%Iis8Q__1Z(N|b& z?z=GRM9YnTXWvKPx*f`Gty}iXYAXPwhI|YDqQe9cW>F zxqZ6g`$+~#&b@!NK;vQx`%Tm#bH^T$HxD9ulfom?3iR)Z0Miql*<>=m=gr`6WH;3- zdMh_&Qwx)Ff)RqEG#kb8idytyw2Rsf-)@`h2wT!@%uv$^XdZl0gK7u+Hutd8v4+|6 zN5gWnztjhJQJinIVUoB0oeSiJ3<9ry2|HOlxj5%^7J)ElMAGvu7|&VXJexB{gML_b zOT=W=>e77t`HdI0uZ$eD@QobQI9`;cVGKbSwsL4Fb+J!{Y>^IkL0FyA$sC(7X}u|o z%dN+-Ng(JyvZlZr*HkL^W|J+D- z6d8*xDq4+t20h=kMdt7#S}`^)(q5Je7z^RTWaym@XJRGkLenLA$@O?PGq}B)$jEf zBw;QzQK$`C7<8J^EhXj!nbdvvp2pXBh4qcdqBkae4LI9wHfbTZveoBBySD$U)Tv^V zRD)b>^+t9xnV6gdM3%}L3l;FC?(*Jv-o0jYbQQV>kkuUYU;Yq{pEPDy&q!O9zjQcz_H+SuQvV|EN)gH9sg7RZ}neGN6spU&*^1=c^*|# zi`YbiWy0=XdXEX|hq$xvk!j6F?wHZ76B>iHL@9$Mn}mhngdjvP_kv|<-%ZYT@-Dis zOQ%=3-}VhVp~Q7esnsndfPJ@3b#Q*+XwxkceTDn$+uw$XK7Xg{0O{j295eFwH>q&X zzlEcWXDl$W#1T0GlXOXsQs#_B%|RnbZFsn#uX5LPDPZYq-#)L*l&XeX2Vz0pSN)IE z2dtr{PzWeWF8vF_%?v>VtHAI0XR4{;#$4^n5*p!TNuVhSbA6&aFza`jw9<=Wxn`fP ztTBz94{M@|X~i+{G+eE?6lf&|3$J#X3c8Q#{|f7lufBi$ru|ytH^^1O-{fwZ5&?p_ zY_ULE4I?yb+-gc2T$qyI2~OsdZ8t0)dz6DQuKEh&j)|ar$Y&?YgR;pRElhpzGq!U9 zf$6#2-AVHg|2QWQ8HRSOnaWnGkG66?O%GPwc#bVIVsiC{tqi-b#tj4Q3uqwphU`~D z6?K#bW7ONd4(bBDyNMK%^u6c26!&1$cPTWb`GP}^JY19(%k^@#7{{)_`-NU4p~Dj& zJLq0~Tb0$Yo*NY%y6Ru#UoCgzT9&&v%brOx9|YuIH1m9Gqq+o0F{EkWelzwSYf&ZQ zf}f=u$;LqkOXKa+smSJ2WSSOS#N`(b737dezfGH6Pf5pkGQ>qD?S0`$mm@e_SoWN7 zeF+M+>o+V%iVc$A-=tVTkLY0cy|sr+rLGSoPDjcXnX@gyz^j@0bF0+Z1=z*DRLyf2 zhHmK<%vVf+ZPzLDMz6>iWdbOR;mzLNTFE}zwVN)&E)@QnE__yb@x(LXda0yFrDlI( z`6)dM8K2mye^i&a%X}T%6N;2IY^6P8UgvhWxDmZzht0kpz9;JDwLef99A!_IoJ;Wf z^1EwTq+p|Ks*)RiC8Xa(Fh~aQRZ>D?jZx3cc^8v3izfPTjEYVJO9d1{&^eR5X<`4i zVgU1C#dMIzyZGJj6VkRUa)8!1E^qeM+-XhV!xbE^Mbe#u zCr|zq#!W99q#rT4nia;OiRm06an@E$WCfitJ!9eI{hPTA+`u=|?87Aomb3Yr2;}lz zwp`lHbndUc7jYLRano*Ed{?y<`vR@!zW5keYqad0G`MFkup0KG{(4OQ3{^BBcTb*_ zEmsL;!bh%0d+FVd|Zm6F+v|J>0HV6+`$icRd*8 z=|L|jl`v-oLytHWh1Ap1y8u!WIAF!iWy>+ zS37S<7Ch!=R5xWIX5o#=FQf~&o9+EZ??C^?mtqE)GRCvrnt5pGAqj>h27;3Efj&a- z^N2T2IJeLO=8Xp5V7;)6=s{9QZBBapR+~b2wGX!gCd`%QuBI=RFaOrhAo7)3I_USP zX{cy-sdC$%Z5T){Be(B}x;3Lp;&ypt>&ij!o6x0W;C|-k?o;nPo66ZFzJ0Xz*zbPJ z<%0TQnZ~JYr=I^Y9Z$gS#_Yy=8g{cGz(;)-EJsh}?jr#VcFY6;jcL-kDWfV*3ffer zqF}UZ;ZfFdD=9_R>CyNw*6!U9WqL73GA-w%Aj`^PY3QX+j#@xClu0n&05w1BN^^e& zU1Q68kCmoo%pw}ON`TP`_I1YDK%U~fFMcae%Oo5c!)9Q};4DLC9wg+*EU@B93vm~8 z2tCN90Q;_cfpD?DiEWuMOYinC*l9y$G5fuYKflz(gga>@8f;UyG*sZr^#{q-TQesH zyx^i6Zsi=8^U$$_yS~*;>NEcLd&Bgn$RJ@#fvN?QUdxx@w*ZGpFC1WjGCgz2i8AKu zG^w{RhEd&j{kths4M{GRw1Qti<7weYoupwP`?6X>$BC3g$~%S@VG=7Kc5nT=#J1S& z>Dk;wvh+1`CR9~t4H%e6Y4ox~4Jq8n0zspolkq6VTdAla=3SbyzaRs2x+aA=D!3?x zDdNjAw*kI0NgUwLVha2=Z}~8DUz$(itzv~Dn0Jj1`g`*>9zz#EvF>VKG~Woy8W<6hV6s&UmW^%8g+V6&waT ze7#n0x;tdL>uI_hXSz!-m8hx5qzvcnxp*DDTk+m54d*?;Eo7h0)DQWpvC3UN;$Bd? zVC$0(m|ljTnOtO@VfXWiD_)B@ z07k~};THt+N!D~@2%v6HxpL0o=%Ke#K7)u_MpfEkAwx|8x%mEV;uMuoe*pHTNr~@1~*z!K>y%CH3ru9i!a! zqIJXCLm}OlNlv39?6=%ZUi;7Va5w4^ad6$>I+JREIf0xhdZMU#iKH z=RA6GTQ`4sThqf@atyr*elg3Uv)~uy%BD-cljUc<==@9|5pEbqTJscmr~JRWMNAPEmSKz zDzF{3s?xC75LzX83n0?J+MZy!NnhUM1$8R6pNm)=uOdd4YynL3=D*2pPBhhF1!b)c zO@)p6IgY9J?avb`i&hw0pz10BU2%ZX;4a zlH$qcWg-Qxg3P5Vlp`(D%VGoivZoMVFZ^){bc2LDlO|O*MJ+mSk`U1^T&j? zZ@pcnk`!i<%*C5VQv(czsjVh4+y=Ig6UGZQKPka0e*1+`86vS9r>L*KCC z#g(TZp5xp4OoZy-auVn$hNW4MU8j@vWlP{m4_Bv5H`0(zZ%}QDy)$b4<`v{Kh?9_S zQkV@8(ZeDOREMc;)<(~S(EmMhj0E0Gp6QTy1|e$)6yK$MDa1fFvn;A$FLnmY=<4h3 zwXJWPWd>IrMGpy2yGs69@k8NBb;c zX|&4O9SY8G=oZMk7gSXu>9+c7v9gDRq#Y8>XQJ4&3vS+eu%S+vDjLo2d7PLZy@y(kLZsKk36h{X{KAxE&A}uX`DGpm(QH}X2bGqx6nMR)j8dfa#r^23L@)Y# zZNwG#8)y3{fRkTgAe`j4q|4)P7ALPT)-5jJmWE(~Tg(84hunZ!Mzf~q=}OMk^VTmw z)G<~@Q`o=vCUdu@OcHhSPClPtqZh@STlR+^A)^M^rQDaW(!@Jhy;h>|qYTuswN205g`+6X+lT;wcfIxs%)j7{$8#9g@!U&}eS0$K(`jA3tNTA9 zeeehNWa$UEIJ3aaF7288lS*=1JthSXgsb)=OK*WN)asi&_&Z7|W&fAM2{ec+wdN{B zlT7*~;lNXr@$2=Vh*;CSVd#)R|3s4HcgatJQdijoQ0xr;5+!w&vo+UtLj$<@<9)x= z+nZ=_Z8`Y4TfY429KD=Bcf1FjeYV+(#N1?W&EfNeyEAY3(JJ-8UzlT(mQNyR%PakGj`*ba+-kh9YYkMn;PqvRYmtPtQb&L2=lBX z-8KJf7C{q`g*XwF0SzL$j&Zo~V~L*NWnj{TU5IHU@_ATGH6oJNhQfk<80T9FNL10M z3Mo7*0HJzHB8s`<$7-uFd62H1%)LH#+N_bnbwObs^3T+pbdQV6cNG$j+-{}MljEcS zA1$Gy^~ahF6`(iC)GT)c^yq(Olr#r}o|sgnU}#6rIyLIpRFGmcwzacjTJDZ3f> z5mLNzy3P45ejvY@!xR`CQSwqiQ`*5g~nI9HIB`_xg<1MduQ_dFxm`y`qs#m|Xld6a$z&rWzv zb_8e5TK0yYYg_#+=YLM-v~t{6g<2`vm)-5a4pG1ON&7-H>3inG2TgsDDm2YC!B9de zFC~nHhXa&;!-jF3MIoHaiGf@ifAlZ3)J>pvFIuA~dEjq`P$lycdeuO6bh>Ws67%uLcDRcDe z>rD8Fj5mDXp^KHJwD1jwTpNI#EDda;*WUOljEA^|*qm9vNWr@h<4OP@SsXf89z7d% zl-jl%z9v1ZEjegIDV)`2XyPj59Qq~K%#crID$$BsuqYi-mCqA1gg~my&a@7zOEr2Z zWTnyqU#N|Ha*fp`@DlQ9P?9kJO0R`23CgkN3E*BQX`m`~qQFGB>gD5scwnQCT*opR z^uR8rSru)ZU$r9ryxY{)dI|(*0itMs9p_2`2C13kN}FGoXsLXX@ud{7JVPDtgnxDT zuQ}GdR=*Js(*qrHUA9S=vucemRzEROO(uoV&;9$>svL=tj6Bv{U^gh?95)ajB~ z&V=H)ZZV*PQ6|S|!OHDDL6NIpdAIW<%NJ|F@U{@@`->i^f`|^1v4V4ZT%XSp(x#fP zABNxB!z*#AR_Ek`PIuf5&#C;r#qUzQWn( zJcG9p#7lUx;>2zvCt5tJh)Zzldl2g=yo*%Tetn*S$-n}kol+M-fc%bJK44Y4r-y95 zgq6~8Q$Pur6B7-j8g4VB0d4PflhsDL7$%~qEwSY2jk(d=Ul4ZptJ_Wisnjg(lOHBa zb0->AF<D=uc^PV z8tO`ioxC$Wzj-q|4m=Vh>t|cjqlukuT^i?KHV~L5;nZf9UfH$A5^)HzX4^D7db1S$ z=B9Yh#G^`M|BZJ1PA3jfEZk=bbp1Yz76>A}rN53zc zP!p3N)?Jy-0hGyV@W+aB(E4^1Y9&0}&?Ih+5@%0YsIIrw>rdi*6UfmEVr`eTc_t?t z=H!ua)|DacL4Vw92l_Kk^g|BOlW~|TB$G2cpc|eQpC6wLgF9%wiM8GZ+AwyPeXMSN z@tM}56c7orS@@e9>@)P+rT9)yP3qZCRn0&o1T3firkQ0rX4T1U*FP5Mdrk!>LRZVx zuHH@?PTjeIs?CH6KE_KfjJhlz4}F~!le*%ctTzZlM@A2-C3I*Li5+F7 z-nc4Mh}Ri?(Rfun+#)Vzl-;AZL<+g5t!b#Gf%GyF-g(=h9EK~DSu(ByoONkVqVsiH zk|%xz5C(e6DG(rxrW+xO6fhS3k=|vwi;|XptAP!7X(!-zgdm6GinG0y@HlGO2krfj_D zHzUp(p;8~aZRG>s}6FQ$j^B!u3{$I`tm~isO?9}ww3VrZO zqj04~9z2Y6`6h~U#=OFtAxtp}GHe;)mJu!)i)fCWilJEditx(Bxfnajn_vFtcn)>3 zY`EF&WZge;t6klX5iJzbZD|ZSx8lC_#UAxT+TMW�q2n)2PhmGLB(w0SXcS#5*v< zQ-+c6fh`X%l+G&hCH(HcS#nFdNf#+CxZx>|lBl8@3^;VE;E7|0mJ*_0P+sqRkPgX3 zpsPiSG+r>-Y)~$-j>^qNnRi0R#Qo%5)AW)hWx7S|jdJ2dmgTbJ8e0WeM79=Bi#M_O zWyrDkpIV?}3>1)h#!fz|<{PUUtmUTI{HV!9+J4zpU0Po)_x+cWH5H|~5c7tMRc1rd zDbPsdPnP+v!Pi}#g;|BK1kwkme+c7xR$j)C%-d#=m0pJ)1WaPQNBpES%^NsBD% zL00))kExx!ud088HD;qAGeH6+nvAZ;W49W_HpAX#SCm6SCzj{Hfo=CEGs~4S{TywA zPH4UF3LQGPW?5weCxPK9uvLS0wMDZO#jj zJgRHjZHdzk^F+m>ExI#;Jt;f{}}%HYf(YGVk0&unAU&$b$m_kg!?Cw z{s2{~sgxv|%{>==h1qOPaguHjmf8k|(!^rf`tH}#FoFKKQn9%awDVP>Vp8DK$G;M2#IE2<8K6YMp^!SX72%MfX4Jelh=6o^urwnn!25n7P z4}qt^Zf?*6jhA$VYs^RAyOm+U>0>`Z_fuE2MZYfkF$aqtL+_o0zyMML-Bkkj7m6-N z!=a7sEi?j8i-uJiCPu6Khe^PA&omPKaE9b!E@r18Py&h)c={WS__zq6I+lEYwrN!l z!W#`Q+@O3+PTyyd_}=ytX?Ni8I8vi^+eSmtlA4}o#%i)iWNER{{SUP*x7{Pw4Aubv z_ORFF{k9EQ^lb~=S#U)q~#(nKV0v0w&m-p1ntPT36}Iy5;`*L z8g+I}Qv+-lJ;^$0VD#bBSw(9~zoK{5U17_-WsJU0T!25X8tr8J4b_f~V0e}2V$1wC zgL|Fr&h}?!!8e~bH&T=HwejdNw?Bg@Iysa!-*$I373Z}CQx_6!0s^l;)JNrEBBIg1 zF>@Gd*CY(7MchDD?ZAZ7d=JZ)EmDEntnVfx?cR0Qk4_dh%tMNv9B;#o8uPst`#RS9 zuV(nZS9FQwK~wbvCpm|OYIe1nCGHH z?zBPkG3VEvD&cxoFJF6%S71ldi8Jd$^H$evqi=g6KMj3fq*8E0;}~jLI4u^u9Z?W{ zzn>U${84n9Gqy7pui99o@b!;b9V#yLc9{%+Nzpcb8x>ku|3FCXbVwib`jTa%Axt)& zq>vESf!+*MP04TJTeA-E$Sfp~sq_QfV2okzR+4ng?ozxsCewqUOqNoWS*fo3?r6JF z!WU3c_lIo3pzl5p^E}gHA4_*TxfajWw)vnFVmtW>F^Ez_0XoQRv5E6Q%-0WGA&@K% zHR6~80hZ`V9%P@1@+OGA^nt%ru+!z+>ROSm60{7N32KaNwGl1ibJq1DEC_-)W(HaQ zn&i?^10**Suoo~!jJ^Q?qkOZ3J)*iR%S>k}lq>1%>wwgLdXZ@>aEd(cwyHf!+QQsuw z8!S)tl9)^@(0$^$^b2LfTzC8o&G6_};Dmt1V8A#sB50=VZ}ZSo*0?wokdLSAny=>0 z%X(Nor@-12I0NNmT8;_3)%Cvyp{&@d_nDZ|g`KZU`O{juKiW-%X z_%-oO{~}5Ew@9+>bm<4fl4^6?h^6+XG#YeF+)~=Z;Y?bOS!%g_uv^i z?gUf1vYj?Vs0)cUb8*!My(y$}lVx)4WcF=eR&uPao5~}6_a>0G@1l38XhaWg#G_`w zZzTWtCnd&YWUg=YL#02_T|;H*RQkL&oS#ydJ}&OnqQd~d(g8Kiga6LC2$vn^)3-e? z_xjNHH?Mr9?^F_$WZ2;*d`q96FNYF~e`)@+11VR3YF#{Q2L!auS}~s47p}#y$~@fg zkCv40pJT0gVGwj(vs}s08#_(Nwmc$io(dINwK;L5ze+CQXRr!TfsiguVT}K!6A*Bq za0(7t$lPb;l6tr)v&J-3v=GT%*=4P1iibJi?Rv*5K|y@(H8O&&=wM$Lhms8nZfxKf zQ(v@JDGZq=$Q+Brw0QMC4_y>+P}1{@A_o!7R0lb*dnz2}?+T#bIEFC_hXTX#H%A9c zd0|*6?#A{N@#l=!8kAIlFPQcsNTWR*YVIm}n?RY~tdQCWy3=tYfl&B8Sx8b2iSVrp zzv}baglY4)`>)o)+Uq6_jcL7JRHvR(ieol)Ii0#?yz2AMTX$|Ad*KPscHKXoxJ}H@ z&~yn8S#R_}38BX<=ntj7*E&P$L3L?ZZLkqVROo?Xtqz`bxjhr=hCQPc{T584FjKi=gYeLd?VO?c-W!yiTCKq1#~>pzOQ7MUv4Y$*7kCi1i=q#rxVbQf<% zzF|sEoG{t5y;puG3wK=`B@n2+Y z%B2dP*7!z))_Ol$`bG{=C!f#O*rwc86%KcK7kWcXN9-I^EYv-+JkI;S)SozuY)Qz>ustST2~DE-4{tlZcGUKO-oCJ|+Ts z&n`4eZ(zgC#b10u!&iX`NL>C84{aWJpI zbeWG$w7qSARtnQWn?H+jF^GZj`^NeHJovApzn~bdlv^*lWO*MzB?w@p*2F7BYZTsk z%cd}J0QJ;5QwFXTRmEU&62{Kf3}i`+-w*O!YPt)>n$&i% z(`ivKAeG)rwpdB6ks}$S6fr$KEo^k#u|uX zYLF@%!$fiHv)Tvy zDu%}sqtJ~0DMv?d06Wd2t<-6cHz11ckwWqmOuYpw*rZy)KD9c7k4J$vKc2xwiN!b= z-U#3t?_BQ)rf%dG_Q&`?92POJQ4+t4cc~?Q$tu&Ww+SuJ$WQbU^{kJA9>@B7R1Wm_ zO*txEhPjl4R*-Z~N{S%cayG0V+n==p4E^#u8k2pw!ugG}w317c&d@3P z=Wy~5zeRfxaXy~%^nK(X-cNF%(|JgqL-8}YpQNs}hGb#hPm)Kto^0mgPfHNp|gRxU(VaxpKmo{QcPUg02d?@F#uC6_x{P5*SihvO528GQ6XDqknNl2XaR zj!NVcgoFKlmQYSL(R&j&8-iYAYmR?WG+=}rqPMwS@mx^gn8zwPRGH^gAz-aGhs2fH z62j7X-_8`$=gcJ8q{p33;B1hJ&O!+RWBgJ&&jZXRoEH!TJ0vYd|L`g&zh{SkjW4P8 zwp+bMKG@d;3(N}ruW%QKkw=cBm7$|zoI0Xv&SO(`7(0DDRgHsRu!5yW9Qy`N)F+3; z-??4wAm=GsPNh-jAVcD3AwpQi;plD+2hsnWQaww~TD24q5lsK&I70vC8PAIYj-n?2 z@P2;mKEiXP=%0T(NYi@?@M0-lH?tIcM4nIYWm{cmvb1K?*4$Ez!~a!2rOunWmA*>$ zb}hA@?US+JQP#?SB-9D?5H}-Mki>BQ^_@jt2-@iuj^Cj}(X%rj3 zbZgm_47plM&mtgi>_o+cqYDiXarD-HgakBJZ9l4~?`umMAD5V%JuTgzU$U1hb*NDSC zq6{VBd?o`$s^=1C?Dw-2ac;3&y+#P{G5a+2D)%O;Hhaw0C911PL+)p;NjTi|Jc>Bu zKRYZ%yST+apEx(~%FClgWWVGMi;WnBB@QI#kUEFQPnGjAi{drfWwLMuq?TontSBWM zJgHO7$6%vDN_~EiNNT(M7)=EKM37U3VBM&i>OaaS$I&wlh>ClIx*fZlqRz`CJ^)8= z`2L~p28iBqQbhBrRX2FOBYzWDdJ4;-kVo%B#&W+!BV6;fpEaNj4_;% z2&y^`?am;$FRAMCWjJkW0DkvBa<*^iT=M}GkXn{O7LKy4r}3*nWnTEQT*=%n%eg+4 z9N{z!f%jwUf{z>ht?sBQ(M_hV_JgIlEUh6Oj>xiE-q*3Agq;*496w7wsOw4yk5ib+ zL*m=+IiC>j%>pk<-yq2Xb&OU2W@{x6JALqfdR}L?{S2~Qi>d1)d7bs-6XMMM1g7$k zO70?^Ip4pKa6lbxUqgT89&7TU?~7_1=VXaI(^;CiJ;{R1QdZN6qc~ABVp^TaR34(E zQOCI{-ycftGN_~Nt2nAA+c84S{UeR3ULT$*eWtR_sdSu@AdnY5wze|QahmpPeJ-`9rjNe;3I-`fK3sMd zH3YpzyF8A*5teeW=FI!Gj>CjqN|DdiH0sCy99fDuZ`ObY#5gzk(96wwOd|-7TzE9G z9Z~H~kn_mWg9v9|g1n6&uOr9-1mSTvbLJlYJBbfwK`lj(9d5UJjgYqzHFBnaDn zG~rO_>41g5aev0ry}c+hAh3mlMI;;Lv%~B z(+54GQbV-MC4}eMm~$ITG2>ctWfo6j3}bSWc_9oR7#b zQGGRvgE6VrI!;MCw_V5`cH4zqL6G|h@`0>kjrHM8gmZO*K+9OS+~#mJA4s1&9gYar z9ZE~5kfk3deO4u$ZOI!4wUlluMN8Qm*Jl&`5eNU$RqDJ}k`8F}hJV{aPf>f&M<(9d zDEWMYtk{quVHKkKI#KOKwsRz0W`^rE+I25M&L+?EI_x!xs_ZS9X}*qd{OC_)P$TGrNfwW(*<(Cn-IMsR z4_RlTpB`H3P$O$o}E6Fwd^+M;h)=kj)6f z@$)9a;kk=f5zc!E!qM{NnSt4jXAjc20B%Bg58)gg-B<#ol52I?me+Na@HuA7E@CuR zZ>*(|oJyb5{Kg47^>1{sw)whB1QnoBpA8KmK`J>nJvSVE^Ny%)a}beP2~wA@y>uEP z*HHiAC243lBBwi9Av{9u&i!s|rT_d$^Aea5D(xC}-l*gTowq4*u5g?yxj5m}8t&@s z5}8JM@ma!ILHU?tqvyHg?wqL1&pN}9P27M=S2UbHs-cq=!X~88TgZn$RH{;+yD2uz zidrAv7F6;f;&Wxvr>1(g{>=R>vYXSnlK;5qtpt7skJ|J1I$0s0uZ8C|j_0g%4$g=A z{zb}VaE~#M6Jl|P6#e{5!a0s~-rePe$chd}V|lXWoZj3D&<#S!*QD zwa)jr927xIT~eJzJrJByXeoO2lXk1u2sx1;LzII&i@qAQR(vks9)$B<^@fRy((Tk% zKqI8T`T51DR{yOD>T>><;*=gcn##`SbsYparcVezbuq7R=r3NgjynH|Ed3n$5N}gj zic}w>vi#ZS8HRb|cZzDTNc9hrwJgP$KV@#H^UqMF?N3eh7@(0eWIh+ul>!j;G z8L~o~<-%hk;9PnGZ()~qElu^$Q;6zHqB@4+d3h{Oq!fL)u5NKFA#b8``eU;6~a$t$` zHuB+5sJ#Ep_2;!sagiYM5K&E~S_?6jdn1GglkI@QKb3GC+P)OCeI&ftcR&OJ*p-yd<(MeZRV?nXYmh~jVqqWYh|m(t^ZYM0V^WwuRgwJSw9k7ewf zQpk&zwq5frbLW%#fGAY( z+?CREJ|WtEu75;(tkxGv} zM`vzxs!H1CX|%ztID=|ht!!LG`SH%=ZiL+P^|;AmLmj9o_w)R)8zI-HX;;H!zDEzC zbjMVB3>C?OnD4pHm1sp<)EgoEo<@kgd*qE;ALe|tkDa^$A4QL~*@ZH3d_GThJ;y=# zyj>3 zb`=dRk)qBvuUiP_#y=r_cyHK-%HlJEenydS3sGH@Aax}0 ze$h{oK3qpZpVCt7y(}S%FI9_=I=_%`HX|`?OZ2Z7FF(iN89fu$Vp^7*Be|XJnZ;{ za8*lvO0Rk!iYy#EhcEe!Z!2%s5fE&LVJO*G;+R$%Fa_9XFXS+){c4S-Y#xcv69UwFZ@hbCF{7n5ILEoFGG2OmC)RF zJ$Khh7qObeEY%YlNuU09buLb`2Yf<4PKk$Pr0Ds#>L5Sf8)V_w)%ggm26Aki<08Ci zSLat#?pUl=!vAiJ-G*hp4{BHE3le9`uMocJ_N7#^KWX?)r%wnoC{`a=mZi>LRH`x( z9;ABX|45&28HrvIQI+64d;`W9yO*fWQUpi`Uc@;v;naR!NiEGa)bnH#h2e-?lOVC@ki-;=4{&`c~mFEY8~w~o~iCU^YCD36ipSHLHC{Kj%?_2K6NUTsH|QM;q_E+Om{7jboW}DERhvln_I8u7)}U3 zOWGNv>ih=;?vP^C+3s|Mgs{K!vk0~|<+X7oUv)KGC3ZTc*~L1CaA@LOXSo^OT0$Aa##r|^#Ptia++SYM;w+82%e4QF_XnUWIwY${X!ne2%|TSSA9#Jcgj=( zP9+;9n?M|?rV`b+HCDl=wreFS7rJ?^d!C?qgsP{)K}0xJ%6HI)0=?5P{y#Swrfh#r-tY@#=<`% z;g_x+tK@u#qw5zGb&Dm=uq9f0XreM%`aY2xgNSUa;?4R^7Ka7ENbOx#-=D^sb&KgL>$k@a){8m-+HPWmCBD%>u{Ls zrHFh|^(pIwqp5W;$+$*uw1t#*d8*761K^xnQF8_qI!8#ZPdw`$IvGwD7)hTxAAlEa z-=JomAl&=QXoxTxVXsMW)aDEDev8N_xm8BPfA*PoDOc2%-{ z;!{KXXniDD$Q#a(JnE!}E{@3(`MJaCDAxUoI(KjNM-71-JOQM((jb|W3o1NEs`J%SQ5SJV}tWu_jPA^7O5O;NXf_QUZ8@H{_8=~$8vc{W;;G1XqU~E!F3!?%;i$n&k|>or6=qWBx958 z`dSMdrfLK6JIb2gOk6e#*XU&B&@u>Oei~g=Ge=R9& zI8Cwo`_%sdD(nhja*@CC>50hi8`F zLjIY!_Z|LghU+!@2k#UcFhbZrbMt)%KbjEPX$jNMbe^VDfd-2@XWNL9T^uboR|(2U z#W*}Wr-KqF%SxQ_3BgORI{!gaY6w|nY=8=onva(i>&%i5my6X6L9fv+?&aE?u*u?C zS&@~AkKdmV!YqfQ=O>VVKAjcssLvZ{%?0lUT8cQ&w_CkN$b-art$EJ5%)xi4u4NAP z_htvY%6!Zr@P@9?sSiu(@O%mB?@d5{)1+CST-Mw@KfHf^av$=)$%ynHW4Sl<^t{F# z%yH(#W4RSE5&!}B7#qGcg}~+Ac;Fh#7enx_nL&Hu*mGPLZk*h@{CD&~g!mCz?w#KL znIHX}$7-}<{16`N@q4V`U2^6(TN#HTZQg!mD&$%zopF$-i~~HRnD5-9j!)xvuV`t5 zGn^sK37?Ej&vJ_VGQ9E2f{#Fzb-9%pAo}kl`YAd4cd6?CWCvc9eua^AA-oU&^d)Pg zNW~|LUtOal&MbX7Ro~DepJSeF_omO|v}$%XNx!=$O0pW>FUVXJWj%$YUyLR2lp@Z> zcB|J2;dA3pf52Pu6Qc5OtcYAjIIQyz$kJ2Eiff1uzkl&3-P~hn(TelQ&sUJ2e?`*y zEiI0XFOi1Vk@SC(pLvd&Pi0?DClE_3UO}>U(}6*WbD@sxP)Y!W?fRy6Hth(A?rS2d zT^)|dRfO|GTJ?A>>0`Q>bdhXvDMi$kIxI1~m^*v|;X$frX~i-|qSt7}&eStslQdjW z`50l8rQ3TQ!|^FcH-fEl4u)|zyd;Nnj=468TldxIQEcDu;1hI6W9vnx#!A{hSQ zW4t@`U9R2`If3f2SL>9bv5I_}Psc5BK54gI=|_>ymyliAG;PxP=fp?5V@@BQ?>&id zF#lsITH*IG>i+p`5;o#IP8xDux1+JuYoyAm%ml%CxrP>TE@@Hozm4KK>-Hwjs~X#` z^nFOyDTITQyDUY0_;lQDDBo8y61_$$_I&mlbWaWUrn%gVaiaPL^#O2dtEDLYBUH0- zefWDs?hMfDihQM;2qnL0_I(<$EZ5l+##QFI`vWw4YXU|_wcJ=2~oIPtPTFQJT&d-0FTyN?)KYD(3 z%Lu(j$j_;K@%(cZK8b2&;=^mBS*4z+w3xb>pIq0Aj*NNH#?M$UK%WJ4pwfT(h%7qa zfK;-Cej6}yK8;4%yso#2k?1v29YBx=iF2EEy@};q_Uo=uolF0;S=ZweHQCSLV=4N1 z;@0)r>XX~vv`RHU^2vQDvcBEwHAY?kF_wEn$DYb@ZbkwOfxH+SKITK%3J57hOYIKV zUXb~5y~*_MrL#RB&944%a*6rx$Sp!9*Y)x-HP(sBaxuBCmq$yRI2_K9dPQA^(z7hL z!9ji*-uPwJ^^ftu6?{VAxr^m8q$rDfAWIR>whAfhRX@g`+*wrmr%ex}?M@!c4&+zU z<Uf%OXKr*fh@u$`}cQ)CY1*R#Ok8tzC1IPwvCXokgWL><-shCrtK0qEDhX;&%>- zzjMfB52UUW_*~+q6Y}A`yav!6CaGSrx+VIz#9^w*okh`4d6bS9GI2eSw`!h7ZLDtL zy*<|%f?lH)7{^(PkelpQuMu)J?K$ahXAy$Xm`BbhW>fzWasjPM|COZUOlC`w>c@7g z*9iFx&2`^SJ6>+59WPfCAKuOK&;#BI_VXRYr_Bzocax>(lNG%8>E|SCJ@WIyv4iVY zvXtZbD#GE|c!>Pm-wv*ODWbkd@q8r3^V->BQjFD~lFl~~gwKfnEJ#)}@ulEzK3lKk?i-14bXV*$;U(t>-?~MT^J{gmXGY!o1xN zc^m0`CaoPWLtgy_LCzpP=TJ%cAwjlss|+F)?NH(!ZWFg368i?rNZ6O^gvYX_zF3-e zq!>GaYW3}j^JTO@>QwTE>4IyFOkUIEJ$SRJr0^MK{@vS3*31qUi?SZ2Qpx##E7`R; zt)zdJa895|co|85g8Xwa@mY&xJ)3ZJ^P0=kH)};^o{g5;UG3+0QVsd!*%|u<+QV=F zmCA|R8M`FyjJ=WiY?C`9^;-&o1L*cQX6-?*7MpK?$jpm za|o~0_QDb%Rm1rkJJJrW4;qPHV}9}JuXmC3j3`mPTO}Ga^7(?@b~*1vZ4=HgDXqBL z@u}oHBz;5bCrl?}zq%*!Ie;wHYRvhE--+0tIA@HLpXWWK z%rCD`X1G3zkpLW~${{(INY#svVb+`n0XZ}i7dh`i`L%@!(rd(dF5zTFFyU~&<(tIk zOUftrhVDFfIF&qyWO2_5M&x|>|EZf!UD(IT(p{7!i+eJBTGXM$=VU57HxTEe2=XuD zvnb*0OZ`mV*S#$DjTa+6hZ2O(@i?Dw4kFH&54RL!gRS6o;oXSykBo_4BcF{3=WSV# zMVzCkFSTN}if*rX&VnVCl>Q(OQMq6~*ja6(?#@<@ zwS>X&Y~lhB;e#1j=!?A|Rp%G(cGpMnSbX+~jynN;xdLh&%s)pQ;9Zh%A|F8T(M;}8 z#;yP0fzJ>1Q&Vn|aIjaX_V`Z~$8s)G!T)#zCQ{<=GNrIwiIk9u|A>Q>xVr@q+^w!Z zVC!ExV`$R^CoR_eHc(2F8SNZPrt#TOHHmxx!M|wPliFV&(kD?fJUd14Yb*t5GMYxW^kTaFGb{Sof^_=ML_X8 zu18y4q<~KJ>ekTIQs4=4194rqe1PKRxDtwb6(7WZUEk+WZAKDbK=E=PIK||3D5Z_n z;)CBSQcbVJr_|z`HsD>$#vK|A=m`s=7Jw?SkA4NDSh?4U&&)zd0N=PuieLC3;Yn$s z`4jz>hzFi{zYy0;J5c<>2mfQ(R0Dzg4r&^3(BrFn2}|jtx)nvg6FBE~a6yH;C-e(> zJw;s=A%NbjUp3{03IWcWyFCKnsHgzw=K85A(N@=#c(cQBYQ1V4c=1h&1J6ustU?k# zhzLkS?!bzj)e*6WfV=CHzUbN2zW!9<$D=Zw+NK%@Qf^WoLkji;)HtUpq~@wOnjjUQ z`1*$3;Yl42t0Z0S>Rc`7)=~`M16t!U6#6WsIMAo;r@clY;1+iQ;1@nfz%Bb}h*Uh? zTR*k5i}W{ih>?otkhX54v^Kpj(_#F*LX-W`iUK2Oowhcnja!)&SUV& z$pI}N%5B}|kJk^(0nvf;G;lq)h?4Qty3BVln!Pw__Ps*0@zj75q1l|oyA=K+`<`cCfIb|qpLG|edTQN zpm3}|2`|IL7+=}4xR^1WGY%LVfJ1-dOjs~y9AM9;`h{!V z)5qOb`YECC!`|u#K+E-qMfFh~Mc4@hoY6+3;05iG@Eg6&+S__eN5|VY7kL1_zysTXu;P7~Vu+Xu}JG`^D zS`6=$W9~T{8-nl26TlbV!I~kiW7!6rmn7W&M$X{Sc9h*4_mxS9vGiTo^J25)hkD!t zpY7ZTeN%$A@!2vd&dT{~tk)u{(azNM10eW}hKqc_C+7f7f2p6U^8`;Zt7rV}X{77- zsntt(I|#p#;xD^{ymB;Z^LicRPRlv>ai6EcA+^8u9p1v*@pHxjeHC~ow&>smzIaov zgd$I`PEQZgr%`i2Pf5`DRxWZBpRegB_J(lxVSIJqpg3FGkNPfdt%p=R`?m7N6}uEb z^as5k>P8h-QScNsFP>hipNNOMPblA!4jgyW@TkKBcV(Y!-aLbM@wp&r|GUoBpg8j# znxYktc(}t@3D{I|W?jJHK*D9pW=f(7{rg(Lti2T3yPGq8CD|n0v}et*7>qhf(~^f9Q@v|(Ar0^GEzYMH5AkAj(to(xlB!z z-O%qi{oo2cqTRSt#X8=Acj9wEFVjzr^F_sh7rxkuE?@%ZOUVoS6~1YP;v7qGSi6f0 zEl?Yw{&`&aM_&PI>jA&l-!&9HS4dU{2^V*W3UH`veL(TfqS+QX0E$r}pr~tVOA)7a zuI;LKkph@!>Ic^&J6G_)-Em5f>wEN5^EnQV?7;DC?3xGMVC@-7Wj?6)^7QWmNXG{p zCR!h?yTOkA^;756R}yqGr$&#~xtUXKO3#x^pQ$jn(^8=n;_O>Q^2wbV9l!8Fxx}Yj zD@N}G(8n~+YYwP$tQ^-+E60=4COwHbTbQE+1qvkqEoxl?{-M7Cjc073RKXAEE8;hL zT@e>|NZ^8=Sqc$>J|x5^tAvjN5FUjXuW3%5X-l6TnxU@C7un2 zU%m*w+|62DKPSYpQ`Ym0Vz%^}ZO#lHN^s8;b9eiU? zYOVD_2OrlO3Vw5H3uJDX_>47jfYvteh#Ix_hC%B&O7KO3e&Abc%_9=vJWs!HEoZ>c ze*^TA4hQ_g=jr-Y_o<>Jdlr3cckvm%@!3Wn;>ou<_8QdYaL@ycc);0EA9rw-riSjK zAV9Y*aCcS^detw|%&T#KryKxXt^)-XKEKniTKD%Uq^9_Qas@@4%ZGlTI5Y8iW5Hcf zJGg5qM$O@;3A#@QYBE2rcd>*3f<6eOfU=%vABz%s|2(BcY{ndfet^yoH4Ao?LbJM5 zL$eubE}&m2@^FRZ&(X$`unoQ%(J%OFEL=$2CP^!2?=kBH9e$-6MxNk%s($Jyh+}HN z@rfgKyNl0EAKRmcQc~yL4vF%@jts+HKS9e9HD|c6^SQ~_&7;M#hxHxxJoG^wAEOi; z)=j^E+|?I2NbnSD)QAhH_1wylC7^gZLq9dH{l+*sLgB>~^b6Ok3MiL)Xtt)}K!;}A z7L1WF`~q7(q`qGmY(d_gr1^qtJf9R6;_eOUiuyd}H|$<@0`d@it?x0&*nkz*^4;6D z0?-r3~ji*d6STia8l=KD9LgXmcXmQ2P z`MPFb@XP;1)hm;H>-J#udb_OKR?rwjsag=`pHLob$Ng1Bx#*`JTsZtN=k>#a>xZ$+ z{G@GhgfTgf9R1MTlbwu`$tXcxg7yXDN6hxrt!dlY9&o^%aQ_@2e?9%+I@*2=8x7v5 z^JDW07jp5L(>)=^XIC{US_23yjNkaxW^c*-#s_*MA~cIS5mC?a0D78!5GNBqYYu7H ze*kIoQU~Y(pNut&4m`A0ZE%t2%jp-c<(ywRiw<7EStDJ?!Ug`Wssm{1nHE6HIW#=S z=c)Rrj@^1iAeZ?qotvuXFZ-WWhZgYBO8UX?t@IOr0eW@v+^f1!;NX3KL< zC#-?hv^tagBVf9oW3MtP}{!?$nkv}I=f z>G}iE_^&|*JvBjrTl<7@5v`W@^QtX}4){kZ=rPtB4RG^!E23?H@_G)S`>M~tceHgE z_zbwsZ&;LTH0EE-H6u66`f&$^0D7T5t-U@GYb}s6Kk>b)u(+)I&1ah@?~H|uycm66 zwj71b>vbgPi-b69GisXG`XY90-K&h>IqM66FWOpM2e}3TeycBFe6flNy~|kVxi{c! z-V%4RT*NvR^$8NnTis6wba3mzWx3czc_XL3Q`B;6C+K)^2N@4}?2Jc;v2YP*OQ@yr zFUDkb8$0@LtkH16(>}%*`X8rX_4`Qg*RC9%Vz(Ebei~n0x+Bt4$T8ab>G)`mEjWL` z$^Cfo^naY(HnfOF*n7Cr;x~4m#b1B|f{#ATyo)}N;nqH%ySVT^B+jd`61;hR|#c1Op=m>p(-x3$4=gLGgOKD=A0_g4fi7|~k@U5Rgg1-X{m=`D)T+K6sgxV4oed@R|Jqe@M()01 ziVKRymbwB($3Y)5ENNMZ4O(_|K*z;duAol{?PN#cn;eGcn|rk28!JwgFEl(Y;|e6XV}%> zQb!KYdYfL|ev~#1daPZ17Trc4`DH@sv<6C@lEh0wI;V=vKIAexUC`4U{a_X4Z0O|s ztt##Hj_%T>B{$d=@~W$f>uo5|x&@)dmps^my8F@!Ei&MOL(1w!NB_3-$z9NV$z}Bk z`<`X`vZL%;OY}HLKh;Gk)3H`FS|(7UHA7Ky#FMkW_{A!6@}f3mtjZtK;5_v#%P(D@ zR-`oQGODBdSl*FZt`eX)QyhKNBv{I}Y}q#s#SZq99H`^)FGk{ZjF&ev9A7k#3*QD! zwFcH{)kj$&atw8f*+Wv<8$-)v$8))ldr$OKd<;^#H}(3Pp33;E*fs7ku;Y)Hcbjkn z=+Ipqpzwr(`^z_e#lD(}^uOqtOMb``?=Z-6p6(X)eU{7IpF{r1ZSJ`Nfe$D$Ne=jd zpxH}6yPl)SzNACLMU5CZ2#wlX8Dv5Z*LHh7mOd#mL0jRE)lHf3ohqsKy`%Gh;Wyd- z@u~60-}9#}?$!V_vm7n^hsY!7f&Tg9E-fkVwO^#3E9lU3U!XnIIebrO|HRQVyD0oP zHG8c#G6|%3^yO=yXBvadeuX_d5DNIw*H@{lfkg zN$^YC*fxd~D$-8s{4yq;``%%>#5(ym~~n?Ws5yjV>!-( z?^E`Vni@;KSg6^?monaOWk~%!(~#73>Mql`YgxaFk4=8LUj9BPpxo4+&L@^DS3m6T zsaJRM7PLg?puI%UgB(?RR-chsIr$>jjjc@7MvmY>|H_IBda`L+kt!&CCo&-=(HZ_d ztv$56If@lXT6zXJT-QbUb);SJJgJK!?bq2qK6Tg4978}C3GL9H0h;+vyP!);&WN#T ziOEBZ&Wb*P3`XnyW_lygvX+Ubt{h|5!MX3hG9ky4t)BS2s#|;fVzk3&jvM*)4o80? zw47W+>i4@S^}ntC()tG-I!fiv*bjDnmjh_bHr^m;cs*)6B4-x}?T*F;PW`|a>1jI?ae_a zwrpEkrpb3Nx-;8Laap$%+;L&m0dbM&Cj)8GZo$`@}XXVfja&3F2@ z)cnh<=&u}Q+?TYh_JhM4yC}aRu6M1arnFg6j*jOD7uvlX&BzHTo+z~cDI6Gyl1fVQ zB|Heql_-)2!Iw{zoPm;>C=mmYrX5jVXvc3%DoA=GyNawp4>Li5mKZZq<02kkWXP|P zNA5SbO3b+Aea3`XEb;vEWVk-y=%yVMgzJ9$H?dC+{jsTnzL_0BQeW@0{O)6dMho62 zb22f1qcb&eQr&xmHc;ho<{0y(Rd69=T1GFk8F3GOTCew@cib1dj>?Qeps)3(CrW;P zYW(@s{&eMx&y&x7nosD*{7yF+lW&bn%yD#)X-nGMq23X9&Ue}cLK|-{7)!qB`mXjA z+Q-T@UqjC7(W{jqM!cC-6E&r6KH~K`Zw1XPyZHQ9sm+M({YcR5op!wV_QUJ|vg)VV zjDE~-%s2I7OM0E-Kn^3ZQN8kQHY!I3Bbn{4+o-x<67vsr8+D%8sBNn@YWew=6N{Jb zHvRk>E_(1OBob9QLErrw) z)q?}~%<)uGxGu4)JdM=1dMY|!Y-s0s_KVM3?BX6~iHpq!J;d*cRQ8l+URn1J6|>yj zyU4CgB{o3nyFB%4BzDS9`B}TiJ&Y8K)d6Lc2KtC$NsEL*iTftXx?WIXPKm~jO=h(c zebQ;kPomKiY0NqHN+74CjXsT4{fS~R$wR3_O2CB`2Q7A#Z(P^fl_hk)uxu zx~`)y3HrN^u4Ve2d3eq;Le5^*LCF<0d7E9WUQGxcoklA5n$S+mS?12%_oX=rSCw0 zO3j0Cg;s$g=VJ`WvCi?Po7@w9N!eK!_b$CFk9!MODB@mY(c!#=#LhEG-NthhJz9N7 zU-VXYckYEf_fGeF8Do{!1sdP=&0eufuU~rCD>@~iCb*D&`Npr^_}9Vt(h+v&Kc#=# z#0*k=fsj=6v(!Pk16sI3f&3E13j$4x18s09J$dj&ntbPJ$pPB}nr#&h-*NPaE-f^n zZ?EKfp`4T4ehudzLD!+RPihw0f`F`R_K(lIyR`h;+EZ^3G-B{q3p&$jHQu?u zqyHwfvmL!m(6>3N@%le>^r9{;dHzHDMGlh#=p3hAB((cEx=_%!I{E`ahweUr?|Yo~ zpM^G}s}~9y=U|=DMagIEVZ`e%bpd+5^QAP2{>V|?t@vX{4;I>UM?rglaJaxZXwK$Y z_Gn8ptf^ckr!pV($SrS_EtxC6Eyg~R?vr>b_+r8cXUbz?JwN zaTfW-GJ;OCD{JWvM|TzUI!E&?UpTNz3J$c9kV`lN3Ri-87pYhV}f?R zDGq49D+x{7!2S)@s6Vh2&|dtg@VPGS=7Bp8b`I)Yg}0Jk5VS`)?b*WN&m8@SE=ulq zvR~XoUsTEi+TsmG7A@XT^mb@82Aw&{bw_RL_Lf2_eoT=fzrz0hQcz}QNX@p%ubmy$ z)-uo0^w*)Sw}AIK?K$$an0c<}SJx!Xa1L6E9UM*jKpysTR7-&^Ayrotg(b+*3^dV; zXpgg%b7=XkY02`*7Yn|DX$RJBRqsU(cRkCUkm%(59X(6hQ0qmCF1Dz3k;C%E^wG1g zntt)A_V@L!eYy7rntFc`>!N-xni~H4PpasH#w~j%;?MBUQ!Drtew6xL(ZA`KVW!b@ zkM2*O?P$iipn1Ea850AIc+__#zsuJ);Tx;4Mk3!EBoB2<8#SbT;hVi|Du<|xmy<8n zm=#szyO-JWwf$);ik4pFamz3He!eR+&^7G>wcwC@L7*!-)=nO{`X#^UL7YjXW9h9e zg;dql6&>3qM_YQ%`XRsQL5sx~OOINsED=Pwa2G8B zbedg-Hz=_c&^FWc%AA>_j{9jQk^JsuDvM6)&hFUnt+To@FRZ8d#v3T>v&Dy6`^Xj$ zC;rywkbB9)8GV-D%}vP2S-s=whJd!2ydnpU1IOsO%{&(AXq-J}7BouUW_F9Lw)2+N zFYT=!U5i;0oe`StWlKL88!~b8r#vm9YZ?t)IrEDb$9%7K87tYGNAWL9zxYARUHJz3 zEzj=<1dXv|S^{XtYfk@FR?*b8wxO*J0iBo#)Lehsr6!N`9|F}#^2!i_ikyukziPq5 z5@_6dhRcDmL&{+XM>Q7R=4Fe9wt3_tho%qxsSiXXv9uG@$Bx~MTR-Ht`2^`}jAosm zuI?d{HPj~HzvWlk&`g)F&Mw!-0V`&fz2EZN zZ~D*=`NgJgZY`C0A0ppnt_PH;I4E;K*oh@}<^D=mp^*Bdr|SO7Z`e(wzTm0lEE%|R zarOzR&wDCwozLek{pR=+B{kmu{+!=?H@9}U!g;!(aE{b+FJnkj|Hhw7Ir9vgR!(Fy zvikh8)XC9H)#v9V^|MQ*>b;-x)TpuH>+`q{=cvy(v1t72Q}eo_${A+`ZDRG5^RW+_ z4kHQB^b1CU(o*1{^Vx0oyhvq5&z{pN@f}(Xm04Hhu=1>Lpmtc}@e8XVKI62Y`|Nj~ z-ZRHjV^!kUjI#7a+%wx#<1C^3?f0@%Ic+CX_w{=*h+G%jm8o&kP^4bkNkxJ@cmF~6 z7X1P>uv3yr9oi`0T7J(h_6fIZjVjCcZoel|Z?oT-i+3!QdatC;S}OH1NyY0RS3BD$ z&n+d0Ty1X1;M4*8ozEqvNGkh`x%XtdN}C3yF8F-dQcLNP%loY#f|l|YTF~f~))6$; zwCD;N*44n_)k4ctM#^`xa9~e895xn|RivPlB=Z{{6>Cisea30m7c@M#jRbwvY3Uo1 zL-=t;H;@b$D}BgA;Tv`H8biocYZ1t%KU)Mj|FT`w_w}+0U>B=+(3=F^(b3xk-PX}x z3%ZS?O9b80(fb9Bch^27=&POfF+n$U^hrV2ck~%S*LL&;LDz7UBR-0TBL`HS60afX z3zh`Rd%BbM8AsO_^hrlI?4Z$3u=cH;^ncIsS5~X&3HG#Tf+?gSPaRJc$dxXxVwt6`5%5&^*NM1p2GY8GR14^a_biakRt>A`fL1 z-QY6kbKFA(1^S3%6q07N-8Za@4@>BlzWcpJO@r( zC-`&1gIQu&Ng*{jhTp{VGIi-_Qb`Sc-^B93^GXQ-r zVG>Q+(1GTW7d=DAQ#!rM7Y&_a|M|#OR=6rKK zCme>(ObyPjmLhWYL*W~zs?Cu6-tPHT+Cw}KI!nj_X&;v0=z^QiwR?&r`E`)}}BNYHbgmK|tG8+;ECw7yfL&NuS=11Z}f)v~?a zQ0(cRW*RdOSDAD`4-oVxj_xSv&5r7sXxBTst-h%$hX*U-15l1%@^Z`dV5H!}v>uUHw-!HUr`dTmR-eZ-0 z{atIltjMqDfw&GepFIPbPtO3FaUD?NMW9>QmAZ3}quUC4o1;4mdV`~T2+E!~_+sCa z@Bef3&4T{Bqq7CQ%uy^&a$uDpe6b#hVl6=5C+Inj{<)yvcJ#x7e#6m^33{TVLq{Gw zt;x4YeXQmCW4C85!ZfxKCNplGKJc+7-;Nw`zB=t#`q^DhtmZLuvG}@-Nx5J&bm$SA0)M@{Z@Wn$Q5BOds50r_0 zJfJN?UZjKHo;B1Wmr5@2xeOjP(zpodmM;@|g zAfGes;&VVs9CA=kA)<>H2yMvsJV6h3zKTwFRLj;RS?ZukvdCfLbP|tgGt*nVi+=I0 zh-A@X)ynbS1JHkxR!Dpcl*m@vsOEDOS#0dmX{!>7mY9;bme3yB3Xvj}p({jaQQ>pd zV+wb4B?)cxdfIn~zoS0PX#A)=1&*ifWfz~?&xaqS{!aAh1Crl;okQK{x=?8A*65F% zgZd9K>#5eLe&%vrI`y$>_I99f9y~D zN8!+`{iPP8-bSxy#0lV}bK}G7S^a6MXTeubAdb3F?TcA^jTnaZ)gy+z=-HuOw(p62 z>vb_y&*=RxvOBSMM|3%Wo^6++NN_9r$7fwSi@O}4nPC^7Y6)VTrT%aD_BRWM@YnTe zA;|%uo$XK4I*z)~Hou?KE))(CPt|r2sCw}SIfqMyL$skft=4f^g42Y<8=S9}czZ{i ze2YenPQGY!TVGOIb<9bm2aR;1Cu{3Vik|7ZmGit6w)G`t4sb=SFQIqZ+AcH}ds)7L z#`(c-6qFtT+TRzHJ{y#gc5=Xf0>#TplsMl|4uNVOIAa)Eyo;e6_7wC=r{&bTqzw*Q z3VdYv=IRhi%<2$Oe5B;SI3AQMQC87`r1j+2tL$3CuJlVlc?zHF*;T&ri$6Y}F#d`1 zH2V3Bi9yrW>=g+$n_6t?`KA+SNwcZNdaitH_Fl4fJjrSZYfyBT`l5A%ZUoqA{h*IH zx`m+kIJ&K%w>ip6isV2f0KSZ&5^ZbXN-JsdEpqsVYstq%OHOq3XhA>kXw%>ES!3Ab zTXd4x9hU3QMf<+(=!XRziT>_xZWDZUb@W+PbTc!;*x_E{1lV0@vAAhzV_v!*hq5K*ufy4DDku`cN7)+@uoHqMPXU*sF>pnLHrSA}^!odvJ=yfF)|DaHC_^{S#(`clcdV5m&j9-WRY2{nyN?k`c0B!?c0YZ_Cv8zBbu5Go=O0cMorYpwVY7{|Kc? zvRLO{>{+Z&)mY{%uOW@N%yCrLr$!7 ze8*U^2dBa@^Ej9z2`5WxV&E+brRb3RjUlXvlhO5!=AVG_(d;ir$~pZ z{6{+Du^u13zwoE&zJyj66ImD313t*d{c{)lq}80<`Ha*#R#Q+#>euai$tCE%p1Rn+ zbMFK8d)cYHtt?Y#E_Lt2lKL)By~Q~{E~#iM&yV*7Ewt~+c{BSyIQ23~-E^tcpLSBw zd+yo9KliaGlokPcqoey9R$51g-hNT)we3oj6OBMwCz)vU6Ke??z8}v>+CbM6G(6oY z9W?6aV*AD2Q9rHrkjBf^9`(cN+tkr@)>#(WgYIe-3wnc~J3D%#pgTEwv!FXTdaIz@ zIeNRG(;dB2(5a5zCFs_U-Yw`>j@~2a7LML0=w^;SAn2x!J}BrWjy^2t#*RKJ=oCjE z7jy$hpAdAiqrVk&Jx8AwbR9>Z6?BrL&kMSyqb~}&x}*Os=xUCxW*$M-JdKu`UtQ1_ z9bHq<=N+9S=(CQlBk0qPt|#bk9i1%b6OL{m=;Mw~>7dc_PqMtWmTy%#V!OSmTj;06 znzPH$1pPbD2#O5`eNxc%9aRqNI*R>HYi^a+=?|24te=KfaMAk=9)&LH!Cq;$ec}F9;oZ}?H`}@^(A*&4ol9UnPwNC*9#iG@lArp zobYXeZs#28=gZwJw82+7?BE=FnN5wSY0h@8a){GiR1R^rh0YqybPoS6`DH&d($V<( zTO3^|wEH`%d}leTb4d}2>}57;u5%cuKJ7w54{+K`1Pu;4ClNChH`_g_58;uXE*w7O zd{6D7=z5&=aGszCI&GF1+P67ce-B8;tD%jVmGgyfoaRyIyF1m?X@PLK#*7v~&P#*F zJa0X}7s=CN?d|J@@3qc1B?;e7kELSu_`%b%f(5~{d>-}kUIqW91*Ewyy zY<0fpN{QpVm0g7I4bHb-LpuxYVyDe>awyxOUbgzvb`%bGI)^hPXGc1RJarDfvC3e& zaJa)cW6kt7U0S{zWdHD(^qnC$*bSiX6rbw?NB0o)YDaex^hQVL2^#M>dA*?5 zI<3AlB;I`TcA@=Or`=W1cpr*#xW#GpEh)D-`c~m^siQLljZ#b#^bV)pPtbUyO8xyU znzMKx%2vW5-m{|ljkmh|fzbZk^RT&~_c^+apuchSHG)3qXgv?QZ~PvoeYJ3S$k8_l z8gF%}=WHXPjd#8Lo}gDa-`5HnZ-7w__-SaW-QxezYHI);bR9vTc673!oH7B2jRhr= z0ZJSqdxrZQ-AYi-{e^bAptn0pZ=D=&b##WHiyg%`NLu_6`0gd>6^`a<9+a5VJfO|< zKtPGnf##VcpqxnpI>)Yj+9F5k!xO#KQF`k{>9gU0%}x}14$5A`$WDCTKY; zEYY(Z{WC%T!O;&0`YlKQQqXTY`Vm1-arC2tp5*Aq1wFyhPYU`4M?WPfCl*qQrhP>Y zP5X)*CPw?tx5kY2wdhxoLyLYDIjro_FRUf~(4$R%J922z+oBKs61@%m{f+Cf##UlC z*q!DZq-QhDX-5)cxsB?w?rduR4>IX zLK||xUwt_av8o*(G->fqDaAv z$#(L+ZYMLIiq-6Oj{+XRPG)zx=c%s!1s&@-L3nb#G+|GpOfh?Qb+>GwF_(0wpi?b{ z)cV_*77Hy=FKE>-AwmXvrO@u_sP<&_y@=t%sBg5RbI_-SXQL;$zsYIUTOsmB&eUqe z)6`>%Qaoq$*`rUgGQpuP$$IZ}jmROSu977B2Vb3Oo9SrXW2%=}y`Y$Ps?Y1`{-Jo9 z`dl$%bZtS!azN}_6eCm8`(5lR4 zL2p+tbsV}=_llo2E}4gQJr7!&aY9nv)76}XH>iFeky!GpernLxEx6WDa8NYn-!2sS z2Koa*!+NUk8D4y=i&8_aE{Ysh<#s{ey`x)#SF)#|y>#^RSBcfw!!4KgdOJ8e`rdyx z=Ww|^jkyTy8 z#~P~$pFsQL=%tl5&f(0}3)Df#VPdo-^g&lFg@-p1Em40mG*$h{@cZieptf&qt3~9Y z{#oclFEt`Vf3oA3{sCbw>+O+IX7gEXEhgA?$;;p@_(;=NL7wdd4aMv`^bb zTgg|XGTxEXdd)XIE7Di}o#mQZw>#RCMgOMbp}EdsK-&ENj;f8SNB)C@j(iSr4maCp zj`iA#(ISiJo!W}g($BXQqh&=xTQOSX&{m8VIjpD^qpO?E-qP(hDK2oAT9|0{MIUMk>lLL_<&|Jew9vDG@;^`$Vkz>$Y1qv;rT2Q=}q-7Q8vT5~c z&pIt0VRBf{zdvWGiB5L(F+mv@^0e$t`Spy^z%$2%plwG)kyZE_ZAV1$`_AoZ6j~B- zjkY7A*h$?r&~`)=ooPEFiX7UGh$092!bxU5vVWuhX*(i{biV1*`H0D+^g&4H6h}WQ zXxkA{WVYC)LxeA7MpO|!OHT|*Htj1iYx{AE9NKQMB8RpctjK|tTUd>C>`Ghmw4;oy z5`DtajRk$!(ai+C&(XFQvB;N|Dk;fTPy5cX($gR5{Lt9VligwZmhzqMPtzH(n7O(^ zXz4}Cna+Uh;Hc6D2VGmYz0=m`SnIQWy2>ErKyRI;h}kpc5bK!gYqUl(r>654tKKTx z@t#v_I$2s))5#(Sof&L9WQtVkRxYe^vy(+i#N@MQi1jcT#ij>~_+;C)Rb!Xk(lrhkmh>u@~l_qz~wICm~+NVcuVi zoO|7m0eILv=q@ZEDb-DVjRpIV=z~)+;xSD)Lo1#E433 zex^%uBt7~jz8`W%7vr%c4ho4icpTcsJ^!}sS`KbPH*uMISA`@%-2Bd8Jnw>^lbSG>? z^VN@vajcdtW{R66n%?h@zRft^R~$B^yc0aM`R`nt$IphSMT^{omH2{K ziFmttq?R{JFWoM~N~rCM79Xj{cQ`LyPTF+V$;fPomL%Rk9ach161Fl@OG}8{d~^ld zkftFh$I7fBCz*zzEpM``e4`ayZn_KF_8S+UjMi1YA)U!~A)S}oZwxJGYlVE1Lz8du z1($C>H*M=G`^Wx;jZBY=d_nKBi_iKfSz~rD+MUqqID1#;P>&Yqy7C!LJ6^HY>$}=V zKIremphb)5ouvx6wF8oD_D64vi73(F=RVL&iRt)EyDrn59>e~k6J&0Q3$V2^Uz3`2<82*dM_uZBcgjhdO zCT9Z4?>wi~w;0Bo6jf$Xiqt+h#9JaSkUYe?M&%oCN6eE1;c%$uA!j2&`&7?#G4lTcw2yio>Tgfg z77+Top>TN2In>KmueUk}mE==q&#|-}Q{5SH@y);v%IGRBt!1c#t|=%n8aS*gXz(S1 zog9cvK|4jzM;+Zn&<7peT+n+RIC zLGfA=#iIemH%OG89F$X$6Xn!f(D{NcaP)5k{feW1C+KmGep=AaIZBE0X$E$l*6OXu zVdbm0^Q^+q2OUd4Qbq4FI_kGR!oJPwI%_^=vUP=0jIitD?rVfYj95o9?tad4mw8~m zjr`VU_nsEoSXrhyi&ba?lCyp7PWaMt^Jy^>{;8lro1+=-j4{JPp^cHd#uR1_`?MUt z!8gWP1HyNXb66zk(9t*?j&#}_zk$X$t3JxlF$1(QLdh`$D0Ym`9A^ziPnVp1$Z1a% zG*+23?Q7bH?#y*9Y1&uxq1nD7hXJt~uMFE4tK&7MyNkDg`dYer#JiW+cC4kVua&7k z?N*U`tmmtrZBp;wM$+@v=Rr_Xp4QbRp;??#3 zIAda1xx<}ZMiHKsN?8G#;e}yElb-)TTf70b<~CS=$pFkXbdFgH|yS&>RF8S28>fi zT*4BZC1}{mR&S+Fv=0btq^)H|*PBfyv~?gR1LP2Ok)AH-P)`>$Jl(bqr09BE2U6sq zYcSe6kkYcE$7$<8hFjxOpYPT+0&N{gX=kxkqOAid8r9Z;6gjkYAVm(NTL-ebc{a?2 z;^C}gSNR4C!etJ>j{`s_JNk^E>pS{n7v;`p?H8lQ`fAvmorE?bh8GEkhzPXR#zm5C zwQ-R{TWwtA&{i84-=KM!&C8^x317LbHZJ<0BZ=^!+iK$?o%-lY*T}Zj#>K+MO6t*h znY7KP%(G+lBj1Z>$u|Srs#TLiTWwr4gAoK;(pHHVIkZ*cMGjL%%=~~iO_ZR83 zT^U6VtU*XEVO0pWWMXz@oNZ5{N2||;>WYuHV!z00UzeHAR>z8u`l`Q?tQ*vo4zZ?T z!1N-uB-SI=R|x8S_mQ68`f8T?JbEu{liG^?q7Sh%L{}^YI+9hZ^_327zetg@u3Bx{ z*R*f2MXay&X|}IuNv!H=d*zBe>Xx?kyd^^4{Jx?kt>-OrXgzOf7rK5uJiO-j6)kyX zj5@|^-FAHqy{@<4*+*)+S8jVp>$~lA^=|CoxJ5>bJ2{8?Zad|$x6{^FXzLgT8y_thRTu$m4XeQS~z& zn#_uIC@yRB$BCA-X*ko4Zp`-lRA*0^Pc*!ihEA?U4G)suzGm@U6mtC{5 zt6qJsSf8r?!wY`e<*Jjjn8#7+?C!nvSj$15#;RnlDOU4q*ry4RR?a-y1**_gG@*9!~eqcaOw76(aQn6syCH`{HdTky_p^LJHT%EgNuVJp;ELLq@vy zp5VE8!BdYF&hfUGNY&@YTTLQWpF8-iCNM<`Wv+`{H7WEig)f@;QWxS)Gf~^+odZ$Z zQ74gF-g^_Ne?1~y(04j~*6)ql*7x7Un}Z_tB+;V}`@QzjZ4o2Yh5nU7wET;#_MA785P3cL8M_h9w7nMNb_at;|yBUgKv9N?>aT_f(0 zaY<+c&E5Z?2igUPj9h@ub97gdy1Pc=9P^Q!H(uW-azVF-cv_rIqq}h9Y3Y|i8(wRk zCjlC#kk?CG-<6_glgF9oTH-iiJx|5pX>nFYu5bX2bAq%kV&AczSiacnt-jxOBqgrb zrtV*j^U<}$k%x>eQHnU_y1raBo_4jj@I{GBwrqInEhk*k)DbiXFKb;zj1Q#?$&{nvccgR zdn6oQCup3jtSfQjgFy=;0$dz>C$UrAmso1W2rv*)4CK_waI zg4a)|u9u>|FF;SIe%$k*y9G8d#Y8vNr{Ba{A80S*pyj7s%b!;73$xcFN831UFC*2t zM$bJ1c2Bm#*^Z{|gXSjGs8}k-l zZbgoz>vNU$G5To6dO8{(J%|4<00000|NnRabdxUquqJ{=fE3@z=zHXP%-@Xvp~Opj0w+W8HT#kGJzP)$p<3^PRCkUWeTN&Y6x$=K3(P*o4Zr6+hp+r z9N?6xVKRqwyeSVY@ujfhg={~&`1NB5mWaV1zKL~fn&(5{Ak-s}W*LLhX%-wvxVQ;A z9J#bu8CD{(o|G8XG`Ib4ycbq+S7Vf-tSwF7O-d=i8tr4y^`KI)dx3vILi9m7BFJwd zLKC%7Q6izb*-Fxh&uPq;;2v)o<>Qupfk%1his$-o*5sirIxQXva*a~O1flU#L5RdN U=xRygg^ZIuAS3br00030|EPz`(f|Me literal 0 HcmV?d00001 diff --git a/register_8b/db/register_8b.cmp_merge.kpt b/register_8b/db/register_8b.cmp_merge.kpt new file mode 100644 index 0000000..901c895 --- /dev/null +++ b/register_8b/db/register_8b.cmp_merge.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/register_8b/db/register_8b.db_info b/register_8b/db/register_8b.db_info new file mode 100644 index 0000000..12b4a80 --- /dev/null +++ b/register_8b/db/register_8b.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +Version_Index = 167832322 +Creation_Time = Mon Mar 07 09:08:50 2022 diff --git a/register_8b/db/register_8b.eco.cdb b/register_8b/db/register_8b.eco.cdb new file mode 100644 index 0000000000000000000000000000000000000000..6612017e10ee479d46d8c5c373ee2759c1d1f0db GIT binary patch literal 175 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXAn<&r(5U7Pv43 inst.ACLR +CLR => inst.PRESET +CLR => inst2.ACLR +CLR => inst2.PRESET +CLR => inst3.ACLR +CLR => inst3.PRESET +CLR => inst4.ACLR +CLR => inst4.PRESET +CLR => inst5.ACLR +CLR => inst5.PRESET +CLR => inst6.ACLR +CLR => inst6.PRESET +CLR => inst7.ACLR +CLR => inst7.PRESET +CLR => inst8.ACLR +CLR => inst8.PRESET +CP => inst.CLK +CP => inst2.CLK +CP => inst3.CLK +CP => inst4.CLK +CP => inst5.CLK +CP => inst6.CLK +CP => inst7.CLK +CP => inst8.CLK +D7 => inst.DATAIN +Q6 <= inst2.DB_MAX_OUTPUT_PORT_TYPE +D6 => inst2.DATAIN +Q5 <= inst3.DB_MAX_OUTPUT_PORT_TYPE +D5 => inst3.DATAIN +Q4 <= inst4.DB_MAX_OUTPUT_PORT_TYPE +D4 => inst4.DATAIN +Q3 <= inst5.DB_MAX_OUTPUT_PORT_TYPE +D3 => inst5.DATAIN +Q2 <= inst6.DB_MAX_OUTPUT_PORT_TYPE +D2 => inst6.DATAIN +Q1 <= inst7.DB_MAX_OUTPUT_PORT_TYPE +D1 => inst7.DATAIN +Q0 <= inst8.DB_MAX_OUTPUT_PORT_TYPE +D0 => inst8.DATAIN + + diff --git a/register_8b/db/register_8b.hif b/register_8b/db/register_8b.hif new file mode 100644 index 0000000..fedb6d4 --- /dev/null +++ b/register_8b/db/register_8b.hif @@ -0,0 +1,42 @@ +Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +11 +936 +OFF +OFF +OFF +ON +ON +ON +FV_OFF +Level2 +0 +0 +VRSM_ON +VHSM_ON +0 +-- Start Library Paths -- +-- End Library Paths -- +-- Start VHDL Libraries -- +-- End VHDL Libraries -- +# entity +register_8b +# storage +db|register_8b.(0).cnf +db|register_8b.(0).cnf +# case_insensitive +# source_file +register_8b.bdf +15bb6d6fc64f9448fba2946de88c4c4d +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +| +} +# macro_sequence + +# end +# complete + \ No newline at end of file diff --git a/register_8b/db/register_8b.lpc.html b/register_8b/db/register_8b.lpc.html new file mode 100644 index 0000000..fd4875d --- /dev/null +++ b/register_8b/db/register_8b.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/register_8b/db/register_8b.lpc.rdb b/register_8b/db/register_8b.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..8bd163af4afb4f0b0723e98d8decd3aac45d3a3b GIT binary patch literal 399 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXATNxwJ2q2J#(kmfchJGl&f^kmYd9FhSJng%? zn;zU>yxgvvIZ)usBW9N9w1g##PxDn*W?MyT%JHh0$iF?mFI}n#-$sq zdH0&mJhD3Zb;HD2XPzBe$Lv4NH}j-Ho8PRSM|ZDJPV^~uX#S_}o8&snW!tC3u2r&I z7yFf{e_5>aL7IW#|Nja`p@d`xCN%{yrbi0m%YO*CtIJ=n2$v6!58prGeEq*UDQqhf z9xzPi;rZh*OS;3+>+jq>F>{?w&acZ$W;}haaTTK^`=Ln=JqAV=DkmHh}~bWdy))Y#0}0Scl2{{giDmUsXF literal 0 HcmV?d00001 diff --git a/register_8b/db/register_8b.lpc.txt b/register_8b/db/register_8b.lpc.txt new file mode 100644 index 0000000..a463804 --- /dev/null +++ b/register_8b/db/register_8b.lpc.txt @@ -0,0 +1,5 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/register_8b/db/register_8b.map.bpm b/register_8b/db/register_8b.map.bpm new file mode 100644 index 0000000000000000000000000000000000000000..1f4ca92b228590bee1bd0791a55c1a7bc53c5a8a GIT binary patch literal 561 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXA+ch?z5kRn=5kfD9Pz;7l5Jm;#lOSJjW4ds&w+|&j*jDUb@-Y zVVTOZ_xc=Xw=m0B9TQ9YtP-DCy3I^><5=-|hMn@H<)2v%d)5@Ll~aDf`<}r!HgKo7 zpz_WGt1W~0_p^3P^t0GKv1-l96D}^>OSA(QamskDDJXv1C0*2P99vPIemUUP@0%Ce zBUkR-d-ha9?Irn_I{tg--m>9QKUuLP*j#s0r>r__;N}TC{|Ns*U@dOWcrfZE?L)?_JHz!0`Wn1*1@UG6PeZLKs`pa)$dA>i+}m#g8vI@q^+2 zf(aGz?)L8G*B4ZX9~W>}Kb{bN{->l?kAf}_XTqe$Moy2j@1uHd%v{2Ntj`1;y$A{{Y81>6!ol literal 0 HcmV?d00001 diff --git a/register_8b/db/register_8b.map.cdb b/register_8b/db/register_8b.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..36223e02540805acae723a1cf8e31b2a40e11d9d GIT binary patch literal 1205 zcmV;m1WNl4000233jqLb0B!(300011WpZ@6CZu=tu0000006zl&000000EPkp z000000JQ`F000000C)lHTH9{hFbq|)r5)N~*h}}&=l(#^Ho)HWXa1c1#{Oi(fTF;F zqTQ0Mmu1n;u|=C!e382ZNE;E5B~cVf9n%(lan8AsF=pxOzpTkvpkc;n2u;kRj0vl( z571)y^r~dl8xwSg6wVgo^rnyCnd^|@F#S87o=>Nz7iS;OsFa)sjKq{T5wgdSxre^4 z^250dwryLv=^2-KFy#pxE|0xKvoBn<F;X4pI2?mS&e}{+)TLNhGuALg`Q?c?`O%(O8&GO0_5x?cVCQ_M?h)8&5pdzaWTRQ>_FO<(|UDYZ%8LR zpchv8sk7q3K}rbqtQ}!wA(|JZQ%6^!i4sCdXYn0K@bEQb>ABz;xGr7&pa~vVBtt_LZl3O#^tv70Pxpu#P+mC09WCNZrOA<10zs=0f>MC zejp7}4^`^v8k`fYTmVs^09C^Tq+#ZIc)A8T2042~!gNH=)mAWM2CL*iQZEE#W4L2S z&r>guVGIl`V3L6W#Nz{EkW$AGf8TgdKR5q%NuN!57(k}Ml&JyPyijF+t|9S${w}U@ z@1Jpj#26S1z$60$NEOU5kShNW*C5}+{eN0Pf(#52U=mZACrBBaP-Y4!EEpJMpvtU) zG&c}CyF14FJ9)V}hk)EA0G5ThixtRY2Vy~xI+z!LR{MAchw$7q*vAGA?;N14G_nei zC*z$XoqhcMTs=MGJ^dG$)J)=L0EHRIWMO2r@Bn{d_x%G4*gOTGycn_?WTUpq);wkh z8}$IF1mqdG!w|}RoLzl<;@#YGR5G4(fI}MOMp#+^M+7+VE^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/register_8b/db/register_8b.map.hdb b/register_8b/db/register_8b.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..85bbdfcf1efe1c01678b64ea30ee7093bac16075 GIT binary patch literal 6564 zcmZv8WmFUlu(mXabS~u*(z$epupmfxORUra(zPst(z%p0NOwzjcL+$Al(aNUUhjE- zeCM9?&HQ*KpEG~v%n-bI@uC73<%QEfmHubzL0sMJoSlH8+`K?J4?Cze5X3JCO(An3f6Y+|Ju?H|55xCJM#a~3G+Y92mFWL zC`Xw-Fxokf!R#m;=I*1E@enKvhpyjt-xfdw`@`_7nS_T+>xR#9P#lxCxpnU0|q_k<3f2kNl@aM`Y%v)W>$###>Dv$~LE8Z@moK2YNH zvjFs-b6bDdVLI$1cqg7B`S<~!eT()|l{>8Ppc*~ob0dN*{?*hXiy)5zj#wLtyEIe> z4>)G&Di|`(@s^j>C5%6PD};ik(9MgRgX+5bRK~@y=BAAy@aBR=_ok&NXv~G3Ey)+p zuF7(gd5gcsyL8U}d6q|m7`)#xyP|UdF*u92A^Mll@E|58V4_o!i1P-X$@~uNLkq|H zKY`SXF20iB6s(QJ{?g4E{n&~&nDw#7YTr>;ReNe+s)@FKEt8belFU!cc%iuZK*BRp_7j_y*ad1+hGQM+xmqJTP)e5C;kSq90j}=1UayHQfZ`;6dMh!enHAo~H zR{#G@@&Cr+&7(6&6r+lz@Fu}N4EYt)G)a!WwzrYdGx*E74V9zAhzPctCuXcJAQ6j$ zkxP<*7sk(f3~$@&5+uB;M4RysO!earM(lk)_RDGPmN(zPwVlJUzsuvk;!u~YLk<3} zaJO22APFF7vy{8So_Knwz@8Ipej1Z?10~)beU)=&(RKBbe)84kZau!U*9{pVh$wrg zRPmF0Q${>Ph+9RCNoS*3b<5@KH$bv^u3L2q4E)jQySmVnJw37_X)u==9FO;XYCio5foIP%S@e5YS_=JFfIfzLXc@i$Xhng8B{3M zH;%G{lZFo96-woS++~yn#q<$}eL`~(vOH2qE~|Z`Y-x;K|JSzWNb=GiqFad9PPOoi z^ZL&h_zTS)0~6Of(W%!Hp3M6>p=QY{cvns#7Xkysp3734u|QX{qfSy6aw{KdI3*1+ zEcGO|h9>aM7e{4_z5WPUzU8<^yiyRIiX){R=?1->^B0bRlH!CVKw_NsO4A)AL;l-j zq6*?%a^W)8fKq>;fuV=czMPJn+H@e;8#?<-3N0KLx`+&h=o~4lR1K6 zl|*;um2Q3?Z_$Cr+TEsZo+5oXBd_=dD(Bt9X#K|vt0G+Esh;R{@~KlMD}6GN`1kYJ z;Kfdt$q)O4Sn1jgA)FpB`w~vn+iusY_JuLCAT4}t12ByP<~v0!Pe0#sf3qDE?LEGo zLGL1?(GoQq2{kdSF8cM3 z0>*7Q?1ESOrN18RMYN(jnHirk@`{TaTU&i64{jATFw>UHIxsXc^R`0i)6q0Mb5_b` zf(|i0pewMT)o_R@kh?}kJrqR2RKZS3h$^)1?0z>61obYNm#vnR2}DMx@SdN6QGaNN z3h3z|JR~=uKp#6QXGR>{#)+F6nmi6(l+c3y(8RZ+5rYy=B#tYr{ekmj^u+RaW%SR^M%kcQ`E&Q8Z|MX36!O z+ui_CvM{k%TXL0HEbf`byz3anm3o!xk!U=aQJsld24&>=zq1u9r?@JU5Qo;=z5rxU z6igG`Ff{ZnaN+Pu&v0lSvW*XvXx_r`Z4o!GJnQ)OcYb5xkbmF9ih0+$YlvlY{eo$+ z5WVAdAkvsuuI^SGfb;_(1EU_Wu{$|5Mj*II_$7Wq z?;TGx4D5xkl@KDzOyq%tEn7G;A~WlzBV4bc6PKLrQ`YBT!-W*v=_XEV62cfb(o$5P zJ&i|B`eh8G@mprcnmel;4U1jI#g8v;>&EWSho@GeSLxHmodW}8@*RfsI+cG*b z0s=4whMVCq8fmV`PMvfYEo5m}ycCAor@11?Hb2N!knkaRHchJPiL;ABn<78rXD*XCErx?0qu;Po&d>|BmQO z8jrd4H4e*}-F&U5jC=@D6aa(^D_C+zFeDc0f|nrqZ^09B2!6;mCy=$Fz%-i+S5y%! z#mN{GWgWOsA*oOP6XPq(A<2b)SZGKF=b;xQ|1~xpqf&H*p`bUia8pB7i4$$B)9-I} zcj;cSy{93U!((|A6r~629TnA~v0C}`=9?8lj25D}cB6)%9FmB(As6Kw>xvi<>s%ru->CZl}rXy%ES*?R98`{BLs349w%n z>tgXJNi>WxN0TYLlP=-U4dO^JVu77lIK1zh4EED)L(~e}0aDm-Xcgod8wKTOykpD>#qjuvFN`d>hJTAuxIb-WP*`~jEzOhvfBiC z1(wJ@wpzAM#+S%A&TSols4AFzRH;2G17F@g3BY^JJP+isk4JpeRF71ukC0D?Dz%1^ zVn#JuihceB>)H}GMayg=$mY2EgHLIaO&=+)4W5Wc)IqjsfD*EOsfrB?b{${tH^VYW z5ToGjiRd8@@ODer+1-e5s~Cl*fw0J&;ipJ8gJEC4cjzDO&_4nw{fZ8W!gCb`4k>8s z5hRCZv9|UZN-yQ_{yjIv1=~c90~e`q1nZZL;IGqDDC+WG;OcKQ2x+n_g1Q*ElOVkQ0qp@UuH;lmxm+;=eExmm2-?>l)Ad{mWlA=~nzS?XDuSA%1i&(;5 zlSY~bwAjhq29_VZ{;cG`oh`wa%zkSFXx0|mT@PH~I1jCjdyRrnc8}%UUBk9)2&`H5 zlg9pKtzO9?{XwGFS6gV03$QU2DtfALDX*K(1FrAL_=<_pt&3_6RBAQ2NLwF~SE(sRpL z-gO0M%s@5k4kKTj7kelXqHX1g9G?H~_3q}aMOBEu!tY0hGZaGJA)FWz)ordPrE7I8e!6V2O2^s+WY=zd zP{yTgy$Sw!yAkp|daGmSi-xTRvzGsax5}r#m>?+u0u4I@vp)7CYNIIypMp+8x;1Lv8IY z##bdHs!DVWA<^d-u(`_m?uZ{Kx;``GciGh`N57^^k+nGMhyFovF4xU10`QZGS$Rg zgdDNk5c9oZcRECuF|UF)I=VNnOfMQ=P*~_kJ-(MU6-!92vD5wjIInDT;Oq15&dlQ4 zYWU9I-Mevz`3>p-SQ?XDj(E04zgc1r5LU2O5Isi zT(x7`4qIb*f0_yf;qwEUylCL~-goO2Xq_j+yPC==651{KdwdIn{o;Q_2&+(U@+Ny8 zn1=ty&KC2ej}5D53inLJ7jifjK{hez6A&-ZL#E6wbG!slQSidRFfU66>*E zgo2QKPQE7XE{Aj0u_cnJw~NV6=gX6C?@CMP93A_UZ4M~3Q=Qn?p=F_~-E_;|f~jI| zhap}JNM{^arCp-{ABpg5Ogf24?pFn9w-{F%ttCq7y1qYO zJ^!_2r7S!go^mp!p7i9dxSDs6k`F8TiT0Ge5wJ_!ntLATDM&x~BZ0h0tQp^KlB8{z zE`dhdS0<+AE2qUdvuRIoj<}nfFn664x}O2SBxs#iAwJEEt!llCHOQ^BRc}U!HMqAv z)AYjLS$mEwo4i=(7=@ZMH1B0^*dA9qt@t2ISEDQ~c*HXK*Tp8NJ;f##JqOIsCSoZEbJgIV zYJ2ST$WG*>9BrD=N8SC+r4VJX6DD|yDZKau*Y*kjp1YTZv%BSG?a}h9HgQsGFHiB} zNGq(jq^+~^uMF01*A2x#jy}l-d>XU9WlCPOw6YvLI#_<^f0TMfM1$)+(bsj6omp{_ z1I_xvH`Yfv=_Y|GrCwjRGj)$O+Uur>N!Kbs;z65OklnI@w9)~zrda_N%)okhc=%NS zD(crXtDhDLi79CsfS6fa4k}*?c2hlgYpI-uZ35)18#P;9Rq|52Im}p ztDbx5whT{{D6i}8ESX-aC1n>W#|%3c!mX9F+5JpJ52)5QH@ZKFo2gfiQ{B(id=R~J=>6R8F^-3hU3P%x)}->9t?F{c_L(L;Kys|!{A0@#J7k@I zxzGC0S^Xxm0`|`A*1Ns+7Ehb%8WKx^wP zBcClie20HALwD+SbT9kMu+q86Qh)5R^0#M?C$GMl+^tKUST=9La#WRnKNua6Q9ye5H}rdad-`?QMJ z&V3cZaO?ajGm`nKf$H8=RA0NG_cdlZEMaXi`Q+C;O>)0x@cGD2Vfuq!`h=C5!K0d5 z%scqyWeK~!$^9F;Hw$diD(9O1;jlS=n}pZ9rBA`mh9*9z*CUG583zM#(3~A+{CBV} zB2GK3x_PYf^uKp}dt*A8X#@Z{H9V$M!Cyvf3V0d;LJktYm}50 z`#F8$;RNxXG6YP=z_UPm%;A)jON==}nnqD3Mb$fcQ9orEuhlWon`_GfrrpG+?Hlx1 zr1jO*vU`?2)5z|l{;`m#RA^N9QN?Mq`O_32!EmzsZyrT^=US!NVO#Z&a4TO*X)-&b zJ)NQ4sQqfenCNvmf3vN{?>FfOf@V0{I~n%Pb%tyGO@Rf+_eQI;$U0gmTm~bqAusnE1dGX@;*&79> zqpGB%N!pRNWSgvQXP>4j{fjAt3h)a1Zg)IA73%`-eX3@Q&a;%HK}?tF)_1dne@LXJyTEJP6wsc%%&u={aWnb9{5>~*k%I*l z7mSR^)_BCSUO~q}sA9wq0sPsAEh*Aun>C+N9ytE)BU!YmDRl1Ep4f_BG=*_hen z^8aXIvr$e-5{-F{(3z@wan9G^8UL)(it}(KvBH&}U@{oO{yVMob40GkQDl4SPhIF0 zO;)(ftqO`;h;uv(WI56N=jiD*X!{fT*JARt5AG({_r>2QnJ3r5OibNNvf8VW<2~(n z<5f2e3?mlh_qLut%ZZzQo4t+nZ$CsT&#Bde#RXm~b9uk;wK>|j8Yd0%-@KK<(YLqy z^z&KNa4^4^=G6x96-a>e>n_>I#uWwg%z6WVVRomSz|RPp0sIy3E7m_dtekz`svnEp z#%az{d}jV0@JLVwUW!y~Xf@n}4LTCX%XS9&4=IbWE%t=czITmOyxAAXpZ06Sk)`i3 z!3lJ4n2r*Rm;L$oJk4n=(}XJIxF*8tlDYuDKI4<-g32U?L;3>49NIz-2x8~7u^kRN z05MV4-=mbya>{SCB%5O^$5gu1-1<&e*Po`2qS<{=2h4}AZ(6vA2z1CwC zUw<`9P97Xp_Pz>EnJ<-Tw{5e{@LebKT|!o6wZ~qac9G{<;1CIO{buk+a + + + inst5 + + + inst6 + + + inst3 + + + inst4 + + + inst2 + + + inst7 + + + inst8 + + + inst + + + + + + + inst5 + + + inst6 + + + inst3 + + + inst4 + + + inst2 + + + inst7 + + + inst8 + + + inst + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/register_8b/db/register_8b.map.logdb b/register_8b/db/register_8b.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/register_8b/db/register_8b.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/register_8b/db/register_8b.map.qmsg b/register_8b/db/register_8b.map.qmsg new file mode 100644 index 0000000..cb5edc6 --- /dev/null +++ b/register_8b/db/register_8b.map.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 09:09:53 2022 " "Info: Processing started: Mon Mar 07 09:09:53 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off register_8b -c register_8b " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off register_8b -c register_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "register_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file register_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 register_8b " "Info: Found entity 1: register_8b" { } { { "register_8b.bdf" "" { Schematic "D:/projects/quartus/register_8b/register_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "register_8b " "Info: Elaborating entity \"register_8b\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_TM_SUMMARY" "26 " "Info: Implemented 26 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "10 " "Info: Implemented 10 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Info: Implemented 8 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "8 " "Info: Implemented 8 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "248 " "Info: Peak virtual memory: 248 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 09:09:53 2022 " "Info: Processing ended: Mon Mar 07 09:09:53 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/register_8b/db/register_8b.map_bb.cdb b/register_8b/db/register_8b.map_bb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..fe2e820b7d2134712931bf43da802639bf2dd9e2 GIT binary patch literal 688 zcmV;h0#E%9000233jqLb0B!(300011WpZ@6CZkPoC000000B`{S0000004V|h z000000I&i8000000C)lHQb`WNFboWvggA2K6_nCe+$zD51Li}04nJfQ5N1phHKi0d zgC&n0XJR{XthKgdjJcKeO3T0nj_Ja2GB9Vi=R)3&;8Axy9}1lTmft8(yW;HTouD(@ z!r?aii_&?TMoGMgt4Zmff&_^0NIfG{91;IShu|K-ZBv)u+6i3V*Hzt@)nN+9q8&rg zYQZ=vz3@DQrVm9=mFeY(GzouXD0#T_tLK0H156IJ;gh_&xqqzE`Sa>8 z@>qZLE_VO`0RR7Z0TN+j00K@R76)Qy7pHh<56^&jAnocG5)>Kl=^Nm4q2s?LD+41? zegTMp0)8M3QV&(?=^C69ty}<6pa50F1f*f+dU(19IR-g0mS12VvthD5P#ozPd_*RbxEI1xxg+(R>lie=I0s`@8|F0 z8u$Jg7dO}#12Bnd7)X_Wh-;AV;r>6ZJYWS9P-UQCg4qdD<_S{9CX|^1vVnm?223(A zfI9E})zS5CZ@J0RR8?d>s@3 literal 0 HcmV?d00001 diff --git a/register_8b/db/register_8b.map_bb.hdb b/register_8b/db/register_8b.map_bb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..bc9aee5826c2c1a6d6bd62189d12ad1aec4ed59a GIT binary patch literal 6061 zcmZ{IWmFVk(>4MEqJ)5SEG;0Z)Y2WoBGQslODtWBEFdW>xeG|M(nurSASfjzE?vUX zC9(9v>+?N-zH`3sy{|dvnm=>TIWu$3kDfFF56}G{6#n_f4&FY_9_|2XArXMG zpEJZBAog4wAR-|wDk&@`A|eeiaPanbwsQcydTZwj5Ca%!156xj0c!TnzW>7NH~xL` z@A=XEm)(i}%awHhW$3-VoCm&K8iD;9XSUTn=T`FmBR1VqGXjbAzqDN;&(|h2GoddE zr0X5s?AR;o+eq8Wj63`&*{^*xx%l)gd^viM5fRi3wXBcq~`0+Ah%G87&jjlB}4# zsO;3TJoKrAb%fMVxTS5st#h|n^t#brqS3FaN;Zg0`gMpW9{-;wr&>ZWK-}-?eQR~9 zwragcTE{ChtMuZfe>q;Ua?28v@#yb;uL<j?DTI(7?95jT>}NZ8E3 zcOz&dGo0_f0e&!dtswrtv9lSrNY=(=8%rLo{FD4qoX1i^rQ$xUChU)v4!uYft1uLQ z9{VQy(VoKYz5f+qftz96wcOD5?i|G#`5L$8D@fR3D%mI>%+q%dXL?jbddI}FWFPtf zz8o9C*!;sH;>0}y<>kn^gy&4~r9X_to2#kV^Bz99wSfJM-v4#`7!(vxDmivT3KLFl zW<-8So-a?SuGjyD?N0y#l;WX!c48{U-)%KaOlnJ*{i`oyEv0jQ{zYcV*Ud{BJY$oHP{Mf-PPA}wjtLH` zN{(7%t~sv!r&k64uhDNMzNb?!*X5^``4L~EFnSh?`kASL)ba3X?U>1S(rYrnQ0(2$ z%}s(421m~CVZELO*t`|ZzC{SI|48DZ0{X=bDKa{e5Gmjpvny+f z^!ngL1$RW4a#9I$Oz=~=s^wQkD;{+cOyekr{i+l`=KudJK>_N2+Ri^KB~=dxqatc* z-U{~Tk3yAPvyU6LcY;9xgKrwSuzl7Y@XBR1qiYO{ui@UcY;bwEdg;5URijMgLCv%)AjS}Cd(I?y70LF^fV-$Yj+$uXgZ$gV2wzvS|mG}BlS zU$l)wXD;E8=`ni@2+;nzVngQpGZW9W^3pG&c`65=9_KD>6ILczHv=DiSS$FvGBS=3 z>sHK?}a9dHq)toey&EE)cGB8})F)$zydIJMO zfNUBU7k5Z-TUEdHW1?0nN@k~K<91R)Ub&O|%tbzPN@#TVUvO*w-PiPK@vq40fdQwXtcnRVKh+@bPfdzZnZRz0ciVx;M5lS)`-KW39D* zrD9Qa(*)_R4*P4kVE)wPimYU{-@&LWwVI2S(AM3XB5b7{Y|YSn;@zIIy)#^-eN^H9 zY4hz~jqM?MN0G7#AKHMW8{BZBk!T}K+h)eL|SnV~hYdcmhDkS<%;%3-gv2Q_6h*pW}agP0Q zO1}>THNC&eRK5mY6}%p*`XQQ^-}PHT#Dz6BfAc81G?1A_E-|8Dqn2JqRbiYpx+9YH zSS%?yTp$l9?BmYk2WqF=>_P{3tl;S0~?zyN?)~_#R7|;*?%_7KMQ9c=2u+Ah(pr`@**wrI=m- z6ukt0Z)9`fBl1j|AzG2-TVf}?WGo%ze? zU*tFm!1o*aD{^djfX*HhD|T$62<7@^)g1Z&@7DHe?J^Ak6N~wxl4>Zwl-3V{0p~MD zeEWuHg?bSujHQn)uAfZP;w({2_T2jessrGhxNITLLnPw)`)*qmb7=!(?@w{<=ITf> zB))Cq8N^JBm=Y8PB44JYc;xUtn~i=M_`7R8zekbi8>vW$`NH=rjmE?i2_G9N;@4#s z)9Ky1`EyzEx$`aAvEy%9JLF<~VscFb-sR&?r&MK^lKG3;*5Hi>Ya{TDH`rXs25mP6X{XL8s$Bw|?aPzb5-g!OlWtp`e9PqHm z$TI}uX?g}3hTtkIFiLXy^|E9rPsLATHZH;ir~oK^+>07H);dzEy4ShcruVs;w`zJG z@W%9ItwUB7o!nfNmpPVJ%bcf{8Kw2>*DJpYdic1BT1sSdIi8Xuq|7AN6IHnnQ&O`z zI7@~GTo{~F5R>mUE5+{pSiodr;-&^PW7GA@H7lOLC1#WS=?1Yz3-aO)4df)mR_Q+u zw_e)G3mL4&JQc|nDi2DF%Vy^U4-J?pLREXmxl;9Z!4%6Z$d}QW*X%8ID&E^k7R1dF zudLP#^fQu%fIZSV_w~dGr(Q%_vZo(V{*<=)nNy{~bu2VNb#X@W@|7oCeD3I7wId#x$!=hPp$;^&SUzB5K?+vqjd1c zhzn{2v`Z6mpFM}U5&lM9iKO?6B_FAfni@Aa!22C0&n?iR^Pgq^M9jM=&SITI_ey`R zLGdRsvU>OurlRhSM09;ZWI14n6$_0oDXJJFtZ((z-3e^{7rUCZAJM5P;B#cOnH&2A zk%$Mp7oER0plnx~Ox_+ib0&T!Jh*XVr9LEO@etR^!FhPkR%nvQY%oyFyECqxF2*pK zF52cwMdUDfgn=Z_kuq*Jh)K;>lPg+@M7%>wU1E5E_CMRbQt>BfmPcS#i2sro(X7Vh z{seBKMA8{*sh$v~Kq$z2Pc&$s#%wTN>{yCiFPx8fWu-T^8ViN;nQZO!SEQa@UZ@>B z_6QEpa9?B`v#I8J_XQ@^rlj7vzmYmzF@~upKp%$Zb290bi}uucm1m=0b_W6!I1|(A zMvwQ*sohlN#r)np<$wIqg;Y)aL;h4`{u^ce%DmEsywc@}Z>T?==wXhdkDAOMLERRo z6#ha14{+;4qzEZAH!Qh7*SLO;`&3V|>3ui5dZ=?FJy^G{m;5nY@?&3?VmH-faDj>g zMnn|VtF}slkb2FPKm{*QvxRFudjfahsI}lSj_DKS@Onm)=buQO$}CJJpk|v28F-M7 zY}Ra7;{wsZo5Cq!jcPt+M+;$dq)#@M+NcTjp0_ApG2>EJgFi!=d?3EQ`Jw&YGkpVn z16%$iwl#0Ho0rjMLZ^SoGl$+hqaTXm^9p(p`xFmZ5J72UjTl>+I z6ED-`W+{C+e8&H9G4mh@@5)J#T#8P>y*j(rC6K|4N4jxab$~7{pr1qWzS7mxX>s4AE~ z*0l3oMKRmXdTgm*!J1#NbXmLAdRZFlVyznQ^m_S8Rsscbtgl7tDH|*Gj*$mYP9K-I z=6CFZv-rA6vpi#L;sIc&q4yjx3&YO^6_!m_Rcg5>TyV z-*|tHWTr{23A!NQ+)i8KQe!YEgIWcfao`h3TaVwde9HH5j$J&ePQLr7W+Q!TaXd%^ zl|L;Zz$g1XD7GdP(!DxUEt+H<-SClZ6YfK9Fep7yy4ucHz53zZ>P>VE(&xb7d*W%I zbWtTH8)fJ<8di28Bj*L3y3{AE$o7;WBB)Ozn0Q$G^GtHyEo5{*qY&G>aB+`%D_u6{ zk=B_B(HU`Q&V|_-n5a`^8+`6JkD^PYd-Qg1^(Zn-IP;*K<-{ShO97f~fARFwrENij zo%>Y{_L}X}GOiy#A(>ukV|Kc6WqQPmt{1|*CS7H>g8LgR_+f6@nl*ur0ebLSXQshA zt(9%`$j+3-XQcKKM7URl02g6S{Db#Oa(_k^@16=$FeZ!>?7-J_`9kRd#Y*2xsKn>1 zQ!-GW7I_6jIA|#4BrI}hi(LINk~!%4@4Aq3#&TK{Uc7!g5dmqVQ8Dz8A9b9u6&Boa zL+NNMp%bizE~x9Wdgps}9`LV6t={O^>FtR@zq2iO(=7}Tr@s!w`EVz?gc^oa4K;LO zn9!)_BfB@nyBfeto%zcT#nzi(BWwB=u8vI&?(;-&iY))(w1M#5-B5;kCh*|b_Vf|( z?y21EG0_oo{_Cn=?bjmltts-=3XsetVTecB$Yrpj_8I|=5{3Tw^rWsi33k&B6%zVx z^2TjCYh&4?5hpf}l|&86&v#0!H;v%>CoE~Hr|coF`fOn6p2NlW?r0y`l6dY1lG=aV6Fqybx4Qfbb#k+FOtU`W!yrKW+C&= zWgli6zo(!EK?a#xB|`C>V#QvNjgIJo?7+)@2v5teHJ1KB?DfAgC`}*o$bBSER%HbN zsxdJ5QDh!z*(_@z%54?SMi^Ihjh12pl78X z#aMK406HZ3^;%L}JKeI6b);yzC)O1$E#Lr75zl?7Q>M0G(%9jeN?SkWl|p=kP5L6c zn->do{5Dl8u@u>QQ{x&t*Rz$m_AeTWnp?D34*7@=C#P@Alvjq zLe`dInNPbj(SqCMG>$n7n2XiK=X|tcq_Flk&S3TlQ44SA-fZtXiLoDmo7dghf3j$j zyCv4seh#$-Ka!>*U@wbe6#)wMU#I7dHRN`Yy;KbkmXRA0Ca1mGk?dNUCl$y-FKMwo z!8f@Sum3&WmTOrSr)myUKFRy7{7CUIj;*zmp@OEOE z1!6M#{$P8V zKjZ6-vZ=RkeC+q>*yy5Qwiel>d&Y$qEKdlt38mmOhXTaUOA8}ujG~##{p(rA-Ut>- ziAYZWoK^jM`<&@>tK+J(3+HtPkI1huz?Rx18BkBBzIL4)H(&JZQ`?Vp2Iee1w5lmT zml3mOTlURGh}MgmeJL*ht~qZ-IxK4|A%C@%Q}_)%P*day&ZwB#DNXrmAbvt z1Hfh=)LA*{9u)29WWH$4pRybPq2@;DIP}WOMcA7isK>3sya^R+>HTetYEENZML)}) z7lP-aNC$*OnN@~zl!CJs5VR^r0ro76kOwv;QW_IcBt{ip!xamAOiR?dOk!nm)kcMR m?zy)@6CZbBCT0000001pEI000000PFz( z0000004D?h000000C)l9Sj|!tF%ZtIKZx8sSw4m9!XhV91xF8#Z^4BN-q*MB87xcm zZBP#sC;UMfzb}(y(qVg-B}|o$z15qa?oOw>lg=bf(=?Sa=F*qHQJRW9zfc>Y3~=E& zeET8FpXj;{KDY+&6Y%_Kni;S;MTsd`;yRFhXXv}FdUXfND*PV5K?Oj2zkB<>p+wV? z=RWW*aPP${{CgNV_RwOfiL;->?7bvc0QRP^OnQGi<>q)PXwu{(?oU(hm8G|eq&JJC zCq>fFiloOy(oa+AlXgMHE-hl@V|?lu@5)@7dr_{fXwu|!(nayEi{f1u#k($wcU=_k zx+vbYi1$~-ks0r^k2|uKOD;?>i;$5G$%l!T<1nfRhexkbT!nmT+*A?AVWy)Z;l-#j zPa8MY#BqWq5$#?ziB;LFCb4^Z)g*R#ubN^uidtCdV?{g{8qx-K0?%Z(c38(YnY{;E zoYU+-meb)*BA~P%!M5w!+TQq|vV8&@2sRSCN*J4?@e2eLVh;ZgTR70@klYJNJEW&2 zY^mQ8>AX4sHYRkMMTfl8*IVj0?>n1&1MSoy!(;mp%!8#^Z`_-6VbS6mC=F({K>K)Q z3hlHcO|$=BqJ2^9gRK_Z$=SYvdV2|r9*DF#{sC_*+a`0jC4(So8dyny7dxr10zs= z0f>MCejp7}4^`^v8k`fYTmVs^09C^Tq+#ZIc)A8T2042~!gNH=)mAWM2CL*iQZEE# zW4L2S&r>guVGIl`V3L6W#Dggfc6SVMjrVg6@$n1}seGf}!U|T@gQOJZTBuTg7uN}^ z7cbxjtMGuTQv=chKn$`SqRv0WHOP09{%#gd1}UI~2~LHcAcZzt7a4OfL;)2kKoxcZ zX^i`w-qA2q8ztz3> h4jVWeVt_JyD6%eYZsvKbzq2!dLe~d~0RR91|NpuN*4h97 literal 0 HcmV?d00001 diff --git a/register_8b/db/register_8b.pre_map.hdb b/register_8b/db/register_8b.pre_map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..4315c9177f6d05b378ba10c916155cb3fee78aa8 GIT binary patch literal 6683 zcmZu#XEYp8w@r``(fg=lNR;TJMHejy5=0xF=mZ%?&*){8A$o}3P1Mm_v{9l9MoaWw zqm25j_pSHiTkGBXW8ZW4xo7Pk_naS_^udD%6;B^NaJk>I_va5b?jH88E`L*#NaItQ>(tKpi!pzKtbN$=d$&{WJ4}`x5tS zh2}qK^7ucr_vKHSap{>In?=~IaoAM%gV5m=9vA6&$@C9SC$H>eRVn>Dn6S3<1tT2>H=Uthf zODp!7#PdSLlT9i$EWK%kDytJ9%i;Mund5fMV3&H`8hoe($+C&+g~Rx4{ttq?)`~QiN1N~pg}`cMQCYaJQR2&w+!pXjl`!Q zWoK5E`mc+jEPR?m8cXIcI@DHqMQ=5bEuZ~R&U>SPhs^jJR5B=+E*pcRq^LiR z%!}3{V~04;X(BYWM9ni`ZW)KMxYKgGUQBccPc>?3Z>0{@+}C;h7(FkR)5M0eZc|e( zlLkU+cz~Rn3Q&r95s&V@2|4^`Bpq82p2Ra*7w0zomJsDa@zpUTSH(E~2LBz&CgVsxIN{j|_&v4K&E-72h%|Uxp7~8kuvXHT zGlPtKfJ9C4`xw>S3|}Hqa#}Ac4H&g0992aPNw?-y+Ix=vU`=K9Vq2hJI|?3Op~{{N zh|!Bu^u2;x?ot32eo#eU^A+xyKtYrt+-Bjz zqEhwnSF>!VJ6$r(t`4Cj{|6$`nkV)d*`+-;CB$qo#0{#Lg}+gAx?c-C9~-0lhfz0! z&J_MKI(*7Q=jIcnRiEZWQBN6&a(YWGs(x88+6C@%I$^1bR+}T~9V=O4-PI$Wxg_`2)D@GxHf?#?bZYyhrMp>z{`gPG;ffBXhs&eMpAxt%_DXB^qtwn1XUA;Y4D+gXkn@w$&U{sw73 z%T(FZxsZpJiyv-`#Fu-_QV@3`?{syy56;*BxdhS~Nz8xUC4BHbT7d?XG9EAu5HrsRfx zBU3^(A{CfZLR)wUy_IOH@r^_oZ0&7N28U5-X|fVVW|s&nLMi`04%C_ zLlQXiV9L-SbEoa;A7D3)`Wj4wHItW^X#HuLnyIY9wIXWgA;6oApy+_H74or>9IA%i z*Qxk*_C}xXOc>xzN06DFbi{%r>Oy1l;Zl#f!8=;P;$&e&q-*18IiDr!p$+j|MJE`< z8Ngb+Hrb*QYy=)AB3g}(o(T5dqr2sCZzXLE<4&_QE1VDiHF^kE+}Hne797$!G^!oo z%_sf!#fC5@_Cm_eRM)ne8W6ymyh*zf5x|waY1Wr(7HfrmQKi^UA05C;bK}EvNjz$S z|5D5NG6vql#pXJQmJ~YXBMS&5W-5CL1~4M?uLiJdyWG)(1%Gow=FVpa$Cy0`t6{q-aHr|}p}=a=PwW1A>*P4;+19N_TSwc6en}OM=JKTXK5qh! z68?>vYc8#mgc`gW`vjLIifDv~2S<3(dsy!>sx*et4^z+#pvUBfY~Bni#3mthzRZMM zfYHQ%LinNyPfWg)I;>qziXVbHF4Rk&i2VTiUU{feH}!}JxmUo!9BkiH5d3KLsnGE= z;JQ!iTbg4CpplY5Yaa^B+8@#1ZaGlC77;(YO#X*?!*n8MzM6P=(3qg1gR>OZam`DY zV|bkjzix$J%P9xog|KjNZU}{5llg=XE1jdO19;fZ2hjdP?(J}=1jKhVTFYkj{x4}O zGv7!3=q{mS0y2*Szjrjp3Gf!;RmIw8-$EXbG=5uI^==#*{|8(bq#-8V#>{tCPCD)W z1-XT&^5C(4?puK!lE?*0)R$w%geq74GW!{ANnf~g>Em1;&&hm(|IWo56+8%G+gFMv{ zBrts+M|b!!YkciJ3yqjRC2wQ^xr+r+rjNgsJER>a(5-JYq&ZdsV1)tL1h^l7Kn1i% zwe}si!%Qu3@`5k{OQ3vntx}lE%(qO>lW{PzaXJ1P+Y^R3p@|>|U+GQL+%O*PRmY2g zSu-)9_AQD%qh(%1YFsWs!Bzr-8L*Xvn6OzT0!UC2L^<0{+x9CACnG$pyAu#ZWHogg z0}7X44z2xtZVBgdSUCnSv*AP!JFW0a`lqa>)Wu@M92Be{(cS5XMC(b9=ug?C#n%NW zDCb9-xt! zfEQU#w`v_eygaAmvHvMj^pr|3v)DDFTTK4X$ZE<#ltKUrj@ej^tM7-C9w!O0_!l39 zLLEYyKi{!29CDH|ui~q}PAau{{`ZmLq)h6~dZ@x#R8rY=1(Vjs$xO*3DVt(X5}Zac zPpJ{j5_aET!PyLa9hiAlSt6X?v=+Y{IQ+riL!kidC4`}{)C$8qf+xSgt^d2=McIz1 zX2lZPcQeNVrz(g?bMDh^me*5STD6Vkvt4cE`%a!6?P66$)40%y?AK`zuSixpK0Wkc zcu{>x;)iQjljuqnnW4D+5pe+`=ww++Ml>r*-*G=})K}h5M7;G;Gzpa=3Tbnl%K9S( zqPW{HwRapN#G26x4jJDmCpZp=P?_NmXBgu z{K;QLKFnjOD>)ZgcIW&vwO1In*1&Jd$&1Y8tMynp>3qL@{LDXe@yy3ia|n^meiDbn z_AfP5?q_QS6$>v#AKGDD&d%93x{bVTiF%??c1iX_?V9*(@`{PE26bR=@g_S$+)#Aw zT#=O}KJ*)it!MxZ0@x=bCcdavR6l|@_SX$WVQlhWPfl=An1IH38OB#Yp%!};sir_i zmct9prB5{He?8V7PCX3XnXqxQMWfS%+>_zoh(kCc#81#vHJ6eN8;Yyt5#Z+_FBe5V z2E-0--_DDby=}0f#m%4H;UHs;PPGqL(QE?hAOZExRLO-es#GSquUjAFz`vQMfOA`& zUvXCoa#9Q`^4g?q{>~a9id1}r$Io6HM$!y2n!0)c3;J`h8?{-i?#4Fkp|r`-DZ@_j zLUGv-(IChETygnaX;}ziK&5u> znpns^4?e#Ns<@yr_M=Uz1@9hrgArbX3ITYE+++ULsaI?fvv|Eu2U_x#&+*;; zu@-xP-^SGv?MVn$MzauDDTs{)$C7V?&=8Fka)-ge1d65o(I9~-f}x&j2{!U>c#xp4 zYk?{}vRj-Wr%=Bi{Y=Qc1g?QbI{-fxl_NsSsY9=8RIj5|=bup=1d;i*8!D3o%i?iy z;0XHeQ5mWVlX;^yYgKjC*-J$zrf4D^;uIl@xv$vXnIMWK<(|}?8v`$d=PCV-*%!$p zP0Sye1q0A4&luZS^?0dI<0kis(?z!qK)j!x+)#JtfZR&eH;dwF8$)jSeDDcAgKnnA z2Oo%&Y7hOjDF9cIX5?6qGRh839m*hPblA^u9tLZO`JW{t{1v6IqPhb>Rqd*bKnnb* zZh4Sfr79zc;;G`YHbPv3odlnCa-Tdmo=s_)6Jfx~;9kqg&P#DX8)>DqEKU|QlAPOh zxDIvoc)HkoXtoC3A3n}cJJ7ECm$f+V;bW`*N;;m)HYMM*@8>^Uwv|JElZUy3%ZaS zbXZAbNhHLP3%95EX8g_ z`fyo7tUZsjorm;ZX2n7))1I_+>#qtECKHp2%)Qn<=ZegQw#H_aqa1z(T9=Gu6nZEnQ*S{KV zy%#;A;nr}wMfow?es)M(bRrxRmE4mRgDmG<^5We&t%2@nYJ%LD%X+&RU+)w-q z=#Yx2CBeM7gkaT_qAP&8qmd0t+#SAMgV-p3~DpP)i!ALeM`x;{kTPah5jf+LuEDwU?_Zz1KF^ex>{{^tW~p4nHD*xo25({LBNNLuD9^qBlMaER%Mf z!2^Gy5_K{y&WKz{;^JKH?q-j7yjA#FN}o*lKE~&cjwBjl3U|fPx|4+bnUQx zgqHX{Z{Hj*e&I6P1;vO`GFen>h;CieiOC=r8%|4WRHm~&UxTBkv3phVZKY)@I>v$p zTbD{~E0DDe$J0u-87ul9AK%+*E@(U4>kfVsi{#5}n;+Vx38dpxneKxe36}-?7Z2Z4 z{Q)X6KfLpfnyQp&f7F<5h%vFyEaBw=Sj1v(Bg)M5Wt;A$X`Hf5Gc)~&_S&}XOs>H> z-R+)8yuF<6kz6a?fE>jnFaSpKYsI!M**y&H{-u zd54v2PETH$dGnMp6C7TQBslLlU@DUdbRhnrYzE-*W^HbMpGA1r_nexwE zj;eb90w0%5LCk`^(_av#P@n!?#{p;Id4|lISD#)aDNa}RkL}aNv7!sSp#hSSffhDw zY?X&>Y_$j4AvIxnYl_vN%=y;iLEW$BR}L9EGY%QF>JAxRDU+hEj3v&Cmhb1Td{N%G z>OCIo#?B|ma?HAuWW5<=B(5Q*M+V#3PgrEQ_epHrUb0Kc05v5`tGbGjsi%+~;+2J7 z;iR|sv<;4iJTuUjPxjA%iS-BV{@%xjxb2!pSf)sm<0fl)IaM%uJPRCUVH5_)z-*8+ zsWXE0m!9ugByIii`f##@1rM)n9e1QSGd9eLY6N>v7~^1C3{K7xy0_-u(0z1=Rf=UU zGPrtDhgK>O&TZxE!Gk+Dcrl|2`Fx6A)rCx5sw7yo4J4i6hkvqW~s#i~S~r_1iVgo}Hj#r>CsIt*;~`9b1NFHNE$WTzH!) z{7=y;&+<mTs4Iv_HjOXosAbhur|`8f(G@Q~!F(@Dq7O(oQ!xYcfZy2V)aH z9?v=z?QzOvI7_}g6_`V%{!80^S&(M^dmI{9Bbr=uE==s|e1-f=r)4r+!x^v`c^=0g zBBB2Bc+a<-h7#a#d@~&m_d+f~jv?23kTXelnYWPp&ek}F_-q)p=$O6biMF8~;7y_Y zaboN*6(?bFDk&`S3OlyKpoeTYQ3ci%*tXj#Jl_D=?a$CV zgZa7V*Y>9yA$5MPAlC}y4R+V|u5b<}y9aqKb5%zDdk6aSeE*j*GQ>~Pz!W!;2`cTT zx{Uid=H5`WV5y7?JJ<0aaGEMv3^FIgH@_clZO1d@o}Uven^})EUmL5AQzU*^BjJBD z;$SzT*1MB$`%HS!-6a0oR0nxKLB_?G8&-S#NYVsPIntN4On5(R{1(b#k~IY!o0&%B zsOU#AdKd$HAN@T6E}8HP&wz+D9~xYB?$C^aI?qaz_s=bQrVb>`jNf?Ot+8N5|iSofpA_Zhvyml-ibK?)b>k&Ii_P;}5+N&Z0;t2 z1WfYpMZfD^VsBT5Ihwiae?zGF4D|dNq`ppOJsiZ@HOaS9YbH}_kx(#xaan>PK`v;G z0-OogBO6?I@?HF{L7$y&1MgkuyF2JZKi#*gy6>pk(lK_)I`$6fvvDGkIm(ZNvRxNj z(!2!@!q4|Zln--F3>C+E!L=o=(YZ!8N~}~AO6DPCcohy4xi)2@6rn&RkkW?a!GiY+ z(4^ty;5rmi#rV9EcI`Ci$uak{#pz*AIY(Q0!F=gdGn;T8~OYrfrzv54J zC~E8j#O{;+m}a-5wNhZ$Bw4Rh?d)B>+L?5-hW57sie|y>V}gu`_Zm< zsu8EL-P#-JfB~zIZ@bD`kD+*zD=3^i>+_h=*vVfRJ+{Ibbj1`3ng(L*2Bk(6TCeG) zN$JU`K)Xp~cD7IVQrVuc6Z4mC^xz2?o{=q%TBPB$5}H9;Nui;>iu|h+G1fp*fo8n(-DOi7^eJ%{y|^VO`{uo z=G60 z#mtS^I|#R?oDPdE)6_R5djFjnx#76Vo%3}h|Be1T@usI+B_0cYz{?O~mj+g-wbf|A zLrI+MYC6v`?!obAE=pg3bFA`w!KyY^p1c${fxI4jvF0wcQf(M5HVbNfVEH1$YM&?rshm{ov!NYnVNa^?AfzgT(oDd|4i!NT;JBy%fZc+L4=!^LDt8? z*@l5%K#+k~h==bT4?i!j2!oETr>}#xErXV&wG#tBgN`bLfvpvTf{lasKQ{}+zYzb% zAM*dADdvBXlKQ{sk9M3NkViA%Go+LtJoYJGhj%wgW^^6P{#8@cjA2t#b&io`xaHzp zokb+KlYtO(LoZUss%O{pIM_-Z+^ghFEH*skL2!mM80OrQ5}Ycv*-zQ$Lf}O`#<+8R zbh>B5L6h^cbNAoqlyF)VTFQ3IKh*a`WHq=;nBeNMF(Rcm!~4uw@p_Lu3(-m4)|IplxU^8dscUG`{Kmi+Y(7YqOHYct+@?kw|1f?$F@*m*5_^!p|! zS?_{)=dfe(#tU^IVg6U=9wGECp$%HBy6{DWDDOH&_D7zFfc1>+F{2ydB$YDq*i^MpFdW@y$)`ADak4(@#;YtQ*MzSedX`Ah8$ z^dwYoWb2^HLW?lJf*O0-)bSnA`t?FhTVH_;qbBh8p3(ecP4!Tm&T`&Q(}pjGVj{Ly zAN`Qftn`x5HZT%Ni-Q@Hd0{vzDnvq*RP1 z5M`hMbv0?+)fLsiA`5@JIXkga;pBfdP!IT6YA1Nml(c-8 z`>Yk_yWnpia5kd%8@<&A)Ww-Xh*CCD4`!7M6P`jXYR^kPPjFF?=o&yhUG+OM=t;=yuruv%c8MJt~ z0oAm^sm|3z;KtCcywe#*a^Kg`=o->bJpvcW-4|aae()ugmx8K$>P}y2R7$*Zf2i4{ zwKJ=-e-+x9CdqSY-I+2Th{R%J)fC5?;gzggmnU$NHRtgfm#XoC^66cJehpR%9wA^O zq-F|C)9N0dDbAsX3w=a9sCMuJ8@RZ*7Zu{BiMWa>%7Bd*J~E=Y#V<=@3?HZGY>X7f z1gY9I6uSs8LNO<6?_LP!};B;iBWVQ9bDN zE&YT^MNn%?$$`(!ZHtL{HZX8d)ai>CO5U~}1KLsjwwT3!X({kloC0!B*80d``9_C( zFKcgR2gF`5?c2X6b6zZ(Rtx`&+aTXfsdS;%Apayv{^&^~XBNkDH)nX^)Udx`J?$1o zAXXT#JmY4wtw9xFqPPx$_=W$yciK0Mgk=XnfmQ_7E& zQ7*rTX;l}}8F`VYeUIDY?pqrAJ$(RKt)*;m_8BDd$*il9d97fWVsU{ZU~k_htC>IB zEY-Y|*_3YNU}d$4P>qc`2^oXNmxXjEL~2?mf%CWCENH=u`edm@sAJoJ4o2_>e$SVL z_q<@-HnhAcTW!lOTrIs?PyscT83tu2yWqwynT=a0L8WX-bwu>9!_k3fRmS^hM4Btkm{iN=bk9L z`vu@_LU$UZI}1V&hbM>q-NGmXeM{jf@!JP^Cj*a$_&w{vt89SlQ8+8rZ5;SPSCK9S zf@QnSNOhY776$^7pYdH!Q2eXcVfc!)ro2g?%E!NXqavDLvqpg$uPo`Tr~jtZjnm?( zjStds6D!5`%M!}^iPK>to?T>lj#klfp{q?S-cGQ7N%BfNUh?+!Vs}~9c6r@7lqxYIC*H)e%PBIvYvY?zXb`P<~3KT6Q zDZ<-WpL@Ar?6zZ%?e)q#IGe}9P3a*Wj7)w2;Ue}m42)35L}{PgTw6x-bcj?M<0Q@>`9pj|;?Zas9!h3U4&Bz!z}kdT<^$z#b0Ik=AmS>yT6<_k@D;0Dw%e zO;~oVgaP>sRutROuXBS%0(=ppc`VyfJd9+bQdWaxJ)SjT>M9%vnZ<)S5x4%OxVc`I zt?c|A6;&G@+%;uwd44rOHlN_#X7rn>#IUhBPZDg=B6z}>tY-O%oyCp7nind*;)vV` zZeY7D#PFlF(JD5LRy4tlV!RE;vOKG!>r>ptNcw&I7L(0 zs&t*C9uYb5Du1e+oS{(4Z!p$K7L{UsMPXEhBVHenK&^i|gL@7?uVn5oZ`l6|3M+*|$Nrzi5Gn#{TPq5YF zj^_26v+<4~82w{Ynj2|cuKcDQ`dJ(=s>^Ne z>mP9@;-}Lp2dC+mx8@XlV>KR^&X+!od(0nRE zCZ2Y^kEfhy0%)Zxwh~wU@QRSG5avkP&`C=2H(jkSHuw@gz zpVGpTe~|fI8^BZ8o+^43I_5(8=;lNUM8I|%NG0+b`#qt@E`;6g($9S|4sJx$rY?WF z8VeF)TEI$LFc@5!Ym4o>>s`u!(UKjJQFBG1WRWRvkr~0~*Nz5x(=Hzqi1x2@{iO4b zyMi86^bDpb14)|GLB%FPk~-vZFR{&(KjPE+D*ULrHhBJ`7r8_>t(~>0n70={^*-X0 zGP8bc|1Fb8xUza|KO&*umZ^a{%G=!#plXCAD_m*B^YS(pXcGwz)}Qri25wVRwdpI? zWkFusY%5Z=4J#O5vU#>V=SvoB84c`W1pH2ixDCV2hvE6s{)%RBu1T^VfWd2-hQ;yn z1I#FTcohmQc2)^BO=evY5t<6=u(3wr$F?ycGCx0H$Lbc}+wfg05jNp~m(~j+EHntV z@6KS$0yQl*ULl+STN?AI@G2$%{c|hsUoVZ|xBQ;rU=X%^)o=n6?;Q5nK%)qNs2?1` z7vxr^436s;#xDA1Fbrqm_pAh~!{Lq$cI7pY&oyM9ADWaO5|me2h!GKl!QDoR1d&o0 z>}=2Zf=)<{lm!R_p!+|Rb=8=w1c~R!;~bOaedLQp0otaJ3NdM%=4%s%8-Z1lPbSRk zL~*7TFU%vU;9D#-U5t9Pz(e}VwbyT5W_~Le{~^BPjFnT^)XkcD&&cD6^JscOK)5e| zS6!Bc=1y0b4I5Q$v!WN0gV70xWLIx|VrnQ?K7R2WGspGrmbn#sqLH}VugF~&P<@hqvQ$rC6+tRt2YwvEa9?rWZYPm=4beJS%>9<^ymY~5Lmm9 z&fF#7^*7dUtn;;Hc%&uV;-@3PR|H#^l&t5k>12*^v}jaKI@&u#jYa1SG$ITS+1)Q~ zze{X2zK{H~w(-HWB4v2@uex+Ycgm1h*1&+z%xbLtrhS0WDnWAep8RG2;gyENwa@IK z);HbZTr)n@o9*(3A4TJrKm4G+*Sa z-0lR{wzl}_mtq;+x3>HH^%25DFGV3&Hw74tH%0HD-q#)ZrMll+`8<1ZN;vxH@`reG zvh%2aYn@Q-C}k(9pG0VSF<^6Z&_pICovuSplk{q>x2oF%SC$nG5)*5w4?a2}j;T*v z9C9VsR|D@S-NJE#3l>oEBq*7;U3Qm{%5j=O0LDl_YCd+d=i1h-`$w*? zChQ4Pcq;-ZoE1$?pXeolA0pzC?T=6PqxE~VKZ7e+)e%%Q6+l*gUO$>H9PvlQY`FQg z`mZ-E>R-9dX3m9=w>G~T84|i-?UqdTJ+KbXX5Ipj2pc$kWm)Ty+knCMm z9i4{DK>D{MibU{2hFjenEXg9-q4SGMzKD-p<$rXuinOyimky8uBL#}KKlvZdK+3QIIM8dw5)b916I1Mn|@g7^eBY}B&fI` z5KFuGK(fgkx90JVM#tQylhu$p38_sX^80NpHqJb|X4sl?`3W9{<&yprhymhszpDDc z+w$<|1otC~{GMBmB-6t5h0&D@<~lQOqe%z->_9^#W4!5=Jf5NCT0^t%SjK})sQZ}B z4Mt|0m9^E->fwsYr{la!A{t!(iTaqR>nAKsh(ec-DCpNu!)IrD5;1LmgZ0BJgNdPh7|G8mBqM0Psoqw>H$?#Hn+DWM>G*hgo;UHZ_2bAF(`lv%xc$uLMh}+ zIXP4xF1vCiCZq0;ETND5E0+eG_Y+I3cE+J%9p>sgYBPO9N3;Vx0xstQv_Zbn!2*{{ zNvV^wzJBTa@=a;>GAk%bSI2X&;fXI?sF;-l7M)z)jLCHUUljFp>d;x6`GS%$U&%Bs zG1V`__D%WFk{f5}$=f-Y^NQ7sedDimyHq2!Es2R`!-@6Dx1G%=9nsd}J|dIFHvw$x zQm(Vxz-f33qQO|*regTooSuKZEo5PM0ok&!gn-|^oilo{hn>h<@R>`i**fw&RuG+|sQ-kT9?rNwEL_><^Q6CvkeRL&efb%B$)xT#+W=Z8`G>NGxU&78zq)!v(=~(91 zLO(}^MQ-x9`VQ7*2d2%i(i@u1m0L!;LnAz3C8=xX{Bp0aN=_7+LHAy;i^7sfnxLzp zp`-TgT$f+%Oy9g{64Ejlu$exg2rmY^SUShZXT!V{J$ZsXsfI;3sZIkO66`t}r{ORbx88P4~Q;H#7m zk{esfjwZ%I=g6ja+do~LO`Okv39QJvGI^~mtj*tL)5jO$>2!d9h1^y|t7NKf9hEzZ zwfaiQ*#BV9X4~wlO>0LY6Q&w&pU|E?dwTLm3)a<8*3~BM`m+2nQ^&#a%TlsnT#+JX zM4{VyXHEbwBIf!uO7U;8sgeBjAm~Ts&xB&*e@8bdv4TYuAx5p^uVUM3A>z*r3QP)n zVu-bXEe6xpbGzMO#3~|qPwbr$+sBjQH5IV}6|67Z8bbsis%$8apvk-EzdUi<-uG~3Y)i;K4!<=Es@%ZM9?o^Z?OEO5dQq%1xK;@4`%z$ zE4lEh*dtvN3XPhi@!m8Ot`*BMBt)RCk@W_b?-S{*HGqbMoK=3mlvy5D2Xmp?Q!F+C zJxZJ^?^%hvqUDkjyMjNAZscG`nZL~D3r=$cE`NK-G=B6?VH^T5C<{(2Dh}B`YEs{U z&dxr`_c8lJr}ce2kQLRF4=8uGVw%Bo!V}+RTa1M{!PeQ8rW`d6gJO|Dx%1xH2ux)J znD*z-eAyHpP(fvQybGS@T{oMPFneCu3!2#Q=x9tQv%1($)TYhhkn7+D(mwl@1emP# z{K{bprmY-%nbfbf_l0W2+D-#j{qxKpV|EMryu{`@aVlwcJa@oeAeXX&SXR^U9kXGT zG4XpjYKd3WgrrQz8H6A0aZj=VIL!Dw)dzzQOBsxCx+Y3W z<;aM`^s=__w6gT+*}`7sAj-m2dzt4u#v$Bqgxd%0ke8j74x_Mzd!3$mjL>MsFzRPR z@sDjD%%A19O5Ldx)e=ii{O1FiZc%M*ieEiquM3Q@j;fFpakU`(ci~44au^bB?E_Z= zTU<0bWuaoa{hseyg)kNONc0#p!KGv2(9t-af=qeZAx#|uLmakk@$&t_PB}f0-;Gad z5juvBl{Fm)u5Qn1o1-XwvQ))DTeuzfpweqCnBgu8-?f#| z-^O|>1WoLDVI!sQG{@)+i>pG|%uhCnUlSQJSFt2SrEjU;wjim31}p}s9AdbklvC{7 zkamV5ZgPY3ikR)ArRJRitVI|$Cyx?Ib6|_#M|0sMQr+l9I4s=t>(l+dF{e|sDxa^r Z%>-Q6*#CR${OVv=59I0JSIyJY{{d${B9H(8 literal 0 HcmV?d00001 diff --git a/register_8b/db/register_8b.rtlv_sg.cdb b/register_8b/db/register_8b.rtlv_sg.cdb new file mode 100644 index 0000000000000000000000000000000000000000..85ebccf696464d7a5aab3760b001a6afed22e2dc GIT binary patch literal 974 zcmV;<12Oy$000233jqLb0B!(300011WpZ@6CZgLg?000000NDZn000000HOf^ z000000L}vd000000C)l9Sh-TeFc4M34ILH3S8zjzW|EQu^A|KU(1+h*7>>W;C>Sbk zFz?BdBPmuc;TaH{QMA^+)p~cOwdFj|^QI{13%q6p$386?$3Jl0FaROu!M4_}A1_ zaC5~zq|R|p)7mG>5Jznqq}|@O(VWkwkT!8_?JXNUvi4?__C}QUdX)BBl=f>lymG3Mn-&s_?v#5M$QTfiCeBUFFta!IN z@2Fa;xUj(VYs{=EJ}khThgm&5IzBaD(^B7A`wrjQI ztjby~IlHG;OU^E@)lylFN-JdQSP?sp1^WU!K|PbXcE~^DW#Al5;^lz2OZRrTlSt_0 z6=1s>R*aVaHtZTO2xKYjGG=bh#y1d1*nIjwF!MlTK>R3J*QPuTfZ1`gw0$-K7z;Yx zVnEKq>&=dvj-B+QfonS;>A^0Hd+-$NjeE0_`Yoa%GgjA^8YW%?gIWH z0utLv`Mvy9WdoRopwm?W%;JIvjFB3`ojt4-{cYK9O+IPI+1%1b-rlftJ>Ek;- zfhFxb4$Q&4vxcW!>-3>QRFK360p)uk>#g%m#H~V~u`HjDI5XK(Qx+oW3S4`x%(?RP z!m@7w00960cmWb%V*mnnAeI4QXBVgVV0Xt5*Z3e;XMexokf2cKka$WU@6CZuS-c000000Hy)}000000PFz( z000000KNkN000000C)lHSUXa~Fc5XZA392IkqKmm3f(Nn;S3Z|P;nDxXz2J8^PVl) ztA{0$%upb*8AYG{>8;k1t-7x3QB_q7Uw@*mMjZJ-Ym7S3yO;3&L)32=TBhtTA$tOu z*Sem=wM!N7>s-12LBF%R17{=r08c!ch{aM$SLLXqV;kKzH#9Dc6%uf%-1siHsC3;@aaOs_8u3{ketw76NXJ&ueZczK zJ>kIn<=&Ai^bz(I?y0ncswFT-o*E>&!0S3uJHcO5YZTUXqINb;qD0Vz|0m&M79ho& z9Ov`GHu8Udg@Ud_sczy}1aU;HIsY%b7)1?Pv0GS6h=tszwL9a~SYk6wfns<99EMnw z3J?n?4v{K6)3L?c$GqB93%}zQ2dxy;e$}eeV=U3Z!$Zb%_e8l z^C^vf0RRC1|9AlsVPgOSP9PQsVrLhpcxMmKfOsJ7>K76e8Sm*E;B%qlzaxx&}E0IeSFHbVSb8Rxo4+tK>jZF9c*` zxMN4pQ!kKV3=Aw_l7RulgDDMmcMNfj_j3*L@eB^Be52mN3RcvEq!i{_s8W9y*9of^ zFW?5N@PMjQ1JVLO46+@f&OgL8$ajykd3@_=QLJpu{^5s*5#&G68AI(P487I5I}02T0}DDw2b)xGx) g8#o+dfHHh2vMz3J=6S2XvonA~*9V9J00030|AwNZ!T>y8P;H)>U6kG8T!U>i zzniHjTk{}i0&c-Xz(oQG^oXfhEOW>tKAa9HEjcYQbqTAN#IwdnL*>&9QPPEfYr`7=zBVYowA+gEBWV5(RXo>If0gvx6TrAh+Y~D<$VqQLdad&D&9%y5xVKu)l{b^-6?OY8^K22D8+-M z_o(=1FdrMcucGEv=WaO|m56D|SIB>nC*;@-YA$va*C*HPkc9%T$$&^e3pUZDsrUj)YozpVQWs_a|!9s>}R^n&I|B4cSFl zR;kJ%TsTl;B)lFa6$4)K%jIv``-9PYI*Hn z1qEo?A@FBl!nG~kN?XA3kM#$Sn+-9tsEZVT_9wAIRVQJZ9 zn(}Wt?dGIo(J@=Ni+c}YS#Cr*ji!E%My$cC-CI5tRZw=BP6km0lE5rc*&Z?dszSZ3 zbAxN71~!d-30r)C{m+9A!3K(3qXGS-ijN{mW!4mq?fNCTZx%wQmFm5@WF>4R)TXX@ zyM^Dhh6a3;`QFpp*Dm&bf{k)k`fCVczrG5TF2xmWX>Yl*PGGIDsR2k zvD_U>&F$N{2I4`@4o$gVkt;;T^(2}exh{O46w;?~1CtE(W0z_9m^qhx&>24DSVlvB z#qn#~@1)HjPui7a0;Q5>S9AAHTDoN>!1!{UU2_GJb&rM2Ke2li{MTAPQF2wUge5gs zUIp$+E2+ZBr3Z^6Fxmx~R3RO_{(HfAjeZtv4%CCGX_fpZ&tJc*r{3*5-w@2ki z8_QKaQ1!=g#4AcBZ)G=WZ$_=du3z6IM^$|Yn7sM>G_G4rnDfdqt;^tivNf+#`7~_h z-nyUroT4}Fc<(q&iv6V|=L}G`X8je3h5QD;-&Z+zzbnw()#~?=>UKI=qYXIINKvO8L;~p{Y(RT8t)wFs0(jZLD{9!gA;m6IhrN<%!93$ z`W2Y#CxZluIuGqlpy_kqjXE+5Bq&;EznfG;IaSfqOzk&6A-WywySJr9=&9C#eV>xG-Zo~vTjgin zpt=kLJd`Std{XPT7Klq9Kdaa*oIAxSG(1>pDQh)$;2d8(9FnnpOqB1l%frM_XTE`D^Z*VistciYA&&V!9cn5 zzE8F3mVaVyf1p&coo*1~Eok~GoqpV9|IAtMu#d~Q;lmT`fq`6E)YekkYUAKBfKchc zSE7@pfX`VI(G~!d1>{rD9cZb<7N@e^yyGgfNn*PZgZ<1Yqq*>9n{HGtvq@GSph}2f zfPSOSuNA*amF&cfQ; z9WUG_!K%XZ?OD6L&|`W>DK^s*Ii8AD`$@vAx_Rmzl{t_=cgkA%VURAAAyjz|#Drg_ z+jd)$DX2(=Bk?>rjh7>CCadBHTjeer$Jy%UnQaSr)~l*JHj^@Z93d_)Z{iIk`_(z6 z0Q_Kh6V1Sp!4JWXeB60w+a(FsPNA5cK^#w99k_7R&smolo0S0q}?EV5@;L{>> zRQrjBRvS9U75n*F197&-7zDm$;2~bZui-HRxTE?T`IchU4tG>ZVdQr*YUwT-o43rl z19+^^_X9bw$TS!e5}6uxHcI$%2`Xzk^79+wr>EJxuH!BvEy7gwBm?#pd|^n7$xB-O zS4v1^H2A`gHdtMi@sT|vTmY$PoeArFQ~0JoCPVLDA_prI>?zvEClTYHH2g)WTQ-o4 zPlPTsSDl`p{JlKEmveDoFP@;@IDcb62MNE7uJeVe+2V)S)0upZ6l7Bo2t{@ao}U7W zat~63EG*n+Y%kRmN(X;$IOgDfDz=+D^>ut={g+iA$rRl*-}&gbW@qGsSP_6dzB1mI zQAJ-S(y{YEm-C#T)BHR(v<5Srp9mXnJ|u;`RFx%u7>Qe7IaMnc?S7E?rMh2SVDFG@QiM6zO-ZmAKvCHm&de5QrG9dgwo1Gi(*R{9`-=~KAmZ+s{w_>kwV#dE zCL1OP_?)NSql}@(Im`JL=@y2+6!x$@0?TTpPD1TXoIOUo+kzeQ^-Qqa>!r1SxDYYd ztTLb)R=~IGucn^UG43U<9G4wr$hKF?qv+8M`CzJgx?&dPDC9TzQ z*PT{lO#ONM(z2f;GgdyRMYb>EU63tuyhRqs3IPTs0YM0-x{$HTX?bn+I-H&RMDL~= zG^VDTg`#C1g+%(x|9PWf5vsXx?k`ywgZ?5fh65IxNCy6lc_0A(Wd`pkc(a9qqA>Jgn>vMo3z0DWkBsluO8B}-}UQ_|IU~t384K= z717*a6!3Z^3cbXg1v$pB|BU(7EEYIJ8uiA9L%;^e$|^73mt@mnhaIUt%ncY4Qqt>O zhWU@x9NuUf(utlkhS=uH*8ty7h*}QpuYG36Y)f>>SWKDlyn?&{Br0$FFLn``>yVlU z(g5Oqy;^ydH%+>URw#gnMXRrJk&7h^Q7aJK{pmRP5@QQAA{YPHPFs;HxsuWe44 zUTY%Xx-$-0M3T2EZtJpHfH~G#XivC^uKY z``Dm+r01*9*vm@ysZ+-fNvG6yWi?17ASxH#Wt@RqVxH4KCO|@(Uu2^HMi{kB?<-4~?$WzUfha!k`$5?-Q?HxJ`D$zB^1(I`Dp- z@IkbCWczP&oCdsSEkS177C+U51t&+Z;}Ep#yyT&YL)Jjsw1Z02I=ChEqq7ZcQJ3wC zIsu=>=Z!i&7daqdXpp5@kB#JMf|AO%AekbXk^ixTB3sI{1eF$MwFJd+D;^nrnsOH2EHremmEPba-N zWl*OTr!{ENhNak44ksxC7I3+*;OLuvFt-l3!LymwRpQRn-vX1(v)h1LwFc@iTwGJv zbPJ8eHJ&s};vyiC<_HEz$#efLkeU-hJZZrpH#sn<(kqnrua92g%a!iXZYhhN*~a{j zM+ex9%|o z8?#d5upbq2JuHA!q7MK+Ojc-VGf^rB&c2M&vMK%cgyw#!ZL?AP{2Q}ucymK-GeNQa zC$vFD*4*R_v_XEp`;QiNEV@|M4mUqrBS+{UqRpg>W!@DEfyine(ELbK$Z0-LOD{Vi#HNu`)V^CvX^#M7C_wwdEoRwt`j=LxS>Yw<+y!N&r) z&aCnt3;Os*NM?Hn#Izp6?frdsXh@W4af1@da(pgJn7K{b*IXqvu%hwu-7{9!eI~ha zncH>|sZ<+jkc3Eo^Rm=9lbs~Xbc})@4j57GEzAO!31^jo*RG6=mbrJ)v-Qnf(jnoQ98G}Z%}zGl@{p*-`L(8ZNYL#)D%}RP5`xjwPFw#jpq6YOmeZxeku)vlOEWy4e(NS*P!#{f86{XBXw zlhIKq81CiXH)`mf$V4P*T~jiDXxmd2N%Eh(p}omwO2+H-g`eaXon zw=m-$5h`q3cep$~YpNC8?K6#pT4DFhrH+WMa`$TU+Va*!GxPsL&!)DJef7%I?)+a8 zTxwz?{s%&C(cvHYhe;o0U^~LBf5qab?6lH6CaPhaM=1 z<}J(B$Vgh}cy^*b*E`=VFX>cq_8m8BcA||@=ovb8HO`F&uRfV>D7-N3fcvIewCLpZ zP1Ysc5i|Aj8WWixIfOZRJaKz7*G9jhV{VJyl`WCe{UsR+^@SeDO3br667oXF$oU^0 z|3LKkI>P7+@?7=`&sKad7I%QNr|R8LWJaUgwefk^8($clY-7Mgf~twdq4KE_9Q8^S+b zLiz%KOEBWL`hIE!Uu*UI(XYmNElD_vXlb1NKD0eDF1)9g9}YHE8%D&`Z}+}3@L9L| zr{e$A-ryW-<+J|D;J^}N;-S~|6VwnA1N6H(i*Sl?R$ zv)wS#l(%-Cm_Zq;Z&*Y69-^;{e?5LUOLWi%WlW+x(akp0`y{b~0cKA?wixJW$1=!t z{1!VXk+HS2xR;pR%;4wdK6>2j))5!UzbQe1hl=cI|B7u1CK(Z=^OHuM)BO3i*fFn^ zb-fU`pF;Ha#6WAY&n7$M@a=x89pvbBiob0nEfZaJN&{_PVNJuj_c;MMjlq!uk+g+L z_Kn+DA-CAPpl|#x6P?sDrd}EfUg452&MI%Il?c-kztf=s8}`C$?wH`vD)cg_#u{E| zC1SPD)YCt2*vVb{ROT3|HvTzT0RH#mf#m&)Snc6x55G)}HA7>@K>3V+fGAJ6+MJv7 z^a_`p*vphDuWp|YnqHYQ9rWmYx#6+ssPc~H3-o>zg2QItxu-9nT-V??F`iqwo0=3> zf_P(cn>#{qc6ZTKIG|?bo^wG){P~<$>Z{taEo|eC$NlVz*NTITd!g@&*RKt`8K|VD z(Atz#4k=gY1sCtf2tMll=G34SScF|<>+PzH_{B|Ywy8}Dsmda$Y7mo7<)nF0wPpBv z!#CCTJ4}xMUEPoR26RumwCv5PN8HlYgnOq={Yj5gajwhl@nHmLPGIUuQDY$0z+@W= z1wC1!9RE7&ETt)7xUpt-NH(QYgzs^G>TOtDtQj!xelEMJBan9!Qyo#+o8SNX*tVsD zqxFs+(lL?ezGQZxC0lUZ3m}}P1phW1u zS5HJx4Nt5btOu8KI9IFo`=^QwYsKb=onA73KSl?_!x$nh;Mk5rT|=vXV}k=D+2{9S z&9l*Io*iO5UY!GP_+=3;IpFtpInc=$dhw-7tj1dZI45Yq--8Xmj=mz~dL=n3ESeIWiw+NjuL)~d#<9V&S#rlS&u)#uzb^oll zL(r7cw(1DtBvSjhA^7L#)aRdaCo=Fj>o*fLi-jyMr!6*7&9V7wD`A%je(s$g>`!NP z@T6rTRzlQQDe6<^nA+Bd%6?gQcJ-gbAOz^5#4rcH9BsyTE%e3KSW)UT0~4DU}dwlk%CVhz;{9E^*1o; zTdxIw#>Z%!xtG@;Zg1VdC1 zc0yOmP+cJQ9_1to(qoS)Z1PlZGHPcliWLrF6b5oBFY+>fo1$6J5njA`Xn zN|SN&N$mg9Ek8j>t!fqAZnXA^oLhGXe~w$z506umyS!Q<8g?Zp&yITgaJ>DmrJgr5 z`Rg`1_{&UoT;p}s8%n}EqGTKqoD|Aiznyp57irNmHCPihQ&hd3YW<6gILi z5+qaLmGn*II>=z1>Ez(Jny!;GG@BBDpmJzL+K6RkgLI6eftbM*-q1_)K~}~RwSY8M z*?w}GwOBR0xMJv9BJ$=%#g;uu-Int)MrzX}Z9Ilt1r{DU$ t>A61=HN)cY)}-&Rr`t{DiL&gEHj#y}>b5gmpL#@ccogeB?tl8`{{Td=^g;jt literal 0 HcmV?d00001 diff --git a/register_8b/db/register_8b.sld_design_entry.sci b/register_8b/db/register_8b.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..904d0039f59656ccad3da4a679aaaea101b107b5 GIT binary patch literal 168 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXAn*h)R5U7Pv3`S6T1(dE}{Pq9;|9UnR5dZ)G t3dTw4$qY(QU>l_cxJE*v>PVwWsy1akCa-#P&h8P)q OXz*lXQ`6uDYX<<%9y(|M literal 0 HcmV?d00001 diff --git a/register_8b/incremental_db/README b/register_8b/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/register_8b/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.atm b/register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.atm new file mode 100644 index 0000000000000000000000000000000000000000..63f53b75781f0f0f8d680862bb8f3ef239c20ef4 GIT binary patch literal 6467 zcmYkAWmFVi)b8mX7`mmAW!FlLqAs)6)NCzNpS3-eYWh*`*=$9|QV`%+IS>C=a+l!gZSlt@=Yn9qumNKByxWX|Mik*PAs}5 zzuoc`ORM~A$u4g`Oh?U^t{>(mQqiYju8n#=UedibuGGxD6u&vP=zN_}{9An_!c!_c z7Nzwwse1j;XYJSE-MBF72kV^zicvlO+s^dtStqVmrc!(lh4uw3xe|5JZ>KiBb+R*f z=`Yrt;#wl5O;PxZQ;@77?(xVJ)cb=i(;Y^dd2s7usrYIOu8wUva5uIRMx zhF!UwrxbI@-p`*mn8CBOn?%oC`c`u~!8$J$fy-%M3?Lc6!`73rU_Y|57k=6bLSk41 zv(&_Lm9pctEtxWEmq{FK?V*{m9j5+`IdY3;OF}tzd2^ymq#-q-d7K|%u-=cg_3f~# z+&sl^m^O?<%vSX5W&gOf?5BGn^{|^!Nr`97iy<*heZMY+yM?>CTl-B*QUg8ZK_Q*vEKa@X0Mg*gYoZIlz&hnALes~Y&MFbBCg z=(ya?k6U$G+V9u~H)k^b9-Z1~CMLC%+}ucrMm`ZeQGBM@uY2*D>>7?R`xr|>Bt?c| zinr^^B%GGIPp0Ajt9&hY&DoS;1qt^v+gaaP>5o#w(}!Hsn=+;t8<=le{rBI{SF!SD z%)0j};W}rha|N=TZ^Y}EseEsDC%2@;XPV-bJ8o(B-yjdg>=<$7g#cznYhkihcJ;Rg zODEN1&!v#9py01>a#kACat;ihG+S+#gnYU*&nnuo+Fn*z|E1ONsRugmz1ApoS{9R& zRyn-w7ea5*NM0D!t1j1n5#*8m{cVc1A?HPNdrhwQmzlwvzow{4G|0O!7N5W%d6~s0 zZ{|Q7xlljvX^`m~C@TGv2TX1Bk=|74U6#RbonAuWt10~~V+fGfK)09jG35ej^SAsF zKO3IBc8S^o4|?Q!W^Dd;%Z06x!%!?Wmc;_6xI`zod9M9@Ie?t&@f}a?klr&-(}fN; zpR0Guk%d6xoi*~3yUG{+U#XAAG$DYzE~T$%Z)g@Hc~Uzt0_5J8HT)kF?6{4!<*gL+WXyqfy|kq zaeVs=Id0wbhLrpJpeC%&T7p(dulc{K-b3zK2hGLTRzkQxk*P}LKYr{K;K@5!EnCp? z9Dug{wmKIaMO@}d^_E&a1I5cbxrJ_4&vknRjXP%l+I~z9iya&$Z812e{AB9Scx?%7 z-0=~X)F1Dk%J_aBUu>1CZ>BQx8~vsfsilpjqD2rzRv9qcLGKvZ4BC!<8W@tGV)0ra z&=yfO=kIs6<=B9RIgIA5F|_XYYPl$Whj<>1MR!JZu;Ku?layF1XCyjA)GYJ)n43`1 z`8^R9%dGOENa|gY%9r!;rO9~ZSkmreGxeeNJkT@^hRdMqN(u&y?qjlT>#m~~v51j& z_L%LS+89@wa7sa80HFw4&&JPBG^#@&55Ai>8NHxg#(N;DsH{4&d*!NgHojvf zki9JS2syLcA`fzEc%vs8V<}=~_;gZAJUy2Sti*N!QWwAM=F)I%zv8Cg>KqmuAe|lb zZ43i05Iv_+5wQgjZ4OqVMLW?$@R5@L+H6=IH$ma7MlndQPv}xojZ-eZ5uy+^$s&{!xN=2KlUHP7 z!8Z;l^ti$kkQYEqlk~^yEotnN*3_9Bz7C-wQY0+Hk6^@7>8_Z?GBY3U-+a~Y{gSlt z>GG(mTY@=x!KCSanWdln@Q@I@YKJYq9Nn^_IUCnbc)alErEoTA!Pi{4Q38kttmjTj z3S#i#Wqn_pMuWhg5YPA>S0u7Py6l@mwUn{#Vi{mZx@UVWowI=Zb`a!@hSj02l|pN1@Kpk1wvvx zzw$Dj%PYSoEQ!cLEN$5t{AjX$4|hee040?_F^a^7ae_*5PdHlhfE3YiL~>mk?@L@$ zsXSmXAA{f!P8$HcmuK(rK>|m`5Qmh=t(&n_{Li*Rb{Fn+5BgR2-Nf^SQVWgtu_rtB zyo6%8!!K(uu6DC<=*CLjT2R`B4p}|FWmIs}tyX`*3gaj$yEu6;sK$ zv#2l#$=#(8^J2dxR}YJbX%$Pj*{Y7(vcMJAtP}3yj0;k%{M@4;DEXZ*W`di=rYLWp z?K~>x+ol1%^kH?=itUS~8(Niwn#!@_azB}V<6ovsvQ50_l?~e3me)0J;+eIUDFbi4 z#GB>i{o+E6$&ZyWG@BDa5uw7!enY6@FFTKZHmV33G=d19fJ27|zq!Z_Z?D;0Dav!| z?84~|h3o24b8DV|`+H{k=vmfvE9b5GWgs+YsqEk)yYr@%Bg|w^sO=?x+w{fR+amYf z%X0UCmCHeC6vwo8z&<(QMF3vhms&ZCLOF~1`4cZKc?;?sQMopbVbwEvi!JmCt-Eu| z#+B^G)$Z?{rOk;Gua&Eg6Xrhw#i*?Ynd1Oj785H!6vPkpwR%f1N^e-|{TAu4Tkpx_ zTuwDRxts;=PZgnIDgI&Aw{I1yNpC(M2drEH{7?_qxs2hgl&8CM#>SOP-^oU+{L=cw z$$ZC2_?FcAm4D!{)Pp$GQD(sBD!X{=S-;Pf&veqxxHY+Cyq60F-||^g8&?9D9RgLe zoKOkPX1ft}Y2hUYmQ8gA^VjWTKPgf*U&Rt>M`H(4uq>xgu3M9`1HmF|+RLXt)^0iaGO4oPNcsBi%u1IpdiO*l9qjf1nOA5C%0A#`AR|KeuD$Sqx(M*L_%_x%y@c)582Ri3RI0G zX2A0fA96nA2!<8q2Zw&nvy4{j%=V$2VIgnXp5&&d~@qg0J=WU3dC%Pk2dW2D34>OpYt_t>)K)8r5*7<>K)zVAIYgrzL#6 z3gWOZkXG;KN@*`#7H{#&S5+Cog`>W`rjnyGlyr4il)th#3kq0pDCb+aR{ulcN5nZ1 zLb`+{g@Lt3u>BgKj#f3|DYtdHul@_z8v@?}9}Uol@x0!EilW+An!6#K)q=l}L0E7^ z-6CW(A?kxv9vkB@8Uoz{w}G0RN@EQM>ygQtdTr6O7Zhl!6_SR@9x#F z?=~auWss-miuA{$6}Sp2$NF6_#{_u*vSjF`(?83RSPFgUEJkfSn*@RYJ6K{*9A7!% zgfn36rtH`eu-`IPjTN-0p7XBw&I7VGn~i&*|Jn1~;c-KlOOWqg)*<`!<@# zTh2xvz9qR2Nut2ob$D)H3^scg(EF`>SwvGaoZ9rO|w*+)&= z6%^<|x}Q?h&gSQz!4s!rwP%;3yMi`}sqxnZT%+thmb4V==7II$sxvWGvV7H)^+S-Bs8a6XrxRjN zAKH>x{#Dx8m83esd#rr%in%|&V%d%o)eK=G2rmdV-L!_{k!l4WaW{vrya49ts; zTumRdt#Hn8UE!)C)wuAi$T|skE>X|a4fQ#E1zTCvvH!2} zIS+s52T5#l9*xE<=p~ay{jlY~D5jbPw;?n~4I{jbL~hMq+i9AQqMJ>=m9JHxQ8P1W zQl77N<^nXI|Fd2Z9cK}LXTkg7zLJaf$kD5FozpAZL+W!mT3_WdYd_$c-+P7k>KxSZ zi0lAe?GYeVw5o)hYUr!qFZv;~0OL@S+e`51^Z3S1{2ufS%fxoQFcXzj(khO|h-Ic1RUr?7W1f$GMTqo9urs?w zI`e^f)0q)i0RCPA4-b-au@7~<1l16yYwWh$1BQRn9E~c}rcf()fC&B5x&QU@Zj2x? z4Q+z5*Cd2G)eIwMGMxB+JVHGThbLKIF7|<@P^8YXb(@;NW z(tj9;!o|uBW*Y5ud`*cALWkvO`t=L5;ZOfB%sV~8u*W2)3&7AK^O)SC9Jj$d=|7dQ ziTO(jPT+j!=fCSp393s}_Xy>b&@@XeB;_2XDqIMt1pu%iW(*a>f9TTM0UYpeOlC_4 zzhC#xNwNF^wGwq+_Zbbq{q@<=u{8UOJ>ml)TRt;;wGLcRV=lXthK))rJ=wrJ_XO|( z=}JP!W0-8IBM~ibV1$VEfr>f{g_d#-*6^V^;cp&OvFtj?AcAO);)`@(gkDC;|7L5F za>jGI@aG^ynrAe&&*{2h>Fmvj%Zc};Cn*ek~&(d#ZnD&7M!mnvo*SPqBjkn}6 zR6Y%E16RNg?S(Je3-RKqWE?vFOdpX zZp0a$_k4ggN>X+ptBJ67^!0l+45c<6j_BGzo%{zHHcqi9gzCQ{@DgS1IJ;-entHbU ztF?<@G0E(@ZXeY6M|d_5`&0Cz{|EZGIL347!Im1HU9tG1u^QfNzFD|kzsmDi-QL^H7c3>0lxkR4+OSpP7o#T zy0^>zW1D_FPF#g@6C~>X|Ji2>ipN8A8SwCvs9x+2g820u?`*rZF6omrbtt!#%e-j9 zAbAYj(8(rtMs{OHlfNG=y&9<>TN96s^6h{_Jy|4o3>RCd{%iCNtA)bJAu{F3TwJ(L zO$1oyel};dd#tP*4xL%gYS$!_!;pg_8VBk!m%b3Zf)t$@QDTGWH^&^Qc`TuCi28JN z$$LcN<#fq0C^dLo158F1v~``PRp}JZ##`SK;qTdZb!9Z$y7}5%QC{l%Et$d2k)pcA z;I%zFPDRk6BBAe8MjChxR4DC)6Kq2Ojp?b#@ck*w4b=_a$ zSd9A0Crys0yXEJ!7Ucvg*o^mZp9maRt`0J6{QE?B@C-Z;l$YnR4qu*s zzl}r3r|Tq_A^NwOIo4J62`>X*e;Q{>K}>7%EN`Q&Z-vOo?iH^QzI&#}+tZKpN%lRq zZ+38_dhiy(J?GJkLl2MMruu*c5v*a{1}tIKm!3Q`!tGn~1qfAR)D$~U?#2Phlj}o} z-l9WSL#{~YSK$0N6Y8=LhxdOY z%zwfkijA#5lNg=W{Dwx3xTTGZs>VSuL+7B4>5dB6C? zA00coN$^N8`4^a#dl_T6tYh0*m}Oq(bWGVwrBH8y%{H$Z9&a4sH^&<=QGQwKf>y0# zye>UP#g^==_+k~#%R2}M!8a0OU|}JX*|ksT-r({SMV}ewTnwGh&kSF`r9YB zrD8YaL}n~1v_IbN_097=H{EE<)%9yDiD5X_JwLwH1fl1ZT|z<(9e}9FM>=)*r1tsS zT2`F%#-WVlpT*~#KrZsg!~>yZdO&3BQm~Rc0wrF0lEkz3@b>PILj4vblU-B^ia5p*kAlS)P)` zO;lgbWbWc-ED7*j#D2v2M}~A1KDxAo9z?BByfw@h{Elk2*Y#oWGpvf$;Mae9NFdTf zT!KKjblW5f=?@A?P9+eKFpFU-{LMAH)_Y*G15puSMr!u4;pvKKrDQGJ_(wx8U{qmM4efRk5aU(RIi`YmZh}*^wUf13q!8=$w>VuQ`-b9_f}?=^=2SPIJPQum5n!U zU4J8rFnOE-h_d!CNFbpAj>dla567tXcndSg)t>-OTIIv5C-{@A$QuETEwGN-P@&1C z0j=!%se#`VT5M{-Jr64HvP}jq@SOVIx}K=#^JROo=9q1G^Qv80NtBT} zF^^2T8~+EUR(g7p;|fk2IUz~O zOxVfMdF31kyAFEgh89EzohE3 zd{44*?yg7&{gSWL8Eohj@Mx-;#ywiwYkJW_Sx`>MjsFyuu+ol2#bcW2mH= zBBeT?wyRT|4rlZH;b`sQC|Xi*`ETy70(UFYz}NEX`1rEyaD2pxFFdjYCWk#4xa$YV zJ_g;FUiWRD7F+-E!5=Q2OI?WJY3##wE!~`xztjNG7#!mw(6~H$u_-R07FNd;-_S(& zA_N9+u%#NnbGlkocDt*-stbXe<$<}Ygi literal 0 HcmV?d00001 diff --git a/register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.dfp b/register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.dfp new file mode 100644 index 0000000000000000000000000000000000000000..b1c67d625638bb473b681fa4acb00be38889ece3 GIT binary patch literal 33 mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.hdbx b/register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.hdbx new file mode 100644 index 0000000000000000000000000000000000000000..1efc3f1474e15d4266ea7650bec3200e25a330bd GIT binary patch literal 1872 zcmV-W2e0_74*>uG0001Zob8)mZ`(Eyz@Ot!LFi>I;KllHd&M3cTgkLJwqnT{x)(u~ zC`YR-36zx9E1J)~BSqPc;%1$fVOe6JZK5M}y!-J;9!0j(LBlj#lW2KUpf|&}Nb2{c z?`2W!qd{C?;z2RVQsNa+nzT9{^gb;KDszm|a8Hfzaunb^S|+GS(>QOVI9*0Q3d_W& z#W|uXyd*$raf1oU)3EsH5zIvV4f<JkwC>t4Sji|s;cQFYm}BnRu(9X zVw|Ipq^n9AB4`7JDZ$G$N|x;HH&NopWk4STd>i=~Wh7k^Z?&SL6fBBXWz1Zu^u66S z!%WZf)Q`LZ2PjDWa)pzkstm723ADAU9;r|GQ$}!}$7__Ai{G(dFbze@%mQ$$oT>KH zB#0_F++>c`sw_ieFf#$*^liIbsK!E(u3Z>=$uo!_wr7CFt_Rf zFU#V{_ZBfmF|X=vt8HktnSzf|aRacS<|?ZEZiB&If!1|UL^%b{yvS3~nUxS4Rj^P6 zyRfS+t$HCxD=#TS&o4>>Xcz0+Aqof-F%fg25>wg`B3=phkmwKE8&O`6Xi*j^$xjio zV(dY)1c%@wN6z|h>+Z%)W^q^&#v{Om7sdH$i`KryNdRR(BB5$mpuzxp-}MP*LGU9& zf~a)FZbuen8HxQL;E$#Wty@fTI$}PzPPo&E>bWW*pvxSYrtq$H5|(l7@|v{tn*Z8A z713E4f!ng8AyMy0R6h(!8xt0^mgj#!GO~Y0zv2Zls`#NAxiU8?R}0K62VJyaLDlVu zH|n>A)G=DLZEsg&lmz$_6ia%yr^t#dQ~P0r?AWioYkgtd=--lRD-@%3)bjH zMuI@eB;E?68yyM5@l0r)faIiDXVi$vbapuyLlY-q>@9PUyctd}Epu$l+>vPyr`N{J z7`2f(vF1)2ozJG1u05U4hDIA%GsCstPn@3&+qB_vYCG<^={V-(qK(EwV?5@SR>M++`qvq&)rxkSTpm|bj)kRb>3Ts z&<4i_HW*_}Y$m3XUkt+%nC6`LZk+nngaO=Po`N98#O*Ck7eV+&k=v-(M$i%PkNTE& z#+RCz+GEh}{P=@kkoZ2l+OOY?QIp_V8=cK(CiV1DOdHr2#>AKn7#fc5TTz)KXjA{^ zoHjmpp_+9JlYL>Liabs6*^5>0P;jO#y835!*g&n!cHqx{Y~B76F@b#I|=b*Hw;9?TPoXNw5Zv)FZZi z*c81^mXuy2Tar}3rX8{E!zOp@B|+{rmIO%xZ2A$~K5SCAUJ|5!V@ZJ2eAGQs+q<2q z7leLeJ;H+J3QGcA7E}=?IZ18KZ(@b-z&zxY@RMjd!T`!@ zV_nrG4)89-IKbrDZj_`aptTJfrSkf~JnWT(jZBk&7B-5~n6)Im0(f9X_DTRMn#=*J zN@J}lHMLF{^ycSdnde&v zrm3$4psv;lLsxDl41DSAKKF@B)}HdyYOnITcMO|H!ltX#2}4h6ZgW%)@Y#n=?+L?3 zD#sFjNmt7$jJ$t`6MUzC=`2XsLTo6(AeDI&csB5>c^}1cvJ2NdoLV zt`6L{y+l;(^njsyaC!in+^YjOXfKfzJ1}6R9vm3Z_3yx)*h?hUtLOCQA%Oz()ZM@y zP4E5^7C%U7B$0nJYy5X%Y~6xX@os!QvNbj9BtzpH+^f6&|KXnw{v|{E#dI6De_*-h z#CAZoQ8l;0Fk|9WgLChQt$h3T@j4hf=5*p(Q|i!cI&~f$yAOQ391LgE^ZBIGQu}u? zo6fDx)5bTP6j8BuS-0IEopc=1Eu5G5cvCL0`<^W?rx(82P1>{3Iaoe6(C1q(E}Kf| z5EtIDd)014@6P5De+r}2Epw>E(F(Pqx;iTaRrFIV7y0QbgP*~U$VuR^}b=6P`aH#iXc@YLd=&7=z>5IN7i?vbS zD$BqO!o3mSec5GE^==bKu;}a(@fV*?Kds{XROGKw*-=@);jc1xi4#otb>y$25Whk| z+e_dP7hCKmuN&YDCjm};cAt0aU@pPCv{v>10NgT(ATGw!;V&=@1{bpd#QpFm<8t7b zLpoGU=RNwoH{wtnEr>_fwBTb(K7a&=A@+;t7H + + + + + + + + + diff --git a/register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.logdb b/register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.rcf b/register_8b/incremental_db/compiled_partitions/register_8b.root_partition.cmp.rcf new file mode 100644 index 0000000000000000000000000000000000000000..b06e220e6bc987ce9164f9f29169dbc671a4a0dd GIT binary patch literal 1164 zcmV;71ateW4*>uG0001ZoUNB_Z__#y$6v3X!eVbgnuZ_pShN>y+CjNpyR~CbArz%; zHX=$grP)R33O@U_W2Z^|u&n`vgnW+c|If$Ae~07aV=&7eiX^=QL6&ZdJWA4H3(k{G z+y!}jmu!nT5547So@GUNALT_-Bw2d8`hI_!uWmcXbb*g~QWS9tvb1|d|6F7#xQKE9 zT>$+q{cGC*LyY(4E`OGDZ{mDQmjnJO1pS9(vj&*h06MRc`x-;&gL$0)o2+7R8Lhqn z4Ce2_$9M^b>yn~e@#g9R+-|ZToz6C1u_(CPWXot1uA?FX|8W7COBV^O?kBi_bVwi_j;BFy9F8W}!&xw$OoqWVo6XV)(e!8<4tm$U=?4)e zBUpk^g){IHT)8KJICHUwBqnS%UP0#8J43rxqb8d~KL z33}vkJ`=sm)0T|S;z;6mTJaN^AD4i>;PuK8Az~=#t1K*st_h!~%ThGySy+a*Tftir zJjM%THLH(_2vqgQt~7%n5x|B`5Q|SBwzLhNu%wMxtu|sy8zFQf_8unT0sj$7mM3zn zREd2`(u&eidj)x7?XsKc*cW4MI}@H)`t1=9Ila_f`X;K=-5)o=e z9?UZ+%OlnuP55QRJ3r!XY8m~Ad#}@kU_1PM8^`N7=QIN? z%s^!cE*VQO{%2PixTv~-MrknQg#O&!-*|BOd$swtD)P+<7)|Kh&+rR)rBN8qbP`A> z;YbqW+4D38j#5##GJI@RLq`FIEaBRtd_Mx@Ix*o>O4)d!HW1!vw!G*wv2Z}oH&ue+?FQ}<-1WoLS-T0!fAY}iH{l6d2>@I3Y^!{8*BR!Rb*Zz z9)ilOHqkQP(8*)=Z}@gR?NcmP@OM>H&<9H!e(c9L<@Y5&o4ubm6RZloJ`2;J$4`b` zjXs?xj=a94iha*G-aVd6&Xa`za;8uMRA>wZ_S^p zvI^Te*~(=US^E&#L;Awh7P?9qPDjUkc$J`*w;|8&BQ1j*QGn|B{)_u0(vvIe7xvok zwV{X&U1)l)D@pYu>@lBlpXoDL?=$$BK7&m@gLOV*nS4fhvZ&9{NeACOtg#tI6d5$# zzoY4CHF2GgUFMHPE0a-FE0fWE$vj*2ke)ELgsx78qtW3WTqCH(m9D*|Sd7Y3$7PFo eoao7g_3L`AcPbf-t^u)5k?a(Ib^ZreauG0001Zob6p*bE7yGeNKLb$}ihpmFWQfo~n7kASZNVpaCb7d7&JK zB~reraT$>dg$;~z6}6VH;nF_}*$quB`= zP41E*xm}EhXr2))VlciTllgr-C9}!x{I9_@W=ZK4_-its5xwVmak0B#31}|O} z#d8kE-^gS!e_YJTZ8C~yL9n1X?!w*7?ZHoVX zoW`@+=o^_WuKyVi=d6VJgt-O4JeWi7v3U8{1;p`UMl7pxeEerTogtb2b9}TR%hBy(G>X!EXuL>&ZGTcoXORFN zTD4A;#;J-G16PB1%A(mD4F5$m5_~3?@in15u%N)StZ2M=xQ z+ZjXG3iAdfW9V9r6`WI3P(D~fOSi2*s2O#xB9tYTi8}pW)X@W)`o7*io}nL5|EC!| z-4D@1JRHYi<^UvklI2{0KV~I$6zqVKtzQL^?l^9!hs$;Zp%2#r zb_QfR^YsuAj6&T%qmU&kTZBZ!PZoX0n>oK8Qu z5?f6@)SIEJZni37Q($iJsqa9anUQBX8yvzye^MWKqlv9uTMy0=4YAn`&mmTHue+ga z!~U|tV-M=3E8wB~98>q7TIyM8)yo{biA%fTI$i6mpN7YUVOVZt(+g@ZgYLq$q1S09 z?zls=8$s4(b(q_69lA7Q)LCvvcfLfKLh{kJ%6Q>JVV-V2lMOm$EeA@3;Tw@*y9QKb zU08wZgYB0k?HK`?HN$PaAG(o`fzHUcO!lcwkie!QN{d{Nf(ZD!pxA=2 z%J{~5^U?>svC+dY_mF#_M&qOGWf>%6?s%~uyQ+}sS!r!X2&uk2U;Fk82R_w z$^`#;p^r*UowNh<7HpbvZrLVe$h2&8CXS21xpaskk6OmHWz+|et%t@r#97zn!(cXp zd+9_v1f>I#5ab--V~T59U5k%+xo2gyTcBF_!1a5)(OSjLl^m~`M;kfE!H@n)>R zl&V=lSSF9jcm&hK<0JUvXrdAnX}2Hp3`Z>W2rmNAP~{*Pq2M$V+CV$cQizU@pyM;$ zUc;milc0e-34K30vV!Q$2Jy3(*FgdkoqVeAUaseJ062^Slz3#{(tvb>>sidR(LObN zKgORV*T{r7(sGu~!zL^X;`K%0m4{`60D*u)tfC1h>j3BgGt|T?ff!tA3N&61xSk4Z zS)Yyqj~b{sdeDokkovtn0|Di zK+E~Eehm!b0B3Gq=ed_KmxMtxn`T(iQl3~sU_;LYHoc5M9WXI8h%U-Y*DIfc!Jc>_4r`C@(&5YCxvO$IVSx*4Lm-Twtj~mv>dM=t{9cKL0}7w zPl3+tYqd4dfyKDK(5<;nwd3t zE<-XSxy&`DbR8>X6IJf{$4#tPfz%BV>$ME)8{4wJEh+1@lJzC)cf)$MFzegQD9(Ecp5`}! z){2Pttqkv#t$E*+l=rQY_a*Ol!~14&-Z%Had*jNm;cCl*@QAuyGH#JdGM>#v+;0<~ zo-yk494R?&2jj_NK7-N|RT!iEs%Nx7)<=D9V%T5g*8%S2cl20Q4pP0qah2$X?aS|W z>g9n-E(aYw=(#CuI;I8qv4uu9U#*d?!W#L^BT%sdZq&LqS2E#)4Pa4L?KF|xX|I<% zRgqNhRPFEt?=Y8P!SPxtc<)m1+QlFKDmzs?P&FoJ!ZjQif3uQT6-i!o3(2d>DtYz8 zlf1)xA`Ol=OUZkel7~W8RP(C4)jXB!nQ*@fOn0)HR}*PoZ41q7$f|j*!_&O>foh(n zl$!TGHLv(yUKEO5YM#dROt?1}?w7KfR~KnseGAQ#c9Xt(aGIwb=D`ARyjp7B`_#M_ zY-E1s0K-c)ugdjIcnV3adWacj>U z-gv3z)wrIC&s*1Xn%5L*UULi0lXb~fYaX8F9p;V`aJ*e=-uu+N;v3kt-LBe#tT8=n z2{o^g)4Y~Q^IBVIo~$QRs>;D>UiC0vl>v@xrRKd)&3nP-{bvsC{9NuOg6;nLL z%k0B9g%dn=b3{Kcchacc!3FRC{k~SU_=fgZpI^H$jDp)*S*td`o2E%Bh9~4tWyMQ(X9CX<7ZZB-+*RqnCOY$ zv!-OGg2MF;RlIqx;5!+lIh$P)NIC+&U_a}t4>j+KLBNxWDcl#KWnNHf3U@`Q;w8d@ z43g%QbIBmt7^Er18T9Jo!8>D+3j7f6rqnVo`!t1nDf#B0*D|QQS1K8_i9s*eYq$5C zCE!WL6rRD*GB3_Fg(omH@u{EexYQGpGvu5FXsrGOwUC#m6?q zn_CMqNSaejC4;16&_XsSX6iI>m|GN^nMS2Ac5gI=(! zWbe=7fF~7G_?9Fs^IAkx5izJLepO0A21#?mqGXVC40^#Hg;#%pz-t(EpTyI_ba?-b z+{4|^G`<76#M7vCUHKJj1A>eP5AlpM2!ADx>-Qi+?;H7Lqh+%{7;ewAY}_$p1%?ap zOU)C)Dm)=wADjr>zHiVI;z8^NS5A0N11mToEHEL}#jiGcO1`iHE5stOzeC;gkOpkY ziJ`l;l*H2D>WI~}?3$EIVfr0Zt5>@t5sh=&)1!2^(1(iWLr}193B%n&l@n+rez#XZ zoq#1{$`?w#%16$&JSNTDdiCBRX-WWz*3OCT-Z9}Sy>Cz&xjEeaL6Lzx%bQ1OK&i?A zijsTcw0}?(2|&S}LzxHJdk008L1^*NNoIX)|De=l07cC$yYC+qRRU02xm~Gy$D}0z zB=xnoXZ{=%pPsEj(&_saT6PRgT>@y9FQ+OxGQSx(suV*}b^WzmvY;cw>;<>T=U?C> z3pz4Pc-8j$t3G5wM~ZtSZT+PHlAyzvdiRY^ef{{bEa=EEW@)YUgNCx8Bg14zYv#Tt z;OEfrr=0)en@Sn(pK#^vYtd&iZ-R;pH&D2%>}%1f-uG0001ZoaI2xecxY^>GQ6kSo^UP<7mO1I@MyWu0AmVLdi;@ zhS1&4?2q3_D}q3WeH3^hIrrq=oSd7NptCxTAc-YzhowKLZuqU4fs}0=kn_LNJ>vUxfv3n1(cC0;CcIb7+1+jw%cCv9(^z>Z2?sJOz8xT#461n!<2a1PIu;1Njt?-YOJZM`8{)?l zKT3KIs9u=s@Qc~~jdnhNGL6}MQGKk*LRhV_mi+N_UQ0g<{#$288*n4*>uG0001Zob8%RbK*DGwYon^?RH1478+!mEhX!x99<5skk;#If9k5* zL;X0%)Q4ue$*7-`EN!$}=rJoODi}st^iGdI7z!~X>lEc#7PBUbvo#4&RHOlKW{9is z(-39(6Q+n|QU2YhScu9I{7;fI)E~Jn+W0h2p`%p82k%tLo_@MTS&?sw97QC?3`I0c zq%=Y>2Z}O^*BMFIV)P|RgSZI!#}Gf00HY1f*3?fDF3P|nk`%FUMe4iSPa{lcEDMOA z;}C^eP$W3bWoH;lQkW}|AGuHXWkWGz@fNXS^)C)`p&`$NSpY6!LUoX(A(3!OlS{0w zvS*!)jo3Us8HC_@Fjv-rjMEU>J|RWUt8ifmtM3IAi%sweAw@M>YNw^Ex{lP| zU+~p7l*TirjGvgFjf>K0#Pv)I*w6(-j-%W(E}|liy|O27eJKCzU90G}AmFxcw2^AH zG_D_xzuYb=#{X_2h3upD&ph80(LAl|9pH*&{#(|*1~ zjU)~63p8t1r)%hju5|@Vt>s*aygicTxV#{ygO$;m^|1s`>u(cvAbL}(fw>P z^5&D}Y+yH$JF~s{<9PAKo;&j<8V>B?5Tuj&;!ynH%$)^SF(2M}i@xjjZ-@33pKtu(=v{v4*a$8#a(lCQSyDnc`( zffr|i3=u#YA`@&|Oug>vdKE^OhTcToCIa;E!@T17e^rz^pxQk{l8{Wbq|~-vQ__1# zxl_-WB&JSVFFAFrZVeQix;yO*N`kW5Rtd`L=rvFVfYQ&PB&fEvJ9(Y@(Nh7GaRyaJ zD9bECnO*fYC=EjSop}Z&K^a!{#520JN1kQ^sP-9@1f_SXV6Jy-!Ccb-lywFrL1~>T zm}|XSFz*7V&Y4r0X;z`USBvCrq>z;5$8nn3pKFwEmotaA{Eumw5X^Z%H&qB$npuxi zsswgqmT>OBGY*yDv%jQ?Nn-(M0RB6aI(mZwq7DLjasJcCX>a7w73^<7>xRZ+2npXmRc$fpJ$V$ zyZf|bfYY4hTTiU~YXaO?o@M)KfOqYR_$PhCXZ)6qxc+c^ckkVUlj!HO9~X5c9HVk0 zF2i_)UwB|z`6(iqS1{;Q!c;0nRd>+{s-UeJ6J*H-euoDlKlS774_sY8hzrd!ElX<~ zJ)_nmqoKIGV3zVEYu> z(i`>W*C7kJo^>4Is&z;tSiM}oB=Ku1`bSg_R8}K`WaF)IifMU=`|zivhhnm#KHc($?-~6D5*$T1$jLL_Z + + + inst5 + + + inst6 + + + inst3 + + + inst4 + + + inst2 + + + inst7 + + + inst8 + + + inst + + + + + + + inst5 + + + inst6 + + + inst3 + + + inst4 + + + inst2 + + + inst7 + + + inst8 + + + inst + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/register_8b/register_8b.asm.rpt b/register_8b/register_8b.asm.rpt new file mode 100644 index 0000000..f1a493e --- /dev/null +++ b/register_8b/register_8b.asm.rpt @@ -0,0 +1,129 @@ +Assembler report for register_8b +Mon Mar 07 09:09:56 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: D:/projects/quartus/register_8b/register_8b.sof + 6. Assembler Device Options: D:/projects/quartus/register_8b/register_8b.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Mon Mar 07 09:09:56 2022 ; +; Revision Name ; register_8b ; +; Top-level Entity Name ; register_8b ; +; Family ; Cyclone II ; +; Device ; EP2C8Q208C8 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Generate compressed bitstreams ; On ; On ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; Off ; Off ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Maintain Compatibility with All Cyclone II M4K Versions ; On ; On ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++-------------------------------------------------+ +; Assembler Generated Files ; ++-------------------------------------------------+ +; File Name ; ++-------------------------------------------------+ +; D:/projects/quartus/register_8b/register_8b.sof ; +; D:/projects/quartus/register_8b/register_8b.pof ; ++-------------------------------------------------+ + + ++---------------------------------------------------------------------------+ +; Assembler Device Options: D:/projects/quartus/register_8b/register_8b.sof ; ++----------------+----------------------------------------------------------+ +; Option ; Setting ; ++----------------+----------------------------------------------------------+ +; Device ; EP2C8Q208C8 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x000C5E44 ; ++----------------+----------------------------------------------------------+ + + ++---------------------------------------------------------------------------+ +; Assembler Device Options: D:/projects/quartus/register_8b/register_8b.pof ; ++--------------------+------------------------------------------------------+ +; Option ; Setting ; ++--------------------+------------------------------------------------------+ +; Device ; EPCS4 ; +; JTAG usercode ; 0x00000000 ; +; Checksum ; 0x06F0F18E ; +; Compression Ratio ; 3 ; ++--------------------+------------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II Assembler + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 09:09:56 2022 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off register_8b -c register_8b +Info: Writing out detailed assembly data for power analysis +Info: Assembler is generating device programming files +Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled +Info: Quartus II Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 241 megabytes + Info: Processing ended: Mon Mar 07 09:09:56 2022 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/register_8b/register_8b.bdf b/register_8b/register_8b.bdf new file mode 100644 index 0000000..58d2990 --- /dev/null +++ b/register_8b/register_8b.bdf @@ -0,0 +1,1004 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +//#pragma file_not_in_maxplusii_format +(header "graphic" (version "1.3")) +(pin + (input) + (rect 32 40 200 56) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "CP" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 32 8 200 24) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "CLR" (rect 5 0 27 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 32 80 200 96) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "D7" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 32 176 200 192) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "D6" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 32 272 200 288) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "D5" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 32 368 200 384) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "D4" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 32 464 200 480) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "D3" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 32 560 200 576) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "D2" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 32 656 200 672) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "D1" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 32 752 200 768) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "D0" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 464 80 640 96) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q7" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 464 176 640 192) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q6" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 464 272 640 288) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q5" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 464 368 640 384) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q4" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 464 464 640 480) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q3" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 464 560 640 576) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q2" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 464 656 640 672) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q1" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 464 752 640 768) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q0" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(symbol + (rect 344 64 408 144) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 68 20 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)(line_width 1)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 12 12)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 52 68)(line_width 1)) + (line (pt 52 68)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 12 12)(line_width 1)) + (line (pt 19 40)(pt 12 47)(line_width 1)) + (line (pt 12 32)(pt 20 40)(line_width 1)) + (circle (rect 28 4 36 12)(line_width 1)) + (circle (rect 28 68 36 76)(line_width 1)) + ) +) +(symbol + (rect 344 160 408 240) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 68 26 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)(line_width 1)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 12 12)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 52 68)(line_width 1)) + (line (pt 52 68)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 12 12)(line_width 1)) + (line (pt 19 40)(pt 12 47)(line_width 1)) + (line (pt 12 32)(pt 20 40)(line_width 1)) + (circle (rect 28 4 36 12)(line_width 1)) + (circle (rect 28 68 36 76)(line_width 1)) + ) +) +(symbol + (rect 344 256 408 336) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 68 26 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)(line_width 1)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 12 12)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 52 68)(line_width 1)) + (line (pt 52 68)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 12 12)(line_width 1)) + (line (pt 19 40)(pt 12 47)(line_width 1)) + (line (pt 12 32)(pt 20 40)(line_width 1)) + (circle (rect 28 4 36 12)(line_width 1)) + (circle (rect 28 68 36 76)(line_width 1)) + ) +) +(symbol + (rect 344 352 408 432) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 68 26 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)(line_width 1)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 12 12)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 52 68)(line_width 1)) + (line (pt 52 68)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 12 12)(line_width 1)) + (line (pt 19 40)(pt 12 47)(line_width 1)) + (line (pt 12 32)(pt 20 40)(line_width 1)) + (circle (rect 28 4 36 12)(line_width 1)) + (circle (rect 28 68 36 76)(line_width 1)) + ) +) +(symbol + (rect 344 448 408 528) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 68 26 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)(line_width 1)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 12 12)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 52 68)(line_width 1)) + (line (pt 52 68)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 12 12)(line_width 1)) + (line (pt 19 40)(pt 12 47)(line_width 1)) + (line (pt 12 32)(pt 20 40)(line_width 1)) + (circle (rect 28 4 36 12)(line_width 1)) + (circle (rect 28 68 36 76)(line_width 1)) + ) +) +(symbol + (rect 344 544 408 624) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 68 26 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)(line_width 1)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 12 12)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 52 68)(line_width 1)) + (line (pt 52 68)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 12 12)(line_width 1)) + (line (pt 19 40)(pt 12 47)(line_width 1)) + (line (pt 12 32)(pt 20 40)(line_width 1)) + (circle (rect 28 4 36 12)(line_width 1)) + (circle (rect 28 68 36 76)(line_width 1)) + ) +) +(symbol + (rect 344 640 408 720) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 68 26 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)(line_width 1)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 12 12)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 52 68)(line_width 1)) + (line (pt 52 68)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 12 12)(line_width 1)) + (line (pt 19 40)(pt 12 47)(line_width 1)) + (line (pt 12 32)(pt 20 40)(line_width 1)) + (circle (rect 28 4 36 12)(line_width 1)) + (circle (rect 28 68 36 76)(line_width 1)) + ) +) +(symbol + (rect 344 736 408 816) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst8" (rect 3 68 26 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)(line_width 1)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 12 12)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 52 68)(line_width 1)) + (line (pt 52 68)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 12 12)(line_width 1)) + (line (pt 19 40)(pt 12 47)(line_width 1)) + (line (pt 12 32)(pt 20 40)(line_width 1)) + (circle (rect 28 4 36 12)(line_width 1)) + (circle (rect 28 68 36 76)(line_width 1)) + ) +) +(connector + (pt 200 88) + (pt 344 88) +) +(connector + (pt 408 88) + (pt 464 88) +) +(connector + (pt 200 184) + (pt 344 184) +) +(connector + (pt 408 184) + (pt 464 184) +) +(connector + (pt 200 280) + (pt 344 280) +) +(connector + (pt 408 280) + (pt 464 280) +) +(connector + (pt 200 376) + (pt 344 376) +) +(connector + (pt 408 376) + (pt 464 376) +) +(connector + (pt 200 472) + (pt 344 472) +) +(connector + (pt 408 472) + (pt 464 472) +) +(connector + (pt 200 568) + (pt 344 568) +) +(connector + (pt 408 568) + (pt 464 568) +) +(connector + (pt 200 664) + (pt 344 664) +) +(connector + (pt 408 664) + (pt 464 664) +) +(connector + (pt 200 760) + (pt 344 760) +) +(connector + (pt 408 760) + (pt 464 760) +) +(connector + (pt 200 48) + (pt 248 48) +) +(connector + (pt 248 776) + (pt 344 776) +) +(connector + (pt 344 680) + (pt 248 680) +) +(connector + (pt 344 584) + (pt 248 584) +) +(connector + (pt 344 488) + (pt 248 488) +) +(connector + (pt 344 392) + (pt 248 392) +) +(connector + (pt 344 296) + (pt 248 296) +) +(connector + (pt 344 200) + (pt 248 200) +) +(connector + (pt 344 104) + (pt 248 104) +) +(connector + (pt 376 728) + (pt 280 728) +) +(connector + (pt 376 152) + (pt 280 152) +) +(connector + (pt 376 632) + (pt 280 632) +) +(connector + (pt 376 536) + (pt 280 536) +) +(connector + (pt 376 440) + (pt 280 440) +) +(connector + (pt 376 248) + (pt 280 248) +) +(connector + (pt 376 816) + (pt 376 832) +) +(connector + (pt 280 832) + (pt 376 832) +) +(connector + (pt 376 344) + (pt 280 344) +) +(connector + (pt 200 16) + (pt 376 16) +) +(connector + (pt 280 40) + (pt 376 40) +) +(connector + (pt 248 680) + (pt 248 776) +) +(connector + (pt 248 584) + (pt 248 680) +) +(connector + (pt 248 488) + (pt 248 584) +) +(connector + (pt 248 392) + (pt 248 488) +) +(connector + (pt 248 48) + (pt 248 104) +) +(connector + (pt 248 104) + (pt 248 200) +) +(connector + (pt 248 200) + (pt 248 296) +) +(connector + (pt 248 296) + (pt 248 392) +) +(connector + (pt 376 720) + (pt 376 728) +) +(connector + (pt 376 728) + (pt 376 736) +) +(connector + (pt 376 144) + (pt 376 152) +) +(connector + (pt 376 152) + (pt 376 160) +) +(connector + (pt 376 624) + (pt 376 632) +) +(connector + (pt 376 632) + (pt 376 640) +) +(connector + (pt 376 528) + (pt 376 536) +) +(connector + (pt 376 536) + (pt 376 544) +) +(connector + (pt 376 432) + (pt 376 440) +) +(connector + (pt 376 440) + (pt 376 448) +) +(connector + (pt 376 240) + (pt 376 248) +) +(connector + (pt 376 248) + (pt 376 256) +) +(connector + (pt 280 632) + (pt 280 728) +) +(connector + (pt 280 728) + (pt 280 832) +) +(connector + (pt 376 336) + (pt 376 344) +) +(connector + (pt 376 344) + (pt 376 352) +) +(connector + (pt 280 440) + (pt 280 536) +) +(connector + (pt 280 536) + (pt 280 632) +) +(connector + (pt 280 40) + (pt 280 152) +) +(connector + (pt 280 152) + (pt 280 248) +) +(connector + (pt 280 248) + (pt 280 344) +) +(connector + (pt 280 344) + (pt 280 440) +) +(connector + (pt 376 16) + (pt 376 40) +) +(connector + (pt 376 40) + (pt 376 64) +) +(junction (pt 248 680)) +(junction (pt 248 584)) +(junction (pt 248 488)) +(junction (pt 248 392)) +(junction (pt 248 296)) +(junction (pt 248 104)) +(junction (pt 248 200)) +(junction (pt 376 728)) +(junction (pt 376 152)) +(junction (pt 376 632)) +(junction (pt 376 536)) +(junction (pt 376 440)) +(junction (pt 376 248)) +(junction (pt 280 632)) +(junction (pt 280 536)) +(junction (pt 280 728)) +(junction (pt 376 344)) +(junction (pt 280 440)) +(junction (pt 280 344)) +(junction (pt 280 152)) +(junction (pt 280 248)) +(junction (pt 376 40)) diff --git a/register_8b/register_8b.done b/register_8b/register_8b.done new file mode 100644 index 0000000..3113f2a --- /dev/null +++ b/register_8b/register_8b.done @@ -0,0 +1 @@ +Mon Mar 07 09:09:58 2022 diff --git a/register_8b/register_8b.fit.rpt b/register_8b/register_8b.fit.rpt new file mode 100644 index 0000000..3f251e1 --- /dev/null +++ b/register_8b/register_8b.fit.rpt @@ -0,0 +1,952 @@ +Fitter report for register_8b +Mon Mar 07 09:09:55 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Input Pins + 11. Output Pins + 12. I/O Bank Usage + 13. All Package Pins + 14. Output Pin Default Load For Reported TCO + 15. Fitter Resource Utilization by Entity + 16. Delay Chain Summary + 17. Pad To Core Delay Chain Fanout + 18. Control Signals + 19. Global & Other Fast Signals + 20. Non-Global High Fan-Out Signals + 21. Interconnect Usage Summary + 22. LAB Logic Elements + 23. LAB-wide Signals + 24. LAB Signals Sourced + 25. LAB Signals Sourced Out + 26. LAB Distinct Inputs + 27. Fitter Device Options + 28. Operating Settings and Conditions + 29. Estimated Delay Added for Hold Timing + 30. Advanced Data - General + 31. Advanced Data - Placement Preparation + 32. Advanced Data - Placement + 33. Advanced Data - Routing + 34. Fitter Messages + 35. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+----------------------------------------------+ +; Fitter Status ; Successful - Mon Mar 07 09:09:55 2022 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; register_8b ; +; Top-level Entity Name ; register_8b ; +; Family ; Cyclone II ; +; Device ; EP2C8Q208C8 ; +; Timing Models ; Final ; +; Total logic elements ; 8 / 8,256 ( < 1 % ) ; +; Total combinational functions ; 0 / 8,256 ( 0 % ) ; +; Dedicated logic registers ; 8 / 8,256 ( < 1 % ) ; +; Total registers ; 8 ; +; Total pins ; 18 / 138 ( 13 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; Total PLLs ; 0 / 2 ( 0 % ) ; ++------------------------------------+----------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP2C8Q208C8 ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Use TimeQuest Timing Analyzer ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Always Enable Input Buffers ; Off ; Off ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; Off ; Off ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; On ; On ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Merge PLLs ; On ; On ; +; Ignore PLL Mode When Merging PLLs ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Stop After Congestion Map Generation ; Off ; Off ; +; Save Intermediate Fitting Results ; Off ; Off ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2-4 processors ; < 0.1% ; ++----------------------------+-------------+ + + ++----------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++-------------------------+--------------------+ +; Type ; Value ; ++-------------------------+--------------------+ +; Placement ; ; +; -- Requested ; 0 / 26 ( 0.00 % ) ; +; -- Achieved ; 0 / 26 ( 0.00 % ) ; +; ; ; +; Routing (by Connection) ; ; +; -- Requested ; 0 / 0 ( 0.00 % ) ; +; -- Achieved ; 0 / 0 ( 0.00 % ) ; ++-------------------------+--------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ + + ++--------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Top ; 26 ; 0 ; N/A ; Source File ; ++----------------+---------+-------------------+-------------------------+-------------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in D:/projects/quartus/register_8b/register_8b.pin. + + ++-------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+---------------------+ +; Resource ; Usage ; ++---------------------------------------------+---------------------+ +; Total logic elements ; 8 / 8,256 ( < 1 % ) ; +; -- Combinational with no register ; 0 ; +; -- Register only ; 8 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 0 ; +; -- Register only ; 8 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 0 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 8 / 8,646 ( < 1 % ) ; +; -- Dedicated logic registers ; 8 / 8,256 ( < 1 % ) ; +; -- I/O registers ; 0 / 390 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 8 / 516 ( 2 % ) ; +; User inserted logic elements ; 0 ; +; Virtual pins ; 0 ; +; I/O pins ; 18 / 138 ( 13 % ) ; +; -- Clock pins ; 2 / 4 ( 50 % ) ; +; Global signals ; 2 ; +; M4Ks ; 0 / 36 ( 0 % ) ; +; Total block memory bits ; 0 / 165,888 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; PLLs ; 0 / 2 ( 0 % ) ; +; Global clocks ; 2 / 8 ( 25 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; ASMI blocks ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Maximum fan-out node ; CLR~clkctrl ; +; Maximum fan-out ; 8 ; +; Highest non-global fan-out signal ; inst ; +; Highest non-global fan-out ; 1 ; +; Total fan-out ; 39 ; +; Average fan-out ; 1.08 ; ++---------------------------------------------+---------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; CLR ; 24 ; 1 ; 0 ; 9 ; 1 ; 1 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; CP ; 23 ; 1 ; 0 ; 9 ; 0 ; 1 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; D0 ; 205 ; 2 ; 1 ; 19 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; D1 ; 28 ; 1 ; 0 ; 9 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; D2 ; 27 ; 1 ; 0 ; 9 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; D3 ; 96 ; 4 ; 30 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; D4 ; 15 ; 1 ; 0 ; 14 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; D5 ; 68 ; 4 ; 12 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; D6 ; 34 ; 1 ; 0 ; 7 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; D7 ; 48 ; 1 ; 0 ; 2 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; ++------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; ++------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ +; Q0 ; 45 ; 1 ; 0 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Q1 ; 14 ; 1 ; 0 ; 14 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Q2 ; 188 ; 2 ; 12 ; 19 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Q3 ; 147 ; 3 ; 34 ; 15 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Q4 ; 145 ; 3 ; 34 ; 14 ; 4 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Q5 ; 47 ; 1 ; 0 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Q6 ; 74 ; 4 ; 16 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Q7 ; 56 ; 4 ; 1 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; ++------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1 ; 12 / 32 ( 38 % ) ; 3.3V ; -- ; +; 2 ; 2 / 35 ( 6 % ) ; 3.3V ; -- ; +; 3 ; 3 / 35 ( 9 % ) ; 3.3V ; -- ; +; 4 ; 4 / 36 ( 11 % ) ; 3.3V ; -- ; ++----------+------------------+---------------+--------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; 1 ; 0 ; 1 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; 2 ; 1 ; 1 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; 3 ; 2 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 4 ; 3 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 5 ; 4 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 6 ; 5 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 7 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 8 ; 6 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 10 ; 7 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 11 ; 8 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 12 ; 9 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 13 ; 10 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 14 ; 18 ; 1 ; Q1 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 15 ; 19 ; 1 ; D4 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 16 ; 20 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; 17 ; 21 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; 18 ; 22 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; 19 ; 23 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; 20 ; 24 ; 1 ; ^DATA0 ; input ; ; ; -- ; ; -- ; -- ; +; 21 ; 25 ; 1 ; ^DCLK ; ; ; ; -- ; ; -- ; -- ; +; 22 ; 26 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; +; 23 ; 27 ; 1 ; CP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 24 ; 28 ; 1 ; CLR ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 25 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 26 ; 29 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; +; 27 ; 30 ; 1 ; D2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 28 ; 31 ; 1 ; D1 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 29 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 30 ; 32 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 31 ; 33 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 32 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 33 ; 35 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 34 ; 36 ; 1 ; D6 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 35 ; 37 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 36 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 37 ; 39 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 38 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 39 ; 43 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 40 ; 44 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 41 ; 45 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 42 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 43 ; 48 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 44 ; 49 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 45 ; 50 ; 1 ; Q0 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 46 ; 51 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 47 ; 52 ; 1 ; Q5 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 48 ; 53 ; 1 ; D7 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 49 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 50 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 51 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 52 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 53 ; ; ; VCCA_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 54 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 55 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 56 ; 54 ; 4 ; Q7 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 57 ; 55 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 58 ; 56 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 59 ; 57 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 60 ; 58 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 61 ; 59 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 62 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 63 ; 60 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 64 ; 61 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 65 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 66 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 67 ; 69 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 68 ; 70 ; 4 ; D5 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 69 ; 71 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 70 ; 74 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 71 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 72 ; 75 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 73 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 74 ; 76 ; 4 ; Q6 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 75 ; 77 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 76 ; 78 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 77 ; 79 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 78 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 79 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 80 ; 82 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 81 ; 83 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 82 ; 84 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 83 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 84 ; 85 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 85 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 86 ; 86 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 87 ; 87 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 88 ; 88 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 89 ; 89 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 90 ; 90 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 91 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 92 ; 91 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 93 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 94 ; 92 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 95 ; 93 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 96 ; 94 ; 4 ; D3 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 97 ; 95 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 98 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 99 ; 96 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 100 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 101 ; 97 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 102 ; 98 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 103 ; 99 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 104 ; 100 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 105 ; 101 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 106 ; 102 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 107 ; 105 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 108 ; 106 ; 3 ; ~LVDS54p/nCEO~ ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 109 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 110 ; 107 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 111 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 112 ; 108 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 113 ; 109 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 114 ; 110 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 115 ; 112 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 116 ; 113 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 117 ; 114 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 118 ; 117 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 119 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 120 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 121 ; 121 ; 3 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; +; 122 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 123 ; 122 ; 3 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; +; 124 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 125 ; 123 ; 3 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; +; 126 ; 124 ; 3 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; +; 127 ; 125 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 128 ; 126 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 129 ; 127 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 130 ; 128 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 131 ; 129 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 132 ; 130 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 133 ; 131 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 134 ; 132 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 135 ; 133 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 136 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 137 ; 134 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 138 ; 135 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 139 ; 136 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 141 ; 137 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 142 ; 138 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 143 ; 141 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 144 ; 142 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 145 ; 143 ; 3 ; Q4 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 146 ; 149 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 147 ; 150 ; 3 ; Q3 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 148 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 149 ; 151 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 150 ; 152 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 151 ; 153 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 152 ; 154 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 153 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 154 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 155 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 156 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 157 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 158 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 159 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 160 ; 155 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 161 ; 156 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 162 ; 157 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 163 ; 158 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 164 ; 159 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 165 ; 160 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 166 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 167 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 168 ; 161 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 169 ; 162 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 170 ; 163 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 171 ; 164 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 172 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 173 ; 165 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 174 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 175 ; 168 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 176 ; 169 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 177 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 178 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 179 ; 173 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 180 ; 174 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 181 ; 175 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 182 ; 176 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 183 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 184 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 185 ; 180 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 186 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 187 ; 181 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 188 ; 182 ; 2 ; Q2 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 189 ; 183 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 190 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 191 ; 184 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 192 ; 185 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 193 ; 186 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 194 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 195 ; 187 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 196 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 197 ; 191 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 198 ; 192 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 199 ; 195 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 200 ; 196 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 201 ; 197 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 202 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 203 ; 198 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 204 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 205 ; 199 ; 2 ; D0 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 206 ; 200 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 207 ; 201 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 208 ; 202 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 0 pF ; Not Available ; +; 3.3-V LVCMOS ; 0 pF ; Not Available ; +; 2.5 V ; 0 pF ; Not Available ; +; 1.8 V ; 0 pF ; Not Available ; +; 1.5 V ; 0 pF ; Not Available ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 10 pF ; 25 Ohm (Parallel) ; +; SSTL-2 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; Differential SSTL-2 ; 0 pF ; (See SSTL-2) ; +; Differential 2.5-V SSTL Class II ; 0 pF ; (See SSTL-2 Class II) ; +; Differential 1.8-V SSTL Class I ; 0 pF ; (See 1.8-V SSTL Class I) ; +; Differential 1.8-V SSTL Class II ; 0 pF ; (See 1.8-V SSTL Class II) ; +; Differential 1.5-V HSTL Class I ; 0 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.5-V HSTL Class II ; 0 pF ; (See 1.5-V HSTL Class II) ; +; Differential 1.8-V HSTL Class I ; 0 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 0 pF ; (See 1.8-V HSTL Class II) ; +; LVDS ; 0 pF ; 100 Ohm (Differential) ; +; mini-LVDS ; 0 pF ; 100 Ohm (Differential) ; +; RSDS ; 0 pF ; 100 Ohm (Differential) ; +; Simple RSDS ; 0 pF ; Not Available ; +; Differential LVPECL ; 0 pF ; 100 Ohm (Differential) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M4Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+--------------+ +; |register_8b ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 ; 0 ; 0 (0) ; 8 (8) ; 0 (0) ; |register_8b ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++------+----------+---------------+---------------+-----------------------+-----+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; ++------+----------+---------------+---------------+-----------------------+-----+ +; Q7 ; Output ; -- ; -- ; -- ; -- ; +; Q6 ; Output ; -- ; -- ; -- ; -- ; +; Q5 ; Output ; -- ; -- ; -- ; -- ; +; Q4 ; Output ; -- ; -- ; -- ; -- ; +; Q3 ; Output ; -- ; -- ; -- ; -- ; +; Q2 ; Output ; -- ; -- ; -- ; -- ; +; Q1 ; Output ; -- ; -- ; -- ; -- ; +; Q0 ; Output ; -- ; -- ; -- ; -- ; +; D7 ; Input ; 6 ; 6 ; -- ; -- ; +; CP ; Input ; 0 ; 0 ; -- ; -- ; +; CLR ; Input ; 0 ; 0 ; -- ; -- ; +; D6 ; Input ; 6 ; 6 ; -- ; -- ; +; D5 ; Input ; 6 ; 6 ; -- ; -- ; +; D4 ; Input ; 6 ; 6 ; -- ; -- ; +; D3 ; Input ; 6 ; 6 ; -- ; -- ; +; D2 ; Input ; 0 ; 0 ; -- ; -- ; +; D1 ; Input ; 0 ; 0 ; -- ; -- ; +; D0 ; Input ; 6 ; 6 ; -- ; -- ; ++------+----------+---------------+---------------+-----------------------+-----+ + + ++---------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++---------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++---------------------+-------------------+---------+ +; D7 ; ; ; +; - inst~feeder ; 1 ; 6 ; +; CP ; ; ; +; CLR ; ; ; +; D6 ; ; ; +; - inst2~feeder ; 0 ; 6 ; +; D5 ; ; ; +; - inst3 ; 0 ; 6 ; +; D4 ; ; ; +; - inst4~feeder ; 1 ; 6 ; +; D3 ; ; ; +; - inst5 ; 0 ; 6 ; +; D2 ; ; ; +; D1 ; ; ; +; D0 ; ; ; +; - inst8~feeder ; 0 ; 6 ; ++---------------------+-------------------+---------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++------+----------+---------+--------------+--------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++------+----------+---------+--------------+--------+----------------------+------------------+---------------------------+ +; CLR ; PIN_24 ; 8 ; Async. clear ; yes ; Global Clock ; GCLK1 ; -- ; +; CP ; PIN_23 ; 8 ; Clock ; yes ; Global Clock ; GCLK2 ; -- ; ++------+----------+---------+--------------+--------+----------------------+------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++------+----------+---------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++------+----------+---------+----------------------+------------------+---------------------------+ +; CLR ; PIN_24 ; 8 ; Global Clock ; GCLK1 ; -- ; +; CP ; PIN_23 ; 8 ; Global Clock ; GCLK2 ; -- ; ++------+----------+---------+----------------------+------------------+---------------------------+ + + ++---------------------------------+ +; Non-Global High Fan-Out Signals ; ++-------+-------------------------+ +; Name ; Fan-Out ; ++-------+-------------------------+ +; D0 ; 1 ; +; D1 ; 1 ; +; D2 ; 1 ; +; D3 ; 1 ; +; D4 ; 1 ; +; D5 ; 1 ; +; D6 ; 1 ; +; D7 ; 1 ; +; inst8 ; 1 ; +; inst7 ; 1 ; +; inst6 ; 1 ; +; inst5 ; 1 ; +; inst4 ; 1 ; +; inst3 ; 1 ; +; inst2 ; 1 ; +; inst ; 1 ; ++-------+-------------------------+ + + ++----------------------------------------------------+ +; Interconnect Usage Summary ; ++----------------------------+-----------------------+ +; Interconnect Resource Type ; Usage ; ++----------------------------+-----------------------+ +; Block interconnects ; 16 / 26,052 ( < 1 % ) ; +; C16 interconnects ; 3 / 1,156 ( < 1 % ) ; +; C4 interconnects ; 11 / 17,952 ( < 1 % ) ; +; Direct links ; 2 / 26,052 ( < 1 % ) ; +; Global clocks ; 2 / 8 ( 25 % ) ; +; Local interconnects ; 0 / 8,256 ( 0 % ) ; +; R24 interconnects ; 3 / 1,020 ( < 1 % ) ; +; R4 interconnects ; 11 / 22,440 ( < 1 % ) ; ++----------------------------+-----------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 1.00) ; Number of LABs (Total = 8) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 8 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++------------------------------------------------------------------+ +; LAB-wide Signals ; ++------------------------------------+-----------------------------+ +; LAB-wide Signals (Average = 2.00) ; Number of LABs (Total = 8) ; ++------------------------------------+-----------------------------+ +; 1 Async. clear ; 8 ; +; 1 Clock ; 8 ; ++------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 1.63) ; Number of LABs (Total = 8) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 3 ; +; 2 ; 5 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 1.00) ; Number of LABs (Total = 8) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 8 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 3.00) ; Number of LABs (Total = 8) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 8 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Active Serial ; +; Error detection CRC ; Off ; +; nCEO ; As output driving ground ; +; ASDO,nCSO ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing ; ++-----------------+----------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++-----------------+----------------------+-------------------+ + + ++----------------------------+ +; Advanced Data - General ; ++--------------------+-------+ +; Name ; Value ; ++--------------------+-------+ +; Status Code ; 0 ; +; Desired User Slack ; 0 ; +; Fit Attempts ; 1 ; ++--------------------+-------+ + + ++-------------------------------------------------------------------------------+ +; Advanced Data - Placement Preparation ; ++------------------------------------------------------------------+------------+ +; Name ; Value ; ++------------------------------------------------------------------+------------+ +; Auto Fit Point 1 - Fit Attempt 1 ; ff ; +; Mid Wire Use - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Internal Atom Count - Fit Attempt 1 ; 9 ; +; LE/ALM Count - Fit Attempt 1 ; 9 ; +; LAB Count - Fit Attempt 1 ; 9 ; +; Outputs per Lab - Fit Attempt 1 ; 0.889 ; +; Inputs per LAB - Fit Attempt 1 ; 0.889 ; +; Global Inputs per LAB - Fit Attempt 1 ; 1.778 ; +; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1 ; 0:9 ; +; LAB Constraint 'non-global controls' - Fit Attempt 1 ; 0:9 ; +; LAB Constraint 'non-global + aclr' - Fit Attempt 1 ; 0:1;1:8 ; +; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1 ; 0:9 ; +; LAB Constraint 'global controls' - Fit Attempt 1 ; 0:1;2:8 ; +; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:9 ; +; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:9 ; +; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1 ; 0:1;1:8 ; +; LAB Constraint 'aclr constraint' - Fit Attempt 1 ; 0:1;1:8 ; +; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1 ; 0:9 ; +; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1 ; 0:9 ; +; LAB Constraint 'has placement constraint' - Fit Attempt 1 ; 0:9 ; +; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1 ; 0:1;1:8 ; +; LEs in Chains - Fit Attempt 1 ; 0 ; +; LEs in Long Chains - Fit Attempt 1 ; 0 ; +; LABs with Chains - Fit Attempt 1 ; 0 ; +; LABs with Multiple Chains - Fit Attempt 1 ; 0 ; +; Time - Fit Attempt 1 ; 0 ; ++------------------------------------------------------------------+------------+ + + ++-------------------------------------------------+ +; Advanced Data - Placement ; ++------------------------------------+------------+ +; Name ; Value ; ++------------------------------------+------------+ +; Auto Fit Point 2 - Fit Attempt 1 ; ff ; +; Auto Fit Point 5 - Fit Attempt 1 ; ff ; +; Mid Wire Use - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 5 - Fit Attempt 1 ; ff ; +; Mid Wire Use - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Late Wire Use - Fit Attempt 1 ; 0 ; +; Late Slack - Fit Attempt 1 ; 2147483639 ; +; Peak Regional Wire - Fit Attempt 1 ; 0.000 ; +; Auto Fit Point 7 - Fit Attempt 1 ; ff ; +; Time - Fit Attempt 1 ; 0 ; ++------------------------------------+------------+ + + ++--------------------------------------------------+ +; Advanced Data - Routing ; ++------------------------------------+-------------+ +; Name ; Value ; ++------------------------------------+-------------+ +; Early Wire Use - Fit Attempt 1 ; 0 ; +; Peak Regional Wire - Fit Attempt 1 ; 0 ; +; Early Slack - Fit Attempt 1 ; 2147483639 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Late Slack - Fit Attempt 1 ; -2147483648 ; +; Late Wire Use - Fit Attempt 1 ; 0 ; +; Time - Fit Attempt 1 ; 0 ; ++------------------------------------+-------------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Info: ******************************************************************* +Info: Running Quartus II Fitter + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 09:09:54 2022 +Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off register_8b -c register_8b +Info: Parallel compilation is enabled and will use 4 of the 4 processors detected +Info: Selected device EP2C8Q208C8 for design "register_8b" +Info: Low junction temperature is 0 degrees C +Info: High junction temperature is 85 degrees C +Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info: Device EP2C5Q208C8 is compatible + Info: Device EP2C5Q208I8 is compatible + Info: Device EP2C8Q208I8 is compatible +Info: Fitter converted 3 user pins into dedicated programming pins + Info: Pin ~ASDO~ is reserved at location 1 + Info: Pin ~nCSO~ is reserved at location 2 + Info: Pin ~LVDS54p/nCEO~ is reserved at location 108 +Warning: No exact pin location assignment(s) for 18 pins of 18 total pins + Info: Pin Q7 not assigned to an exact location on the device + Info: Pin Q6 not assigned to an exact location on the device + Info: Pin Q5 not assigned to an exact location on the device + Info: Pin Q4 not assigned to an exact location on the device + Info: Pin Q3 not assigned to an exact location on the device + Info: Pin Q2 not assigned to an exact location on the device + Info: Pin Q1 not assigned to an exact location on the device + Info: Pin Q0 not assigned to an exact location on the device + Info: Pin D7 not assigned to an exact location on the device + Info: Pin CP not assigned to an exact location on the device + Info: Pin CLR not assigned to an exact location on the device + Info: Pin D6 not assigned to an exact location on the device + Info: Pin D5 not assigned to an exact location on the device + Info: Pin D4 not assigned to an exact location on the device + Info: Pin D3 not assigned to an exact location on the device + Info: Pin D2 not assigned to an exact location on the device + Info: Pin D1 not assigned to an exact location on the device + Info: Pin D0 not assigned to an exact location on the device +Info: Fitter is using the Classic Timing Analyzer +Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time. +Info: Automatically promoted node CP (placed in PIN 23 (CLK0, LVDSCLK0p, Input)) + Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2 +Info: Automatically promoted node CLR (placed in PIN 24 (CLK1, LVDSCLK0n, Input)) + Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G1 +Info: Starting register packing +Info: Finished register packing + Extra Info: No registers were packed into other blocks +Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info: Number of I/O pins in group: 16 (unused VREF, 3.3V VCCIO, 8 input, 8 output, 0 bidirectional) + Info: I/O standards used: 3.3-V LVTTL. +Info: I/O bank details before I/O pin placement + Info: Statistics of I/O banks + Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 28 pins available + Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available + Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available + Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available +Info: Fitter preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement preparation operations beginning +Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement operations beginning +Info: Fitter placement was successful +Info: Fitter placement operations ending: elapsed time is 00:00:00 +Info: Fitter routing operations beginning +Info: Average interconnect usage is 0% of the available device resources + Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y10 to location X10_Y19 +Info: Fitter routing operations ending: elapsed time is 00:00:00 +Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info: Optimizations that may affect the design's routability were skipped + Info: Optimizations that may affect the design's timing were skipped +Info: Started post-fitting delay annotation +Warning: Found 8 output pins without output pin load capacitance assignment + Info: Pin "Q7" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Q6" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Q5" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Q4" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Q3" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Q2" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Q1" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Q0" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis +Info: Delay annotation completed successfully +Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info: Generated suppressed messages file D:/projects/quartus/register_8b/register_8b.fit.smsg +Info: Quartus II Fitter was successful. 0 errors, 3 warnings + Info: Peak virtual memory: 306 megabytes + Info: Processing ended: Mon Mar 07 09:09:55 2022 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in D:/projects/quartus/register_8b/register_8b.fit.smsg. + + diff --git a/register_8b/register_8b.fit.smsg b/register_8b/register_8b.fit.smsg new file mode 100644 index 0000000..14764e7 --- /dev/null +++ b/register_8b/register_8b.fit.smsg @@ -0,0 +1,6 @@ +Extra Info: Performing register packing on registers with non-logic cell location assignments +Extra Info: Completed register packing on registers with non-logic cell location assignments +Extra Info: Started Fast Input/Output/OE register processing +Extra Info: Finished Fast Input/Output/OE register processing +Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/register_8b/register_8b.fit.summary b/register_8b/register_8b.fit.summary new file mode 100644 index 0000000..0cb89f1 --- /dev/null +++ b/register_8b/register_8b.fit.summary @@ -0,0 +1,16 @@ +Fitter Status : Successful - Mon Mar 07 09:09:55 2022 +Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition +Revision Name : register_8b +Top-level Entity Name : register_8b +Family : Cyclone II +Device : EP2C8Q208C8 +Timing Models : Final +Total logic elements : 8 / 8,256 ( < 1 % ) + Total combinational functions : 0 / 8,256 ( 0 % ) + Dedicated logic registers : 8 / 8,256 ( < 1 % ) +Total registers : 8 +Total pins : 18 / 138 ( 13 % ) +Total virtual pins : 0 +Total memory bits : 0 / 165,888 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 36 ( 0 % ) +Total PLLs : 0 / 2 ( 0 % ) diff --git a/register_8b/register_8b.flow.rpt b/register_8b/register_8b.flow.rpt new file mode 100644 index 0000000..6fac536 --- /dev/null +++ b/register_8b/register_8b.flow.rpt @@ -0,0 +1,120 @@ +Flow report for register_8b +Mon Mar 07 09:09:57 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+----------------------------------------------+ +; Flow Status ; Successful - Mon Mar 07 09:09:57 2022 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; register_8b ; +; Top-level Entity Name ; register_8b ; +; Family ; Cyclone II ; +; Device ; EP2C8Q208C8 ; +; Timing Models ; Final ; +; Met timing requirements ; Yes ; +; Total logic elements ; 8 / 8,256 ( < 1 % ) ; +; Total combinational functions ; 0 / 8,256 ( 0 % ) ; +; Dedicated logic registers ; 8 / 8,256 ( < 1 % ) ; +; Total registers ; 8 ; +; Total pins ; 18 / 138 ( 13 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; Total PLLs ; 0 / 2 ( 0 % ) ; ++------------------------------------+----------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 03/07/2022 09:09:53 ; +; Main task ; Compilation ; +; Revision Name ; register_8b ; ++-------------------+---------------------+ + + ++---------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+---------------------------------+---------------+-------------+----------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+---------------------------------+---------------+-------------+----------------+ +; COMPILER_SIGNATURE_ID ; 220283517943889.164661539321576 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+---------------------------------+---------------+-------------+----------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:00 ; 1.0 ; 245 MB ; 00:00:00 ; +; Fitter ; 00:00:01 ; 1.0 ; 306 MB ; 00:00:01 ; +; Assembler ; 00:00:00 ; 1.0 ; 241 MB ; 00:00:00 ; +; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; 198 MB ; 00:00:00 ; +; Total ; 00:00:01 ; -- ; -- ; 00:00:01 ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++------------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++-------------------------+------------------+---------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++-------------------------+------------------+---------------+------------+----------------+ +; Analysis & Synthesis ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Fitter ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Assembler ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Classic Timing Analyzer ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; ++-------------------------+------------------+---------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off register_8b -c register_8b +quartus_fit --read_settings_files=off --write_settings_files=off register_8b -c register_8b +quartus_asm --read_settings_files=off --write_settings_files=off register_8b -c register_8b +quartus_tan --read_settings_files=off --write_settings_files=off register_8b -c register_8b --timing_analysis_only + + + diff --git a/register_8b/register_8b.map.rpt b/register_8b/register_8b.map.rpt new file mode 100644 index 0000000..f38816b --- /dev/null +++ b/register_8b/register_8b.map.rpt @@ -0,0 +1,218 @@ +Analysis & Synthesis report for register_8b +Mon Mar 07 09:09:53 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Analysis & Synthesis Source Files Read + 5. Analysis & Synthesis Resource Usage Summary + 6. Analysis & Synthesis Resource Utilization by Entity + 7. General Register Statistics + 8. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+----------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Mon Mar 07 09:09:53 2022 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; register_8b ; +; Top-level Entity Name ; register_8b ; +; Family ; Cyclone II ; +; Total logic elements ; 8 ; +; Total combinational functions ; 0 ; +; Dedicated logic registers ; 8 ; +; Total registers ; 8 ; +; Total pins ; 18 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; ++------------------------------------+----------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++--------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP2C8Q208C8 ; ; +; Top-level entity name ; register_8b ; register_8b ; +; Family name ; Cyclone II ; Stratix II ; +; Use Generated Physical Constraints File ; Off ; ; +; Use smart compilation ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL93 ; VHDL93 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Parallel Synthesis ; Off ; Off ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; Off ; Off ; +; Show Parameter Settings Tables in Synthesis Report ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 100 ; 100 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; ++--------------------------------------------------------------+--------------------+--------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+-------------------------------------------------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; ++----------------------------------+-----------------+------------------------------------+-------------------------------------------------+ +; register_8b.bdf ; yes ; User Block Diagram/Schematic File ; D:/projects/quartus/register_8b/register_8b.bdf ; ++----------------------------------+-----------------+------------------------------------+-------------------------------------------------+ + + ++-----------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+-------+ +; Resource ; Usage ; ++---------------------------------------------+-------+ +; Estimated Total logic elements ; 8 ; +; ; ; +; Total combinational functions ; 0 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 0 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 8 ; +; -- Dedicated logic registers ; 8 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 18 ; +; Maximum fan-out node ; CP ; +; Maximum fan-out ; 8 ; +; Total fan-out ; 32 ; +; Average fan-out ; 1.23 ; ++---------------------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------+--------------+ +; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------+--------------+ +; |register_8b ; 0 (0) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 18 ; 0 ; |register_8b ; work ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 8 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 8 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Analysis & Synthesis + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 09:09:53 2022 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off register_8b -c register_8b +Info: Found 1 design units, including 1 entities, in source file register_8b.bdf + Info: Found entity 1: register_8b +Info: Elaborating entity "register_8b" for the top level hierarchy +Info: Implemented 26 device resources after synthesis - the final resource count might be different + Info: Implemented 10 input pins + Info: Implemented 8 output pins + Info: Implemented 8 logic cells +Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 248 megabytes + Info: Processing ended: Mon Mar 07 09:09:53 2022 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/register_8b/register_8b.map.summary b/register_8b/register_8b.map.summary new file mode 100644 index 0000000..c976250 --- /dev/null +++ b/register_8b/register_8b.map.summary @@ -0,0 +1,14 @@ +Analysis & Synthesis Status : Successful - Mon Mar 07 09:09:53 2022 +Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition +Revision Name : register_8b +Top-level Entity Name : register_8b +Family : Cyclone II +Total logic elements : 8 + Total combinational functions : 0 + Dedicated logic registers : 8 +Total registers : 8 +Total pins : 18 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 diff --git a/register_8b/register_8b.pin b/register_8b/register_8b.pin new file mode 100644 index 0000000..1931bb2 --- /dev/null +++ b/register_8b/register_8b.pin @@ -0,0 +1,278 @@ + -- Copyright (C) 1991-2009 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), + -- connect each pin marked GND* either individually through a 10k Ohm resistor + -- to GND or tie all pins together and connect through a single 10k Ohm resistor + -- to GND. + -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +CHIP "register_8b" ASSIGNED TO AN: EP2C8Q208C8 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 1 : input : 3.3-V LVTTL : : 1 : N +~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 2 : input : 3.3-V LVTTL : : 1 : N +GND* : 3 : : : : 1 : +GND* : 4 : : : : 1 : +GND* : 5 : : : : 1 : +GND* : 6 : : : : 1 : +VCCIO1 : 7 : power : : 3.3V : 1 : +GND* : 8 : : : : 1 : +GND : 9 : gnd : : : : +GND* : 10 : : : : 1 : +GND* : 11 : : : : 1 : +GND* : 12 : : : : 1 : +GND* : 13 : : : : 1 : +Q1 : 14 : output : 3.3-V LVTTL : : 1 : N +D4 : 15 : input : 3.3-V LVTTL : : 1 : N +TDO : 16 : output : : : 1 : +TMS : 17 : input : : : 1 : +TCK : 18 : input : : : 1 : +TDI : 19 : input : : : 1 : +DATA0 : 20 : input : : : 1 : +DCLK : 21 : : : : 1 : +nCE : 22 : : : : 1 : +CP : 23 : input : 3.3-V LVTTL : : 1 : N +CLR : 24 : input : 3.3-V LVTTL : : 1 : N +GND : 25 : gnd : : : : +nCONFIG : 26 : : : : 1 : +D2 : 27 : input : 3.3-V LVTTL : : 1 : N +D1 : 28 : input : 3.3-V LVTTL : : 1 : N +VCCIO1 : 29 : power : : 3.3V : 1 : +GND* : 30 : : : : 1 : +GND* : 31 : : : : 1 : +VCCINT : 32 : power : : 1.2V : : +GND* : 33 : : : : 1 : +D6 : 34 : input : 3.3-V LVTTL : : 1 : N +GND* : 35 : : : : 1 : +GND : 36 : gnd : : : : +GND* : 37 : : : : 1 : +GND : 38 : gnd : : : : +GND* : 39 : : : : 1 : +GND* : 40 : : : : 1 : +GND* : 41 : : : : 1 : +VCCIO1 : 42 : power : : 3.3V : 1 : +GND* : 43 : : : : 1 : +GND* : 44 : : : : 1 : +Q0 : 45 : output : 3.3-V LVTTL : : 1 : N +GND* : 46 : : : : 1 : +Q5 : 47 : output : 3.3-V LVTTL : : 1 : N +D7 : 48 : input : 3.3-V LVTTL : : 1 : N +GND : 49 : gnd : : : : +GND_PLL1 : 50 : gnd : : : : +VCCD_PLL1 : 51 : power : : 1.2V : : +GND_PLL1 : 52 : gnd : : : : +VCCA_PLL1 : 53 : power : : 1.2V : : +GNDA_PLL1 : 54 : gnd : : : : +GND : 55 : gnd : : : : +Q7 : 56 : output : 3.3-V LVTTL : : 4 : N +GND* : 57 : : : : 4 : +GND* : 58 : : : : 4 : +GND* : 59 : : : : 4 : +GND* : 60 : : : : 4 : +GND* : 61 : : : : 4 : +VCCIO4 : 62 : power : : 3.3V : 4 : +GND* : 63 : : : : 4 : +GND* : 64 : : : : 4 : +GND : 65 : gnd : : : : +VCCINT : 66 : power : : 1.2V : : +GND* : 67 : : : : 4 : +D5 : 68 : input : 3.3-V LVTTL : : 4 : N +GND* : 69 : : : : 4 : +GND* : 70 : : : : 4 : +VCCIO4 : 71 : power : : 3.3V : 4 : +GND* : 72 : : : : 4 : +GND : 73 : gnd : : : : +Q6 : 74 : output : 3.3-V LVTTL : : 4 : N +GND* : 75 : : : : 4 : +GND* : 76 : : : : 4 : +GND* : 77 : : : : 4 : +GND : 78 : gnd : : : : +VCCINT : 79 : power : : 1.2V : : +GND* : 80 : : : : 4 : +GND* : 81 : : : : 4 : +GND* : 82 : : : : 4 : +VCCIO4 : 83 : power : : 3.3V : 4 : +GND* : 84 : : : : 4 : +GND : 85 : gnd : : : : +GND* : 86 : : : : 4 : +GND* : 87 : : : : 4 : +GND* : 88 : : : : 4 : +GND* : 89 : : : : 4 : +GND* : 90 : : : : 4 : +VCCIO4 : 91 : power : : 3.3V : 4 : +GND* : 92 : : : : 4 : +GND : 93 : gnd : : : : +GND* : 94 : : : : 4 : +GND* : 95 : : : : 4 : +D3 : 96 : input : 3.3-V LVTTL : : 4 : N +GND* : 97 : : : : 4 : +VCCIO4 : 98 : power : : 3.3V : 4 : +GND* : 99 : : : : 4 : +GND : 100 : gnd : : : : +GND* : 101 : : : : 4 : +GND* : 102 : : : : 4 : +GND* : 103 : : : : 4 : +GND* : 104 : : : : 4 : +GND* : 105 : : : : 3 : +GND* : 106 : : : : 3 : +GND* : 107 : : : : 3 : +~LVDS54p/nCEO~ : 108 : output : 3.3-V LVTTL : : 3 : N +VCCIO3 : 109 : power : : 3.3V : 3 : +GND* : 110 : : : : 3 : +GND : 111 : gnd : : : : +GND* : 112 : : : : 3 : +GND* : 113 : : : : 3 : +GND* : 114 : : : : 3 : +GND* : 115 : : : : 3 : +GND* : 116 : : : : 3 : +GND* : 117 : : : : 3 : +GND* : 118 : : : : 3 : +GND : 119 : gnd : : : : +VCCINT : 120 : power : : 1.2V : : +nSTATUS : 121 : : : : 3 : +VCCIO3 : 122 : power : : 3.3V : 3 : +CONF_DONE : 123 : : : : 3 : +GND : 124 : gnd : : : : +MSEL1 : 125 : : : : 3 : +MSEL0 : 126 : : : : 3 : +GND* : 127 : : : : 3 : +GND* : 128 : : : : 3 : +GND+ : 129 : : : : 3 : +GND+ : 130 : : : : 3 : +GND+ : 131 : : : : 3 : +GND+ : 132 : : : : 3 : +GND* : 133 : : : : 3 : +GND* : 134 : : : : 3 : +GND* : 135 : : : : 3 : +VCCIO3 : 136 : power : : 3.3V : 3 : +GND* : 137 : : : : 3 : +GND* : 138 : : : : 3 : +GND* : 139 : : : : 3 : +GND : 140 : gnd : : : : +GND* : 141 : : : : 3 : +GND* : 142 : : : : 3 : +GND* : 143 : : : : 3 : +GND* : 144 : : : : 3 : +Q4 : 145 : output : 3.3-V LVTTL : : 3 : N +GND* : 146 : : : : 3 : +Q3 : 147 : output : 3.3-V LVTTL : : 3 : N +VCCIO3 : 148 : power : : 3.3V : 3 : +GND* : 149 : : : : 3 : +GND* : 150 : : : : 3 : +GND* : 151 : : : : 3 : +GND* : 152 : : : : 3 : +GND : 153 : gnd : : : : +GND_PLL2 : 154 : gnd : : : : +VCCD_PLL2 : 155 : power : : 1.2V : : +GND_PLL2 : 156 : gnd : : : : +VCCA_PLL2 : 157 : power : : 1.2V : : +GNDA_PLL2 : 158 : gnd : : : : +GND : 159 : gnd : : : : +GND* : 160 : : : : 2 : +GND* : 161 : : : : 2 : +GND* : 162 : : : : 2 : +GND* : 163 : : : : 2 : +GND* : 164 : : : : 2 : +GND* : 165 : : : : 2 : +VCCIO2 : 166 : power : : 3.3V : 2 : +GND : 167 : gnd : : : : +GND* : 168 : : : : 2 : +GND* : 169 : : : : 2 : +GND* : 170 : : : : 2 : +GND* : 171 : : : : 2 : +VCCIO2 : 172 : power : : 3.3V : 2 : +GND* : 173 : : : : 2 : +GND : 174 : gnd : : : : +GND* : 175 : : : : 2 : +GND* : 176 : : : : 2 : +GND : 177 : gnd : : : : +VCCINT : 178 : power : : 1.2V : : +GND* : 179 : : : : 2 : +GND* : 180 : : : : 2 : +GND* : 181 : : : : 2 : +GND* : 182 : : : : 2 : +VCCIO2 : 183 : power : : 3.3V : 2 : +GND : 184 : gnd : : : : +GND* : 185 : : : : 2 : +GND : 186 : gnd : : : : +GND* : 187 : : : : 2 : +Q2 : 188 : output : 3.3-V LVTTL : : 2 : N +GND* : 189 : : : : 2 : +VCCINT : 190 : power : : 1.2V : : +GND* : 191 : : : : 2 : +GND* : 192 : : : : 2 : +GND* : 193 : : : : 2 : +VCCIO2 : 194 : power : : 3.3V : 2 : +GND* : 195 : : : : 2 : +GND : 196 : gnd : : : : +GND* : 197 : : : : 2 : +GND* : 198 : : : : 2 : +GND* : 199 : : : : 2 : +GND* : 200 : : : : 2 : +GND* : 201 : : : : 2 : +VCCIO2 : 202 : power : : 3.3V : 2 : +GND* : 203 : : : : 2 : +GND : 204 : gnd : : : : +D0 : 205 : input : 3.3-V LVTTL : : 2 : N +GND* : 206 : : : : 2 : +GND* : 207 : : : : 2 : +GND* : 208 : : : : 2 : diff --git a/register_8b/register_8b.pof b/register_8b/register_8b.pof new file mode 100644 index 0000000000000000000000000000000000000000..f3deceab345d1e39918a6a6f8e2b702eb4092f0a GIT binary patch literal 524488 zcmeI*50n(uo#^rEagq$lCOh4&B!NmMIt03%#Xe5e% zl4tVlZW_`O#Y{sy5Z%L{u;<}4dFSLSI;TvUG{gVd z6}R8D@}?F3MN4nG*+0eq*?IoYuUO`vvwYi_1pS@B)XdAs=Mb+yg(`d#VuA(h5o_(OO1i*LMp>#c8(IUWp-l3!jm z<@ajt?+SljSI4E{RY_ANqujPmeel8C4}S3Gn-6~a{euUGesaP)Q>Ki%pmb(uZp!af zz_$y3UjJ)ZQ)=z?o4@~sVfMipp!zxc%;=CZY7R`!=CD9Jul*5ml7D@it` zSh*xuI;An+3#U$={Kc#*M_u5R+{0EVPBGM{7hf#=c~-&i|MxGy@b4p3_E#QOZ|Pi| z3xA*d(>?e6^b$LVH{zwGX(2%6`%lciGm6T2>bqaf4(H}f-`JNRm|8sRf76tV!X9tPX6l%0qjj!@=g8k__m#^d1{4KYmwJb zS)kqBs_c3f{%}r9$nbTwguF)72zlcPtEJ23i<*Wv?lR*o>QBTKPi=QgfZZr|P|m22F#%0mFh1yw$kv%lLPPnScj%A}&t0+#$ZQTAkV%9Es zuXohQES0YFuk0}uR_oO?wk4|_`CQFas)MTX_1@$532^1)THlKaA?J|Jhl#fp1LqaG z)t61F`=uycH$-7Z)6`H1=qOhhdE3;+ zxwzW1_N&Wf{P>=a_id!{Cm~3xgivFrRV|o(@=^)dVyADN(W+7Y!;cPwp^Igo0?rR z%bML1HoC9*KZYcDdxP;-!z-cFat5GQ9#Vhg2X+bb0jo{U802fbMK+(kc(34w;`V0! zsn+(e1WBenzJOZLpR|8%Bx<;2b=K^3DB+H%Nx@LqGJ3mbYbTAThSpV()s?+QE`NMW zV0<{#>2B?Go5wxjV5fU$a$`L6~8Zv-bVz0@O1^#o*lk=^0o6XDAbT`a+e z?cTU4&^fGCZ7lQ5j?Q5_*L1q~1Yh!$ZSi*!z9O>!7T%rN6qp$s))(9VmkG~TOOJWU z^9M8IT__P=7#u4fmn~fOgmuXLPivp&GvB6T*|(ejGd-_7yTz5McTKUGHD;ER0> zH<;fytIIX(>Q9sh{M>V$^$wy^Vo*gj z(5AKfr3rB1KdV-AtyljElxE0HkDBaTZ>dsG=hgnq;i;d{38=!H>tn$_4H+jjSb5EB zjV^La@w6?yt>-|{r3#-$a~7DAo2**((K;s!YK>CqD?h6U8^)%|l5qyr%&5QBE3@Nm z>s=SM1Y|RVpoRBY8zPkG1T(P?@SLT)$p1+i$ckZiGQ~{bRJ)a0apSgJ222Y2%Y;0M{yHp}9 zC1Gi74@v1OOKy~cJ6~#dSkFtD`+DeDc+5jm{*HP{%EBY^f4@0?W%u!nHD*t~^nH7? zv>MtwtZH{EZhzHDV*4{s9=40d!tQAB-gWG@!_E#YCowU+uM90#G#bRhp4ERjO|vcM z=2O^ex4!gGm8@S9zybFahxPWqqw1r>xY`+O)uC#~t2&GBee1C9sj4^os`jrN2s2%A%4yhq^Sj$2kR#579rR7S@ z8XpUekImjKrQmyC{b|13{ar9u=$2F>YuC%Je9sdepP5)z16_J)MoR6n*dD5?5{73t zsMQ5aYIPeneuP?GY0}foW|Fc@_Tb~COV<6Y!cMmvd+G)$opG*DkTnHVl+V|wsy(Lj z>N>XkVV!U)O#c-Ij$38YnsIhtb+uj!m-P*VbGdCnn_`<4Z@thZO^55-h7XzO>1r{I zMsp5WEtZje_wSvZ@*7>lE~sC93o7C2+TM*3X;6Oqk1kDrX=2i@)kzD3lxV8SFr2T_ zm6R@ynkdVPmg)-OY$;9WOT*~=%!u;l!pMTmynUI^m=j*LUfXr~PjdyYRLm+{Q>!ax z7w?qvOx4SR5A6<@)f zqpDl|>K>IkCykp_>T0J$DPNMc=TuJRQe8phT<6{cAfQLFPl>0A<(Xhi2H?>;WwBPYwoC$C%>d~CrXQ?^{X z^+Q{&-9I=IJH9bGvSjl+JGbna_MRtpb7o@Y_TM!#|6wkujKKRb^S{Ub(VTUkiiJRI zkr>3}s$NX`YW7H9%}j;FR~81J=sskgh)QIl=7vuX{gmU>uxgp=kgWA`TnVh31Z$_( zC$Ctg6%Pq?)(>;L9XEUM&pHwCt4q-%uGR{x)_2w;Ye$kY&q0|t*eZg~j-2e6yPREz zuoF=8KLa6&jcc*1b;dU9K)Ut9Ar%++?8|tnOXma8MLkl|mG$Yg7ks(r<8u8ctbS~b zGYcu-YMg|eoV+>T8&fi>8&ivX<=r>!3wlzt&TU&;qXTC)^Q-2vxe!jPh4~^Vw!kCh zUquOYw#&a_=~K5DjxNZ2*PKu&doDR9C0{}c-yXUCc5r#5v!}fD{bg+7j+L7hPWfh3 z`hymW-{++Slxu)7>6=+m{;JNI3&uz}UUnXENX`X*F!zMH@jFr;7f%Fs%E^FS3*0K# z0xQl0?vuzoCy}{NB9oBbIG=u1_P9oB7*e=DC~WjTb(4c`&b5w1b&^~4a*w#?Yg$n$ z-l`;NID1d660)n-`i+y<{H$uDbtatW1yoJ{GK%cd-u4*ZbUmd@5(3QL9 zPOP>5fsiZLidT(k{TEegkixBwTWXNGbSJm+QG+x`QG=|J4b_@-nq^*GwT;w&{Uo8= zrM&M>LcgpsQh$|d&Z_C!ry#}ly(Tf0wH~-lw|MgJdu*d_SO@(>gCl0X)cA+@%{|Ti z)whD0pBxoXPA=ip+**x^eWw#OW|mKKDB!SJF#g^pzpi!iS{{eoxAs>T$&1;H{s+jBF`?d^#;qas*z2V+9e{F;sR~PHnFt?>U-*(Xd&q)i1+?MB&R#1;r z!yb#N7SOt_pv`N6XQ456jWota_hlZGXgp}W_6^U=`Ica*0(zthSl$%8+-VhXi&Oz$ zk}6qqk7_b8&#b~lajPvr=_AAOs1&x6%Xz+ zD7P9w)gPQicCsfBkZJh^XWgc5N)Fn={HE9EQzMEdlb&24f6!jJtRRt4>z3sM_NsG> zoV;eHtV!!NxQ>P`JFN?krmbsxhA(xGo|5^AXIFac_8xPhXWX*joN4AH^LysF$PsIY zHFrsR%@X&<*4zSb_`Z>N)cw%4!BIoTC)RKF438ZOZV!%GELT!zx5Rb?tp(=1<<{Ei z(F-TXz89Nk9Zl~{uiNF$$R@ru^;@avXTqtq(Sz>5(!f|>GVx|8z1?k23rv9zGNdE{lZ2p{|tLm=&4pyWTg<8&2=E zQV*n)>+KaE>QM<&>s36}Zyx%*&6k@0bUVc0O5d74s;>&!d;t=GcKa%a)u5@{accVE z9v`$H@2_p1rP?9LSIJj3lM0y?nU!Wzq01QgD*3AB!9u=DzN(p2$XCf%$yYTG7V=f{ zRn4Sw-sP))b&H45d-SuKS8N-Piq<80j(s^de@*w~l~0}(SkI^4C{=@0_lE>gY(LYC|)+MQ8N_{F&4JM8b$;?~x7^&|tfOiBGk2fq z-IL`z(*722bH8xaYNvNkroGwmx7Ao%%}M$N;CWZ~&3W`grX;1#pn3A>`5aYehp@ru zJB+@==sS$Q(^pP9s+ZJiQtVq*$tNyNX;TfV!r954X^w8LC`mhwJ~~WSO`3vK(`NBm zw_U9UWA8Bb4rA|}&9Qe%ZX?sXh><(^^&5(EPcC(M7HmBIr=|_9gCMk zfYJD!Qwi;xEe1s8xnHhA77pOXNu_V@K#+(Bn~%=gXjs?YksCkW?6OnkxrU+cKJ_OX z4$vw+0_u$EI$?(Pz;v(7Oz2%7kUkRs^!Wc`?i)4Pjc3jg&@80wAxs>V=+nQFn#$Cs8FI{$(c4l7qJhPulPaV)Bms}S zcGafQt-f*A;#5~+d&Hd6HeyH0y6@VcJ2Wr4X}CGejP43}N2lz!iK(~>l8%;ybX}NK z3%Eu`lBwZ~mjt58)cnAbv2FG$(<=N8PqxL|ByVe$5qga-SG((~sn6XtqhI2OoKV^1Ae4mup9I zl3e5YJXO7ayIZ7BaPK#t)gBG<+*U9tNHx=fN?HTp^rYD-SK$ayPbMsUZuZ`P0!}-~ z%kzzzokbUZjOyQ8_}uJ$0PMHH*1MP5wWsEYw$!dG4mSHZba6!p~~Atn22@VMFc}A64&%R8FUK9;(~UW5~1Ov~M)f-CMa1 z50!hR&UbrfH9vOR&CM0;D) z@u&W)jXAhTs)9;i%EmG6n>)yA=yasa?Kr%Be`$C8GGisjxb0Oq> z+0k+6@GRJP@`_cuQR%@-~OM-7BdeVQ?K7FF&0o$Mh-^`g*!Dd04&KkDYQ zy~)9K2jzKw0a7zJHB=AQ!idzd1)hf`w~yKX*By_UnXT3o26AB_m(pyj|5~<6w^IKz z#q(gQlj@cpgXa39k@TxeY`Jcga-W%_OCI%8b=jQ$EC0(jQ~!(9NgZB&OeZTZKAtCI zxu|B1f$~5JZZxZtWaWTqb{tBF6#LcXT6OuyoZM+<*EE|~AJt84xmU-0?VHjlOSQ|g zL00VdbNj@$;MdJo=?rDeYyD1Y(J&eFU|V|Iu&;YsRM9l9V@Ic~GQ9&P)+OPFDO9JiEFq2>Ks;U2adVK+!chD&-ARXRJ5KrziEp?f9oyp1MFbqxBk82X6RLYwoq_HA~!Ew!1Gp6dW>PdwSgtcV_f%&yL{e zBUX#tOFJ&O*qR@iw3 zYM0wHdUr6n*s`RR-rk}WT^qgLJ=bN2-J3q@-s?_W8(i1oSwB5FJaBDrd@wY}Y7MsA z8?#bN(y0rVdfRtdk+pl%>0S1Uy&EM-=)L-_rCo3HjHU5mA4_9Ub*Fuw5dn{ z=j-{N-l0MfKoOvwS&*-4Q<1NduWIJNa@&D!$brxds~K0K%5&*8lZvzM4cb{Shm|%J zrImITJjhyoH4i}&00}@dsc^b7lYCV(t#A^^NuXv@adr}@-N#jKMbjQcp#KU%hu2q?0S1TuZag{>z+7w^IKz^&agS!T>nyp_6MqCEMeA)KArAa|XbEqmyfXkI>20 zzSU*GKTV-oC)dIOraQh)+1;A`_r$_Nw3@tA=nbYqugT6V&X#;$_g*yPhJX1;ak(ZR z`tJ;_|EiD1gz2lB{H)^a{yG@6&sDyB<(JOY(-g+G#aqVM-J7E~rWX06cXPaLz3U>o zb93lMyKi&6b#B{Q)wMaj&3B{SvpKg&_B-o}giSs;I05l?T(N**TF=4|J87p+2<-LV zq}}=?cUZ^Un(Xwhd~acQ_tlU4+cbN#tA*@=MZO+uw*KPg`!9bgZld9b{>ncZZnR{k zgQJV6IvNR6yM?v?F3D>iH!3-(pxh>DO^aNB&@DHZ2Sm$7qW!$jE>_70-lqb}e(w5%Y=YzRZKxKF@>JYi~~8Ul{D* zXJ+TY<&n;wX=Z279`k5skNI3|{vnCM59XdQH-1MA6Ia;$PKm;_4W6f$FB@AqV34fk zC0Was(zTrNSgp72&DY0dAo}(;X#`OB94p}Zqd%q&%U#0i)jXfe-gmTVH8Qs@qy3q~ zQ$LY^;D^~46?+@?&yllB|95`*rf>asL^j=YF2F#@Jcz26z$-Hut2GbBSgl67^qC$t zpQ)Yg*zDj*AO4C5ePmwOJ{#mgA9WwmvGH9qBM*m{arX9kwpz#sJy*6d^3Yj3t>YGK5qy|e9euaY@+KMow& zx=}35IP<6$&om2Avg+*ILVdfiox1Pm)2Uy$?^oWCs{K&7qE=tf-l;>iF9+AzU402X z9|hHd@W_>OOe3}SewTS5?TNNV*ZR^eR=m|1(dK&~y0)b^VaXWQ@n~yT#Gam#R<83XLCr~hSzXQ9r4yeTdWshU2q1s}0tg_000IagfB*srAb(o_8v>p@<4bk zS*u;cz9k4A-ciTB7@&^+7kStpAi!r|o&}%%57zNjO-6TiL`GLtSN3#IXOP`p74=1A zWOU@$S=p1XfBf(MO$WKB$4&QRdj6wd{_)R$@yow-Kl+jT!Jq%<|MKJIFnG_Bgr?-C!4B zbC)jT%C6|}gt+m@!tIXzsKIrLi7x1Z<{U01xF6sFR(BmD@_#izkm5_1ypPnI)JMHz zDm+0QiVg_mXpm7uhrg5F*ioN94{+2A!^bxkZ&DZ|inng(eay|gmyyr+jM5!xdBx9Q@5=;@$)K@Zlz#?eES9$EpH5dl(FVpZ>M zw)ZM?(=a=X`37}Zp}5|1AV4l@QDedoP!rB$q%aKB8b$T%qeeu8s$U`)Y3mD8(d4`{ z@DGOYBA)dc8?Ns?MVuGmOxrzR(`&R0=V8MTcy7`%Fed{hGfPJ%oGY?03{)aV8~Ui3 zK1gJo3pI_L7bfmZ&BiZ-BBIl4OpDuwHzL<^)^tyu0h5_!a3=iniClJgMNN2bMQ3}i z>fChfHFBw^5rH=%ztp#{#XThhCNoP1Cj7KSj>RN=g&Gj$_@^Uupsu)mOBYPIC&2jE zK(NO=&eJ2efg+PH=&TISA{u9gZZt33WbSc-{+VzG6c7OiqD>F25Q-*1sl!F0lKlha zBiv9(ugJQG^$Uj;Vl=-mn;Tk?w%{*4maM5Q*hi#1X@(~5!@ftHzb(NI|lh>P?{BEL~b%}PW*%sb6KUExLg>~B)aK#vP*Nvak$oX1F+ znN_c4cmzHhhpL0MlzuHo&&$u|!n>0d5Eto@M6QpTwTS$}Gky)>yDFVrI@Wqbvo?`$ z%FL{Gz6_7RXX8+H@Jy9qa=)e{PjlN|BuUYu;!=b_j`w&{eOQwfkWJ)(n(&C`fY3(` z5xK|3T#ycRkj=Pl%{_{<6{f3RIPI#eNy=vGYFu6S^}Np^^&VlUHKxXA!+DI9nOXH( zhDYGDfu%Zlrpog4?wAh8V~m?^%fPxBn8Q}nTVDFPR;D7gZ5y75E8mrp*{ELmkLZ#E z{qsI1WBO95jv&-}66U)0a}6fp-6Yg;pc&KE=?#VH&>X3L-s>MW_7s%d_HYfH3p#o=vboHjj$I0o zsZQiTnD9O!3}yyoUkrE_5pE9a%2ko`j8ujh*mHuqAsCyPMZ7jVRb(S_k+`OK!VH+q zEaNiaJ@7yn%nZoB81OV~7&6#i<|?n>@Ar!5$WxO-25e>)Mm9WEWFvBsxTbi*44BL; z<1*nr@TVYhv=i_IMt3;CJv5e3Jw2p+dO#Il9UeJ;s?z6S)mN~C!D*N~}BU7$;86N^V}KEea4ABaBNm6F*= zua5qCV`{Fv@r9cJgeBnZ=dXn`wqwlBfen8k%AJ4?~_2q7F0HPLLlPFqv7#V8Xc~3&UV7B9~z`P0K(z0}+>|5jl_%Peu3=B8S5s zPb%;8uwe+G)g)H)eBqAWg~mTl%uHsMJu~54k%eKgBrja)$4e4o`}!f>Vp;GWZ2=*7rSLYBt+&UcaBsn1`n`QF!hVY4%@ojV%&qH;Su<(>gf{#x+X0HlQWPNP%6@)>QxseJi-JB zebh7}M`|unFz>uz=@I+dM84gpl8u_;WMdwYUvRdsCUm-$tRPFrn#s&EP7@x12jYb~ zY8sIvKXYXKT6d1c6f7-h)E2PT`cfpx15Nd~u9l=Q1Qd@loN2qv%&^Y{&@wy%n++@| zW=fcep9c5SU=8*38OREVixgF_iV2S}0YV=JjmVLjIkJ?w>^AT&H)$C-lMF1Kf|}t6 z^Qv)C{k&hoT+~L7cHnTZ&&U-cJJLfH11|Vib+DSU_&Kmj3$y2`b*7+o?yRy5ZL+hq zPG;xtvElNZQbcA?K><${Ip?3TMfLLtNDhpu7hxS%^oJn}5J<<>i2u76*;R}+8X1kq zFY?`MLC?s*3?heD8=iRBU}iP6%0#ZVsVc9EoYf2zluPFc1$QS?j}x;-qf^OpLl7H|HQiy>342G6E@?bYh6Zu4EB6E`!?TFoU>8Q~vdwsX=h@S-2@e1R zA+zA1MK6Rn(ukx%DLai`B#Fq-o!{F7(yJ-=cijMgS%UK(l)?UmQ#QV&cDJwYwkyNK z4F&ruU=^DZ0*{M?_SLK}VW_S7e&3M^?Mm5%&*~fh9#H-q5g>!0*vpFpkD&a;h9e_D$XzKBTF|8``Zq6$T>}O+L&ia?x@pRm z0WAZ00i`018WcJk{*Vw6*iwz+S}qZ%Iy{T0I7o7L?W|=W%Ye;Cii|MSnOV3bMTAm^Pa7n#UqiwgPM)6+ zf8kxSnOStshNp_$&YzPe!qZAbE`n-`bIU-e5|Cf$)n;bl)P^S_4-tksGYglbh*0YA zX@dmzmnMEfz_eH}QPkzOg6$lv=3+urm|ISh3C`FNN@R)H%$#`y)P|>uoI~VD2T!+? zgNTOps>c<&{hgB=H<+yb)F#1eXS9~Tftj(`+oOf7#-P}jX+&-@^S(!%M?C2zokavl zE!UY#>h8QPjioAcrd^Wcln=^ML6#zmvq`Fr$Ko>PjZ>?tV0 zxgrarM*XnC0MiGVTJ<8T2?~t8^#$p;8u6Fe({Zdt1h3ba)-4-;Dk9e+Yr2+!QU+!a zIb4|VMMMs-`XF14$kD_!xhis=mXZ;*be=G$jSAQ>_>6egYizi__Y`qngf}AJOIJHR ziwu~|EF(1GCnNH;R(b2(9LcObYSz1n6bYzv(^2fnWnG8}x5&(*FE+dpxky-3oIwUm zW|r}p@KX_a7~;Yt5rj6Jfs!3PI+ZNHr3)t9!*rN+!XC?$o*uak6q$TMXJvR6QMTY& zw#nS%1pPDN4k#c34n&(CS|JoofKrExL?!zN%7@$of_)}ZHaGNd3s~@%e%$6l-$LJX z`c4+<^)0@%F2Q+M3PYrW!y1pItS)Y-t=VqnHWU6i73u2(WmgKp?}uF_RMWhv#Movc zy}q~I*1`_Nu9W1FdntFNq-`Ryh3@lC!auz0Jhr7kc;CwVuIoI?jWtwa!#0xvmpuj7 z@wOHLcY&}eB7b)?jI(h(=%4rMqA=Ps;V~hM??wO-qOL^*j5EQ%UH7#%{)Ee>IR6Y3 zt8C@ad-zjpOl`=9b45Ooaj8ZXzh!s?IvZGuc~i9vlrrFOAuAv*(&7*^;W0VXN6k?6 zfCy0sS!s|2VcrzO2VSi-T>J-TkIbkwrl!n>^B5^Jv+A`BkHBXGOLef8vR}(l$7M6vT$q|` z)9@$E!24+HZ|KqEK&>%c5Yj`F!TYl< zo+G+(BKd!h>6qD^$_ino69JDxb0S5RZz@g{duygv1~ye3Fa2CAQ;`~`4QJxwKc+|; zCP&q)fUBsjas@WrI@>)$_s&Rsl~`OAuesAIcheznWBOV40iKnl@Byd^?_mN2mo#g* zi~-pdKqY(^ar`m5wZ5RES0iahe{j=fRX9urY z7E%+qkPBUL&}8r&qMf}8UDqR|7jAExh(y1kzofU|yv?P1>BoI4tl=i4*Vpvj+CE&O zj?&P+nhNrEnNR+$6yBBcjvQf|h?H=<{b>~ie!KngmGU+d>DANL4QejmCnI6|n(UWJ zvTY*!NGkA6{zE4F@X%4c!eL+DW+J`1`zf1PayxqK1KxiAS~z3796O%b@CO17hzN9= z0pvEgvM&*e48D(O=Pd)vGawcRxFl7dHav}y!l)_UVTeJdw%wF11G6)LNfDQH5A#%{ z5ht41@I>S>!Zu@OE@REBglnj#YZ+LU0h^hHPaB@bNF#EQxKZH?`lha#0h^gc%Qid_ zc^6^Wh+L%aY803wtS_`UvPf)Z7A|dgBJx)}H%XcZPpEoT1YAX3pVC$7GA(G-mRO~M zexX;JnKP$=+VCYr9;ppHa^8nxJ)xzl3Q}cFvO=8ArOnJDKQ=tkcAJrl#4S?vi>wYA zfr^^644g>@mQF$K@RxqBi8*CNJJYA2yKtTnDnkNYLjHJ#K zw9cJXmNuWAt##rSI*PCpHP%DBFOd{@l;J!h=mASJfQXaS1dcQ5=?sq?4#jFRjJ?&o zB}XwGT*Yf0WGEm4sgg&t>7ikZzCK1XaoX1EH*fb&s zvd2>fhvEq&K0%uo(WJYGC`&<_%q)#eIFFISFhCirB1VmhYRZ;@MHz^&5;fLCt4V~i z2tOH-w^iP~H>b``$6g~BdulSX?3D>`L@pE7G*6#_h!Bd*EaJ1_ry}xU0n8ydFgngW zJ@8lT`oD7M3F3e#!m)BNAh2Q==+Utz*+7|zBZ!1&5cNdb6q`W6Hk|t`vJlWXdLbl` zM!*G1Dqgs~T`BzgC=&Z2-C|jA-h(1{rIdgCB;3=N)|8J|0e5lKH#D)byjj`b=DP`Ikvh!P%}73Etdof5=3G zyFfN@_$!QXedCMtdVkB?TG)X^Wg1UsJv2xXb6FxsToBSjQ-t%3;DJy9qntI#2KGWE zTtJ$lWnggzQc25_R9%|z2ooUmVK6j-t3uKUh`2I15Rj&58Ca45`k3f?7>XJLv#QcV zTZAXtevr(ZY*eojuELtKWnfVTOlFoIO?U*p5xLA7Yc_n;4o;VW9!({djW|hVjAJ1^ zG(~tK^5GvSm(w3i`c-K}uBPRJm6#)}FSy5BBB`WhNvhsVc!UWMFVtbsX5`4v9Kl-I z`a%mDwFRuTz7$FFKvO-gt0gH60mY*XUqa+aM`a=p2zqt#t9xt?D`miBX4$3*kH7<= z4}(VJNX;Bs%3O9EprR%%180(frBhHd{9s-+E~=mRGnk7%uc?h5?ZBa&CG)p6fb5He z?<4Yam!Gb9hTzXg>P$iF+*xI5+hk{Joh+T_bB*$(G*!Kb3{V@MW(4vJNG@aH71hrp zfE@_fF^c{eqT;&9xtIodVd*?UdU^^?Bl4%ndTaBP8L*jIL}J5FMdV(@b?u7&+7n>*zY)2a zo_6sWWWZ)-(Lo!2Dk2{iz%WVl2oPr*dIWl4&w`W>WHLjmOE*AP#or zo*)9g3n&~58xNq`1g@CyK!CB&A`1?e&p$sUqU?`~{3|C-A81EJN8FVboEP3Ht$f$ge5B4TkMURbI2Q4i? zaoNH$qH8uYXU2fq@Fhf!bW~niaalLkI>EwJlS{~e&CH?)Harn|7h%|lT%>QVVkvXk z?SP7!v<#d_27Hw;+(NGrcE>Ome_oRxo)Pr$nq~kIC#eY>3+bWB-~w_QMLbP2lUq4* z3aYmgboHv*Ix8_t=lNWtS&gY5HtG~KA~%n4o)K_Kv!(}3{jh;;8UYLkdOg9|TVIfl zCYO+bh#*Cc_0Vb(p)A5Lp2)Q>uc}*0MXLs}#2Mc6ixm8Y&I}?)A5Hj{hxK8g5;?pI zIFwHzjhvq%>$TgAXh76h53ME<$|AfGxt6o0d+H3B%q)X5;g?V3vcngmsdLkDA)P-I zW(1(f%);7+HzF4aYl<_-fXU1nFZa4!TNS4_Br2@nAXSr)h#xRME72~cG4456OLT`4ad){N2o zzHDxkO)R_cmww#lGT7@oS@wO>Z-0!4~)y3T|a>>7y;=V`r*{%}l?}N^Q z-*30M@HP|PmGWzP3x_78*Y~#DS{N=-`dQ z;x1*ngz3;3NIwJ1P`u|eWF~x?=Q2qe_E=RjnK`!w)PzUi2Od_3fgU5E0uD#(Nu8CL zDr=JKb-H=t4D>h>HFhMCANcpyx$$%`cSfG-;D0!l@yf7k$O!h5s@#9*Ip<#HQ9b_Gxao<#(YOJta9FEKQ2(9x@r%_aA= zOq*)2YJ9PI==fzcffuwI3mBTo-0A0L8m$R@zf;|a@?tl=hbWmf`0 zJQO-SiwKY;9tXNjg77Of?r~CtbDtexqD}Nd3VCzTs_=j-+-X3Pyb4{{DAwCx3vhk+~<-Uh+Qcj#fEJnQv614V%dcUR6iW{X`2aa zTp)MY_#(yK%S|i{FK=84c>AX<3ZqRM{*Vw6*faykZE$5@A{2msMvojW_!rP7Ed!G> z5Jpt_>pIno4NnzW7&XN^41JJIA0%q1O}R=2!YW>#z=`8F4ccVP&Vdb2L>?ndrq`$e zPs4^G@Z6+jU`_^XW)_}oc&f-oMe}0om|G}a%Y-Sb?Y;wej02Cg>p3) zGIp>GU7yG!`NpGA(rMT*WLyM>!E~uJOQ^CYIlWU2IXMG1GYdC1JXK_yk&DDFQuK?g z4jExi*`#IQOfoQgmM|&qrJrl%RbI7O6V5XN;{#mvs!w4PyGGa~h-$x@65XpOZm#S3 zQ_v|b)VZ_DTK3u5S|@IyqX@fHKwP9fwEGfCfkzq6GlCwlX*CKc9y&aa2p$*6;ADZF zZ4&Lv?dln1z>hJQ%q+t+;ari0VNk45eUMEXBr?XPX&J~e5OJp0ScZE%iFhr-mk>D| z_IOfDnuiTT0Iepmn&%65>@GC^abjjNv+S7(=ZY*0gGS^sp-odi0})LWnOU@N!%s%! zm$}MY=O%J^kLuiXh`0Yj6(%#wKAG@FdUUKwHc)2b2vUZ7V6mvnkn|T$tT@~R0=D6)1(}6_0MLYxKpK%W zC}pQTv`JpLy!C(5leJ;X&isH=_ z&}_`>*%pJrTbkd?Js{X7BIP^!ahWnaY=3-ZyH6$UO5rA?*DpH*F88@42kEy`c$*3T zK1xDtUpIHd4im9uBn-oc#$_NZ9R3OledEj4TROVG9qe_u*yl1>d3x?~gu5!9lqPb- z1tC2&ML5q09taiiuCXTBz+Olh9)V2}4IalqD_k^1%Rn&$Spj7wshXJZ2ooUmVenX^ zxV|T81Vre|O<){0X&IQCfgT2Gjj5h&cp4+e|9j+WM2~|csF5{g%RnguW!h9NOn8Jz zBXTuy7p+w97A~hpJwjD!Q|*HdPegwFzelb{RG#gqu{Pz?Wq@uaE6CEZW-_yk(}YLh zfjIi7-pco(XyR&QSZ-Xsx3r*9Tk!s^FH7&pdW53Vric+xJj(DTM2>WnOFE*xY+yk# zQ^HLAG`ODzYpAEsKvqCpq^NpTOn8I|5c)7EPd3y+Ho&upmJVb@bdgf0!^mlqmVqz7xqqbvWgDDSCio8zV&scpmpx7vb1fo zv$al^&hyK2N)eeo1qD1+r2GPs%UF2D=NcXnSCRvU_t0ho3yPW2&%{rIBOTWxbBE`7 zWacMh7+C>jHKvAX!h4tiF|$SucotEcks-lN=4N09k;AnOPZen}vl?GzB3Ii~mDfei zY6_$hbA+Yy1nKRxnsH)gGP4ZGg!jNVB9~cfnkUVG&CDV+8-6Mx_ad&RQ+zPJ={h%& zL;L;oD6SA`GPBHw2_G`uh+Jl^X`VC#HZzORZ1|~&d?NEx0*pRb$j!k#~7zmjK2Q7Lb#F0iM z4NBQ*^dd<_hFBO!SZbEwfXuGY2H?c5W{G3X%_oyVX2gHSR zJ$t>ovEjUL<@>N#H@;;4#*=Th+gjLx9uYjUlotmWvU^~|(~LmwN{Mg+x>QAci5vk- z>>4nr88Qx9TyN4cFew9h0i`01C0iTLGlIdsRHM?j0nZ{T4if3IX<7z212!`=EFIYJ zRFUo9BTxBiuV;_}o0&y`HoOtJNLW*xK?ZDQ76IDuMC9A~bJ9e3Do!?dx-H;5Y#1U! zYKrsAK&TRuU+C3lX5rL^r;3abhHXYJ(l=LeJJ(aRt)I>yGWMFJT%K#|E8bXJCE5seF;ZZt33WbSc-{+VzG6c7Oi zqD>F25Q-*1sl!F0l0E8RPw&VxTJDp4Mr%MkP=)sVOI)6*n$3euPzG1#)QX&FuofB zM2NZ;5irgK{~{wzS_bB1pa)p3G1ZF==ZbtF<5G<(e#`I(bT+UQ^QLMUFf))95Eto@ zMBe^Aax>@c;%PI`qq#=pr%ifm?X(%FLgf0W8Ky64@6{%*9m0tNOUUR3#*=`P-cUC6J1Ab-@IiMyyqAeiwQKQF* zbf|-DJtDtHNUKYgHObYZY!17wM-tQ;Q?p~k6OkYIXL^O_wzMKrGAMLb(6Q6CaXPdi zTLx-pVCfXpj%(@XTA7N}_H1~X5p4IVBn^Lnt6uqUF9f^?KBH?WmVH?V%L9V$)sryS zwV!J+3GXJMjst05IUnbdtiw_dSpj7=COiUa!h4ti!6nTaE@MD;1yBQ?MT9xU5`mNT z1s%N_sl&|G(>IPao0&xrHat~iZ6X(8HATySkpYvLrJV`yfuBj_FsV)CXk(gO6**5! z$$$zou;&DILohZoi+F8#BXW_jrZ|HPn9MBWGvPh(ry%mnT;=shPbH~y(@`ndQ@gd9 zSwv&Q8I8L`LYKkCKiVCu9W04Sy_t%jM+H|s22VfIU`59@Ia3N5$T}t=N7oKFA<6~ zVKAB)XK(r#-}l+hTLw}F_vzs%79%1`OKN zOl^1~@)%(|y+#dq8a51p=O!%!b24Bvv+!iYQ$;o+7l~_%r^|rN%pxuuo`}4QFlV8r`|;37Af2!t3yU7xNVPzVpw#&Uu5;T5~APr?Rx}? zuTSKWn!%&M`)SxP1kkxcOH~!5%9><_IGanGnMHnVc&f-YBNvHVr05q}9Wuh4vPsLp znPgz;6x0rX>F1jMd5;?}RZKX~2#gPK)vJD=m)JGJCP8o<@Ki<6y?Wy2x~?+?t#fCU z_04BzYn`}-jw0+*0dbM`(C$kl1s-KM&j@EhduGD9A`8Qy5xGog)6~yEL=#137VX>clM(r4 zuJYEoi5%XeIyW8S?Y~fk$;`4(CcF{3Ojy%AeFh>zxHKXk=2;GrgVAy3>A`zAaGZ%J zh=ZmG$I8Kg0NDn5bgW4>P-fx?QigkAv8c>>pBA-w%c5``&7in7wPrO(yzC?BWxDYus0?-5Zg@n z_fZmJ`?|T4yHa-HE)W(De?@O@VJFMhTROVGln$A-&uN)eeo1qD1+qsksj>8sCvL=NgjtNYnMpm)pOGtcvcyhLFDMS4PQd!@LHM3 z(Zn>lE^?lhlL57Ko-m_{D$sFa)`+}Ha+}jL%7D$xB2XKCDkAqHt|wGXpR3lni5$A# z&rd*@Z*r*?jmR(coojL18E|~rW@d(^0~=nS$j6!CFwerFM_f4D&?C^JQ^|5e5E~9Q z9(=>z(W6TmkCUN69PG+HLCWwfBDZT-w%J7u$DW=|cmNCtnFR+edLhJ-MkEbN*=h75 zNkoQT`n^3M{n&g0J-+%oS}U{Qya#2lf8mskFMBTO)ZKPvc(|cpUj?jUQ$pZzk6b|Y zij99xMYeKxW5an<$@c>ps~caW)9#zjeM4hfh364{c-Q&C0gAo6IH0s~2%aVoa#u=( zH_)Xj+1J|Ti2A|!yZ2Nd=da{yIsZ_fSA+qd3VMxc9kt;PWHKNkY|{)Nx51TtiBRb9 zG;A0GXp@$KITX(_I? z^y`K>e^m^`{ZQ4tg}MRy{7v z6?AKTK{~ER{^l}zx<3lWu@(`$USnFfY&egR9=6kKvQyn}%)^CIqY^oq(FfV|L86AbL|Gu`I-k8u0(>f)eTJ+ahj(GMHX=OfHKw&; z!+DJKuoX4xN^@x)UY?P|dy|%dsTnYtSvoP{CnIum*+2$;CYqXmepu(G<1ulU{y7%W zkJAu&`1CPn;-!a1@O~q5k*KCvlmU~OrAHHfDk2Xp$G9X$p_z=3GfLo0-$2~g^A zM49CkP)PR6Bt5KOxN%p>a5;P0+#GJ6Y~S=I-(2?bw`zzan@R(E!n0 z9MX9tWp#0nZ~aWi!E+QH{4%T?<_0M~J z0IOocBTRrWKEQER$i&b4arV~#wXkoBmH{IJJ+7%WrW)CBo)J8dalk-iO=?2*T82m9 zvw@{LXv&rWBLi6hWhJQ^neYe`AZ}7gvxdtU5FurF7E#sPa1qo+DQK=*Nn9nr)!lAW z(xWZ4#?&BeI9DW>G;2~5s@F0+0-p^m)xlayzm}us=bSQ-6;M`^YLq5C!UPC?)C|)X zTosZ=K*W`D6OG74=FU{%s>HQf=(UHPT4Sn18=l6l}WN_GG53EC>7~Y^}-NP6CPm#gg$EY7?BQjkj=PlMU#eX=Vk_I z_N6LolCz^|28T1y1ESWLYHP!JjFg#K^;(8U;Io0HI(Vi^ofVGlY&_OMvu_z#F9VzP zT%h*{t-Hq~+?7QfCkOiH{Qv=M*_U;&JRs;^C0X(Y`6{uv zd0rRIZDveYr$-Z}Lvy71d9Q!i*i%q)+ru?b2Wk|sB!C)lQT54g&MTa(FX-siNF8QA zQTl9V7D3qXRFSobT!hsWEdxddKu7vyK{YbrJxpd2IZSF3Iog;eS4Ga#QZk^z4D9(q zZDtnn+VDo?B4JH&1{pA!S;l9=d*Dw&vI(&Bc*61|Yq$wq*_A*L4}}iT zA_63d$ANB>ApA;=dz=*E+-C=vXcN7VLf#y-Dm>r{cN&l+uR_ZAy1x7RX77hg zvP~!itQr?jxY!i_ZZk0_eTlj6q z+g!@qOr%$Tv)$Ih4)E(O*{+mFkAdy=Js{X7BE@gEKdq8Bv3S(xBRt@(gu}kP%|v>2 z_xUE4azvZY$9f;eL%`e5Ukhh!C)+?7Tkr?EI3OZy(+nWD!Igc9Q0VY1qBeWm&s;m7 zk^y}M4ppy;4NnzW7&XNj)d!hg^=ep6*)mYhK+dwMNMp&sh9@HTgZ=axHQ-r9#X(XE z_j>dTr*YSjAe)&*4s3X;$crU%{bomGp(!pZ12!{@uGsKIYVy`N>Eu0WK4pnKPe&+VCYr9;ppH z3cR0&4MPB(D|M!;SY^5b`K`OZ-WThFo|HqeFSAVeV)4Lb>Uqb;ZYI1A_ z+@;pyu^n&rEd$4Az#s48X*@XDtfG>jtJEyLy*y>Ih{)?qg1@Za3s=91*1~hiz(p3z zKcVc0=kgA$-4FVckI#89nfOI@;47UN0rk6;OjRh&C6v?d4 t^#czD>1h#kh+K(Z_GmI1F{$b$|W8|35AJi^Bi_ literal 0 HcmV?d00001 diff --git a/register_8b/register_8b.tan.rpt b/register_8b/register_8b.tan.rpt new file mode 100644 index 0000000..2dd1b82 --- /dev/null +++ b/register_8b/register_8b.tan.rpt @@ -0,0 +1,216 @@ +Classic Timing Analyzer report for register_8b +Mon Mar 07 09:09:57 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Timing Analyzer Settings + 4. Clock Settings Summary + 5. Parallel Compilation + 6. tsu + 7. tco + 8. th + 9. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++------------------------------+-------+---------------+-------------+-------+-------+------------+----------+--------------+ +; Type ; Slack ; Required Time ; Actual Time ; From ; To ; From Clock ; To Clock ; Failed Paths ; ++------------------------------+-------+---------------+-------------+-------+-------+------------+----------+--------------+ +; Worst-case tsu ; N/A ; None ; 4.872 ns ; D3 ; inst5 ; -- ; CP ; 0 ; +; Worst-case tco ; N/A ; None ; 8.228 ns ; inst3 ; Q5 ; CP ; -- ; 0 ; +; Worst-case th ; N/A ; None ; 0.406 ns ; D1 ; inst7 ; -- ; CP ; 0 ; +; Total number of failed paths ; ; ; ; ; ; ; ; 0 ; ++------------------------------+-------+---------------+-------------+-------+-------+------------+----------+--------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Settings ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Option ; Setting ; From ; To ; Entity Name ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Device Name ; EP2C8Q208C8 ; ; ; ; +; Timing Models ; Final ; ; ; ; +; Default hold multicycle ; Same as Multicycle ; ; ; ; +; Cut paths between unrelated clock domains ; On ; ; ; ; +; Cut off read during write signal paths ; On ; ; ; ; +; Cut off feedback from I/O pins ; On ; ; ; ; +; Report Combined Fast/Slow Timing ; Off ; ; ; ; +; Ignore Clock Settings ; Off ; ; ; ; +; Analyze latches as synchronous elements ; On ; ; ; ; +; Enable Recovery/Removal analysis ; Off ; ; ; ; +; Enable Clock Latency ; Off ; ; ; ; +; Use TimeQuest Timing Analyzer ; Off ; ; ; ; +; Minimum Core Junction Temperature ; 0 ; ; ; ; +; Maximum Core Junction Temperature ; 85 ; ; ; ; +; Number of source nodes to report per destination node ; 10 ; ; ; ; +; Number of destination nodes to report ; 10 ; ; ; ; +; Number of paths to report ; 200 ; ; ; ; +; Report Minimum Timing Checks ; Off ; ; ; ; +; Use Fast Timing Models ; Off ; ; ; ; +; Report IO Paths Separately ; Off ; ; ; ; +; Perform Multicorner Analysis ; On ; ; ; ; +; Reports the worst-case path for each clock domain and analysis ; Off ; ; ; ; +; Removes common clock path pessimism (CCPP) during slack computation ; Off ; ; ; ; +; Output I/O Timing Endpoint ; Near End ; ; ; ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clock Settings Summary ; ++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ +; Clock Node Name ; Clock Setting Name ; Type ; Fmax Requirement ; Early Latency ; Late Latency ; Based on ; Multiply Base Fmax by ; Divide Base Fmax by ; Offset ; Phase offset ; ++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ +; CP ; ; User Pin ; None ; 0.000 ns ; 0.000 ns ; -- ; N/A ; N/A ; N/A ; ; ++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2-4 processors ; 0.0% ; ++----------------------------+-------------+ + + ++-------------------------------------------------------------+ +; tsu ; ++-------+--------------+------------+------+-------+----------+ +; Slack ; Required tsu ; Actual tsu ; From ; To ; To Clock ; ++-------+--------------+------------+------+-------+----------+ +; N/A ; None ; 4.872 ns ; D3 ; inst5 ; CP ; +; N/A ; None ; 4.693 ns ; D0 ; inst8 ; CP ; +; N/A ; None ; 4.628 ns ; D4 ; inst4 ; CP ; +; N/A ; None ; 4.577 ns ; D6 ; inst2 ; CP ; +; N/A ; None ; 4.264 ns ; D5 ; inst3 ; CP ; +; N/A ; None ; 4.007 ns ; D7 ; inst ; CP ; +; N/A ; None ; 1.029 ns ; D2 ; inst6 ; CP ; +; N/A ; None ; -0.140 ns ; D1 ; inst7 ; CP ; ++-------+--------------+------------+------+-------+----------+ + + ++-------------------------------------------------------------+ +; tco ; ++-------+--------------+------------+-------+----+------------+ +; Slack ; Required tco ; Actual tco ; From ; To ; From Clock ; ++-------+--------------+------------+-------+----+------------+ +; N/A ; None ; 8.228 ns ; inst3 ; Q5 ; CP ; +; N/A ; None ; 8.096 ns ; inst2 ; Q6 ; CP ; +; N/A ; None ; 7.981 ns ; inst4 ; Q4 ; CP ; +; N/A ; None ; 7.359 ns ; inst6 ; Q2 ; CP ; +; N/A ; None ; 7.354 ns ; inst ; Q7 ; CP ; +; N/A ; None ; 7.258 ns ; inst5 ; Q3 ; CP ; +; N/A ; None ; 6.982 ns ; inst8 ; Q0 ; CP ; +; N/A ; None ; 6.969 ns ; inst7 ; Q1 ; CP ; ++-------+--------------+------------+-------+----+------------+ + + ++-------------------------------------------------------------------+ +; th ; ++---------------+-------------+-----------+------+-------+----------+ +; Minimum Slack ; Required th ; Actual th ; From ; To ; To Clock ; ++---------------+-------------+-----------+------+-------+----------+ +; N/A ; None ; 0.406 ns ; D1 ; inst7 ; CP ; +; N/A ; None ; -0.763 ns ; D2 ; inst6 ; CP ; +; N/A ; None ; -3.741 ns ; D7 ; inst ; CP ; +; N/A ; None ; -3.998 ns ; D5 ; inst3 ; CP ; +; N/A ; None ; -4.311 ns ; D6 ; inst2 ; CP ; +; N/A ; None ; -4.362 ns ; D4 ; inst4 ; CP ; +; N/A ; None ; -4.427 ns ; D0 ; inst8 ; CP ; +; N/A ; None ; -4.606 ns ; D3 ; inst5 ; CP ; ++---------------+-------------+-----------+------+-------+----------+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Classic Timing Analyzer + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 09:09:57 2022 +Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off register_8b -c register_8b --timing_analysis_only +Info: Parallel compilation is enabled and will use 4 of the 4 processors detected +Warning: Found pins functioning as undefined clocks and/or memory enables + Info: Assuming node "CP" is an undefined clock +Info: No valid register-to-register data paths exist for clock "CP" +Info: tsu for register "inst5" (data pin = "D3", clock pin = "CP") is 4.872 ns + Info: + Longest pin to register delay is 7.782 ns + Info: 1: + IC(0.000 ns) + CELL(0.984 ns) = 0.984 ns; Loc. = PIN_96; Fanout = 1; PIN Node = 'D3' + Info: 2: + IC(6.338 ns) + CELL(0.460 ns) = 7.782 ns; Loc. = LCFF_X32_Y15_N17; Fanout = 1; REG Node = 'inst5' + Info: Total cell delay = 1.444 ns ( 18.56 % ) + Info: Total interconnect delay = 6.338 ns ( 81.44 % ) + Info: + Micro setup delay of destination is -0.040 ns + Info: - Shortest clock path from clock "CP" to destination register is 2.870 ns + Info: 1: + IC(0.000 ns) + CELL(1.140 ns) = 1.140 ns; Loc. = PIN_23; Fanout = 1; CLK Node = 'CP' + Info: 2: + IC(0.139 ns) + CELL(0.000 ns) = 1.279 ns; Loc. = CLKCTRL_G2; Fanout = 8; COMB Node = 'CP~clkctrl' + Info: 3: + IC(0.925 ns) + CELL(0.666 ns) = 2.870 ns; Loc. = LCFF_X32_Y15_N17; Fanout = 1; REG Node = 'inst5' + Info: Total cell delay = 1.806 ns ( 62.93 % ) + Info: Total interconnect delay = 1.064 ns ( 37.07 % ) +Info: tco from clock "CP" to destination pin "Q5" through register "inst3" is 8.228 ns + Info: + Longest clock path from clock "CP" to source register is 2.879 ns + Info: 1: + IC(0.000 ns) + CELL(1.140 ns) = 1.140 ns; Loc. = PIN_23; Fanout = 1; CLK Node = 'CP' + Info: 2: + IC(0.139 ns) + CELL(0.000 ns) = 1.279 ns; Loc. = CLKCTRL_G2; Fanout = 8; COMB Node = 'CP~clkctrl' + Info: 3: + IC(0.934 ns) + CELL(0.666 ns) = 2.879 ns; Loc. = LCFF_X12_Y2_N9; Fanout = 1; REG Node = 'inst3' + Info: Total cell delay = 1.806 ns ( 62.73 % ) + Info: Total interconnect delay = 1.073 ns ( 37.27 % ) + Info: + Micro clock to output delay of source is 0.304 ns + Info: + Longest register to pin delay is 5.045 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LCFF_X12_Y2_N9; Fanout = 1; REG Node = 'inst3' + Info: 2: + IC(1.765 ns) + CELL(3.280 ns) = 5.045 ns; Loc. = PIN_47; Fanout = 0; PIN Node = 'Q5' + Info: Total cell delay = 3.280 ns ( 65.01 % ) + Info: Total interconnect delay = 1.765 ns ( 34.99 % ) +Info: th for register "inst7" (data pin = "D1", clock pin = "CP") is 0.406 ns + Info: + Longest clock path from clock "CP" to destination register is 2.855 ns + Info: 1: + IC(0.000 ns) + CELL(1.140 ns) = 1.140 ns; Loc. = PIN_23; Fanout = 1; CLK Node = 'CP' + Info: 2: + IC(0.139 ns) + CELL(0.000 ns) = 1.279 ns; Loc. = CLKCTRL_G2; Fanout = 8; COMB Node = 'CP~clkctrl' + Info: 3: + IC(0.910 ns) + CELL(0.666 ns) = 2.855 ns; Loc. = LCFF_X1_Y14_N17; Fanout = 1; REG Node = 'inst7' + Info: Total cell delay = 1.806 ns ( 63.26 % ) + Info: Total interconnect delay = 1.049 ns ( 36.74 % ) + Info: + Micro hold delay of destination is 0.306 ns + Info: - Shortest pin to register delay is 2.755 ns + Info: 1: + IC(0.000 ns) + CELL(1.140 ns) = 1.140 ns; Loc. = PIN_28; Fanout = 1; PIN Node = 'D1' + Info: 2: + IC(1.301 ns) + CELL(0.206 ns) = 2.647 ns; Loc. = LCCOMB_X1_Y14_N16; Fanout = 1; COMB Node = 'inst7~feeder' + Info: 3: + IC(0.000 ns) + CELL(0.108 ns) = 2.755 ns; Loc. = LCFF_X1_Y14_N17; Fanout = 1; REG Node = 'inst7' + Info: Total cell delay = 1.454 ns ( 52.78 % ) + Info: Total interconnect delay = 1.301 ns ( 47.22 % ) +Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 212 megabytes + Info: Processing ended: Mon Mar 07 09:09:57 2022 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/register_8b/register_8b.tan.summary b/register_8b/register_8b.tan.summary new file mode 100644 index 0000000..02ebc11 --- /dev/null +++ b/register_8b/register_8b.tan.summary @@ -0,0 +1,46 @@ +-------------------------------------------------------------------------------------- +Timing Analyzer Summary +-------------------------------------------------------------------------------------- + +Type : Worst-case tsu +Slack : N/A +Required Time : None +Actual Time : 4.872 ns +From : D3 +To : inst5 +From Clock : -- +To Clock : CP +Failed Paths : 0 + +Type : Worst-case tco +Slack : N/A +Required Time : None +Actual Time : 8.228 ns +From : inst3 +To : Q5 +From Clock : CP +To Clock : -- +Failed Paths : 0 + +Type : Worst-case th +Slack : N/A +Required Time : None +Actual Time : 0.406 ns +From : D1 +To : inst7 +From Clock : -- +To Clock : CP +Failed Paths : 0 + +Type : Total number of failed paths +Slack : +Required Time : +Actual Time : +From : +To : +From Clock : +To Clock : +Failed Paths : 0 + +-------------------------------------------------------------------------------------- + From a54ad606525377b235c5a017b5e92999cf9726f8 Mon Sep 17 00:00:00 2001 From: juzeon <812312770@qq.com> Date: Mon, 7 Mar 2022 09:13:35 +0800 Subject: [PATCH 3/7] =?UTF-8?q?add=2038=E8=AF=91=E7=A0=81=E5=99=A8?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- 38_decoder/38_decoder.asm.rpt | 129 +++ 38_decoder/38_decoder.bdf | 886 +++++++++++++++++ 38_decoder/38_decoder.done | 1 + 38_decoder/38_decoder.dpf | 12 + 38_decoder/38_decoder.fit.rpt | 914 ++++++++++++++++++ 38_decoder/38_decoder.fit.smsg | 6 + 38_decoder/38_decoder.fit.summary | 16 + 38_decoder/38_decoder.flow.rpt | 121 +++ 38_decoder/38_decoder.map.rpt | 218 +++++ 38_decoder/38_decoder.map.summary | 14 + 38_decoder/38_decoder.pin | 278 ++++++ 38_decoder/38_decoder.pof | Bin 0 -> 524488 bytes 38_decoder/38_decoder.qpf | 30 + 38_decoder/38_decoder.qsf | 54 ++ 38_decoder/38_decoder.sof | Bin 0 -> 240789 bytes 38_decoder/38_decoder.tan.rpt | 149 +++ 38_decoder/38_decoder.tan.summary | 26 + 38_decoder/db/38_decoder.(0).cnf.cdb | Bin 0 -> 1040 bytes 38_decoder/db/38_decoder.(0).cnf.hdb | Bin 0 -> 725 bytes 38_decoder/db/38_decoder.asm.qmsg | 7 + 38_decoder/db/38_decoder.asm_labs.ddb | Bin 0 -> 3427 bytes 38_decoder/db/38_decoder.cbx.xml | 5 + 38_decoder/db/38_decoder.cmp.bpm | Bin 0 -> 526 bytes 38_decoder/db/38_decoder.cmp.cdb | Bin 0 -> 3008 bytes 38_decoder/db/38_decoder.cmp.ecobp | Bin 0 -> 28 bytes 38_decoder/db/38_decoder.cmp.hdb | Bin 0 -> 6744 bytes 38_decoder/db/38_decoder.cmp.kpt | 10 + 38_decoder/db/38_decoder.cmp.logdb | 1 + 38_decoder/db/38_decoder.cmp.rdb | Bin 0 -> 14924 bytes 38_decoder/db/38_decoder.cmp.tdb | Bin 0 -> 2210 bytes 38_decoder/db/38_decoder.cmp0.ddb | Bin 0 -> 49953 bytes 38_decoder/db/38_decoder.cmp2.ddb | Bin 0 -> 40688 bytes 38_decoder/db/38_decoder.cmp_merge.kpt | 10 + 38_decoder/db/38_decoder.db_info | 3 + 38_decoder/db/38_decoder.eco.cdb | Bin 0 -> 175 bytes 38_decoder/db/38_decoder.fit.qmsg | 39 + 38_decoder/db/38_decoder.hier_info | 26 + 38_decoder/db/38_decoder.hif | 42 + 38_decoder/db/38_decoder.lpc.html | 18 + 38_decoder/db/38_decoder.lpc.rdb | Bin 0 -> 399 bytes 38_decoder/db/38_decoder.lpc.txt | 5 + 38_decoder/db/38_decoder.map.bpm | Bin 0 -> 517 bytes 38_decoder/db/38_decoder.map.cdb | Bin 0 -> 1325 bytes 38_decoder/db/38_decoder.map.ecobp | Bin 0 -> 28 bytes 38_decoder/db/38_decoder.map.hdb | Bin 0 -> 6580 bytes 38_decoder/db/38_decoder.map.kpt | 10 + 38_decoder/db/38_decoder.map.logdb | 1 + 38_decoder/db/38_decoder.map.qmsg | 7 + 38_decoder/db/38_decoder.map_bb.cdb | Bin 0 -> 660 bytes 38_decoder/db/38_decoder.map_bb.hdb | Bin 0 -> 6047 bytes 38_decoder/db/38_decoder.map_bb.logdb | 1 + 38_decoder/db/38_decoder.pre_map.cdb | Bin 0 -> 1051 bytes 38_decoder/db/38_decoder.pre_map.hdb | Bin 0 -> 6736 bytes 38_decoder/db/38_decoder.rtlv.hdb | Bin 0 -> 6734 bytes 38_decoder/db/38_decoder.rtlv_sg.cdb | Bin 0 -> 968 bytes 38_decoder/db/38_decoder.rtlv_sg_swap.cdb | Bin 0 -> 192 bytes 38_decoder/db/38_decoder.sgdiff.cdb | Bin 0 -> 839 bytes 38_decoder/db/38_decoder.sgdiff.hdb | Bin 0 -> 6758 bytes 38_decoder/db/38_decoder.sld_design_entry.sci | Bin 0 -> 168 bytes .../db/38_decoder.sld_design_entry_dsc.sci | Bin 0 -> 168 bytes 38_decoder/db/38_decoder.syn_hier_info | 0 38_decoder/db/38_decoder.tan.qmsg | 6 + 38_decoder/db/38_decoder.tis_db_list.ddb | Bin 0 -> 188 bytes 38_decoder/incremental_db/README | 11 + .../38_decoder.root_partition.cmp.atm | Bin 0 -> 5073 bytes .../38_decoder.root_partition.cmp.dfp | Bin 0 -> 33 bytes .../38_decoder.root_partition.cmp.hdbx | Bin 0 -> 1602 bytes .../38_decoder.root_partition.cmp.kpt | 10 + .../38_decoder.root_partition.cmp.logdb | 1 + .../38_decoder.root_partition.cmp.rcf | Bin 0 -> 754 bytes .../38_decoder.root_partition.map.atm | Bin 0 -> 3511 bytes .../38_decoder.root_partition.map.dpi | Bin 0 -> 688 bytes .../38_decoder.root_partition.map.hdbx | Bin 0 -> 1527 bytes .../38_decoder.root_partition.map.kpt | 10 + register_8b/db/register_8b.tmw_info | 6 + register_8b/register_8b.qws | 4 + 76 files changed, 3087 insertions(+) create mode 100644 38_decoder/38_decoder.asm.rpt create mode 100644 38_decoder/38_decoder.bdf create mode 100644 38_decoder/38_decoder.done create mode 100644 38_decoder/38_decoder.dpf create mode 100644 38_decoder/38_decoder.fit.rpt create mode 100644 38_decoder/38_decoder.fit.smsg create mode 100644 38_decoder/38_decoder.fit.summary create mode 100644 38_decoder/38_decoder.flow.rpt create mode 100644 38_decoder/38_decoder.map.rpt create mode 100644 38_decoder/38_decoder.map.summary create mode 100644 38_decoder/38_decoder.pin create mode 100644 38_decoder/38_decoder.pof create mode 100644 38_decoder/38_decoder.qpf create mode 100644 38_decoder/38_decoder.qsf create mode 100644 38_decoder/38_decoder.sof create mode 100644 38_decoder/38_decoder.tan.rpt create mode 100644 38_decoder/38_decoder.tan.summary create mode 100644 38_decoder/db/38_decoder.(0).cnf.cdb create mode 100644 38_decoder/db/38_decoder.(0).cnf.hdb create mode 100644 38_decoder/db/38_decoder.asm.qmsg create mode 100644 38_decoder/db/38_decoder.asm_labs.ddb create mode 100644 38_decoder/db/38_decoder.cbx.xml create mode 100644 38_decoder/db/38_decoder.cmp.bpm create mode 100644 38_decoder/db/38_decoder.cmp.cdb create mode 100644 38_decoder/db/38_decoder.cmp.ecobp create mode 100644 38_decoder/db/38_decoder.cmp.hdb create mode 100644 38_decoder/db/38_decoder.cmp.kpt create mode 100644 38_decoder/db/38_decoder.cmp.logdb create mode 100644 38_decoder/db/38_decoder.cmp.rdb create mode 100644 38_decoder/db/38_decoder.cmp.tdb create mode 100644 38_decoder/db/38_decoder.cmp0.ddb create mode 100644 38_decoder/db/38_decoder.cmp2.ddb create mode 100644 38_decoder/db/38_decoder.cmp_merge.kpt create mode 100644 38_decoder/db/38_decoder.db_info create mode 100644 38_decoder/db/38_decoder.eco.cdb create mode 100644 38_decoder/db/38_decoder.fit.qmsg create mode 100644 38_decoder/db/38_decoder.hier_info create mode 100644 38_decoder/db/38_decoder.hif create mode 100644 38_decoder/db/38_decoder.lpc.html create mode 100644 38_decoder/db/38_decoder.lpc.rdb create mode 100644 38_decoder/db/38_decoder.lpc.txt create mode 100644 38_decoder/db/38_decoder.map.bpm create mode 100644 38_decoder/db/38_decoder.map.cdb create mode 100644 38_decoder/db/38_decoder.map.ecobp create mode 100644 38_decoder/db/38_decoder.map.hdb create mode 100644 38_decoder/db/38_decoder.map.kpt create mode 100644 38_decoder/db/38_decoder.map.logdb create mode 100644 38_decoder/db/38_decoder.map.qmsg create mode 100644 38_decoder/db/38_decoder.map_bb.cdb create mode 100644 38_decoder/db/38_decoder.map_bb.hdb create mode 100644 38_decoder/db/38_decoder.map_bb.logdb create mode 100644 38_decoder/db/38_decoder.pre_map.cdb create mode 100644 38_decoder/db/38_decoder.pre_map.hdb create mode 100644 38_decoder/db/38_decoder.rtlv.hdb create mode 100644 38_decoder/db/38_decoder.rtlv_sg.cdb create mode 100644 38_decoder/db/38_decoder.rtlv_sg_swap.cdb create mode 100644 38_decoder/db/38_decoder.sgdiff.cdb create mode 100644 38_decoder/db/38_decoder.sgdiff.hdb create mode 100644 38_decoder/db/38_decoder.sld_design_entry.sci create mode 100644 38_decoder/db/38_decoder.sld_design_entry_dsc.sci create mode 100644 38_decoder/db/38_decoder.syn_hier_info create mode 100644 38_decoder/db/38_decoder.tan.qmsg create mode 100644 38_decoder/db/38_decoder.tis_db_list.ddb create mode 100644 38_decoder/incremental_db/README create mode 100644 38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.atm create mode 100644 38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.dfp create mode 100644 38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.hdbx create mode 100644 38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.kpt create mode 100644 38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.logdb create mode 100644 38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.rcf create mode 100644 38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.map.atm create mode 100644 38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.map.dpi create mode 100644 38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.map.hdbx create mode 100644 38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.map.kpt create mode 100644 register_8b/db/register_8b.tmw_info create mode 100644 register_8b/register_8b.qws diff --git a/38_decoder/38_decoder.asm.rpt b/38_decoder/38_decoder.asm.rpt new file mode 100644 index 0000000..4daad42 --- /dev/null +++ b/38_decoder/38_decoder.asm.rpt @@ -0,0 +1,129 @@ +Assembler report for 38_decoder +Mon Mar 07 09:13:07 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: D:/projects/quartus/38_decoder/38_decoder.sof + 6. Assembler Device Options: D:/projects/quartus/38_decoder/38_decoder.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Mon Mar 07 09:13:07 2022 ; +; Revision Name ; 38_decoder ; +; Top-level Entity Name ; 38_decoder ; +; Family ; Cyclone II ; +; Device ; EP2C8Q208C8 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Generate compressed bitstreams ; On ; On ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; Off ; Off ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Maintain Compatibility with All Cyclone II M4K Versions ; On ; On ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++-----------------------------------------------+ +; Assembler Generated Files ; ++-----------------------------------------------+ +; File Name ; ++-----------------------------------------------+ +; D:/projects/quartus/38_decoder/38_decoder.sof ; +; D:/projects/quartus/38_decoder/38_decoder.pof ; ++-----------------------------------------------+ + + ++-------------------------------------------------------------------------+ +; Assembler Device Options: D:/projects/quartus/38_decoder/38_decoder.sof ; ++----------------+--------------------------------------------------------+ +; Option ; Setting ; ++----------------+--------------------------------------------------------+ +; Device ; EP2C8Q208C8 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x000C6513 ; ++----------------+--------------------------------------------------------+ + + ++-------------------------------------------------------------------------+ +; Assembler Device Options: D:/projects/quartus/38_decoder/38_decoder.pof ; ++--------------------+----------------------------------------------------+ +; Option ; Setting ; ++--------------------+----------------------------------------------------+ +; Device ; EPCS4 ; +; JTAG usercode ; 0x00000000 ; +; Checksum ; 0x06F0CA55 ; +; Compression Ratio ; 3 ; ++--------------------+----------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II Assembler + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 09:13:07 2022 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off 38_decoder -c 38_decoder +Info: Writing out detailed assembly data for power analysis +Info: Assembler is generating device programming files +Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled +Info: Quartus II Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 241 megabytes + Info: Processing ended: Mon Mar 07 09:13:07 2022 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/38_decoder/38_decoder.bdf b/38_decoder/38_decoder.bdf new file mode 100644 index 0000000..4f89c23 --- /dev/null +++ b/38_decoder/38_decoder.bdf @@ -0,0 +1,886 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +//#pragma file_not_in_maxplusii_format +(header "graphic" (version "1.3")) +(pin + (input) + (rect 32 328 200 344) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "I0" (rect 5 0 14 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 32 240 200 256) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "I1" (rect 5 0 14 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 32 144 200 160) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "I2" (rect 5 0 14 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 664 40 840 56) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y7" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 664 376 840 392) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y0" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 664 328 840 344) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y1" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 664 280 840 296) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y2" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 664 232 840 248) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y3" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 664 184 840 200) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y4" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 664 136 840 152) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y5" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 664 88 840 104) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y6" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(symbol + (rect 296 136 344 168) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 21 20 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)(line_width 1)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)(line_width 1)) + ) + (drawing + (line (pt 13 25)(pt 13 7)(line_width 1)) + (line (pt 13 7)(pt 31 16)(line_width 1)) + (line (pt 13 25)(pt 31 16)(line_width 1)) + (circle (rect 31 12 39 20)(line_width 1)) + ) +) +(symbol + (rect 296 232 344 264) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)(line_width 1)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)(line_width 1)) + ) + (drawing + (line (pt 13 25)(pt 13 7)(line_width 1)) + (line (pt 13 7)(pt 31 16)(line_width 1)) + (line (pt 13 25)(pt 31 16)(line_width 1)) + (circle (rect 31 12 39 20)(line_width 1)) + ) +) +(symbol + (rect 296 320 344 352) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)(line_width 1)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)(line_width 1)) + ) + (drawing + (line (pt 13 25)(pt 13 7)(line_width 1)) + (line (pt 13 7)(pt 31 16)(line_width 1)) + (line (pt 13 25)(pt 31 16)(line_width 1)) + (circle (rect 31 12 39 20)(line_width 1)) + ) +) +(symbol + (rect 544 24 608 72) + (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 43 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 16 12)(pt 31 12)(line_width 1)) + (line (pt 16 37)(pt 31 37)(line_width 1)) + (line (pt 16 12)(pt 16 37)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + ) +) +(symbol + (rect 544 72 608 120) + (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 43 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 16 12)(pt 31 12)(line_width 1)) + (line (pt 16 37)(pt 31 37)(line_width 1)) + (line (pt 16 12)(pt 16 37)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + ) +) +(symbol + (rect 544 120 608 168) + (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 43 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 16 12)(pt 31 12)(line_width 1)) + (line (pt 16 37)(pt 31 37)(line_width 1)) + (line (pt 16 12)(pt 16 37)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + ) +) +(symbol + (rect 544 168 608 216) + (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 43 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 16 12)(pt 31 12)(line_width 1)) + (line (pt 16 37)(pt 31 37)(line_width 1)) + (line (pt 16 12)(pt 16 37)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + ) +) +(symbol + (rect 544 216 608 264) + (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 43 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 16 12)(pt 31 12)(line_width 1)) + (line (pt 16 37)(pt 31 37)(line_width 1)) + (line (pt 16 12)(pt 16 37)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + ) +) +(symbol + (rect 544 264 608 312) + (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst8" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 43 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 16 12)(pt 31 12)(line_width 1)) + (line (pt 16 37)(pt 31 37)(line_width 1)) + (line (pt 16 12)(pt 16 37)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + ) +) +(symbol + (rect 544 312 608 360) + (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst9" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 43 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 16 12)(pt 31 12)(line_width 1)) + (line (pt 16 37)(pt 31 37)(line_width 1)) + (line (pt 16 12)(pt 16 37)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + ) +) +(symbol + (rect 544 360 608 408) + (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst10" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 43 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 16 12)(pt 31 12)(line_width 1)) + (line (pt 16 37)(pt 31 37)(line_width 1)) + (line (pt 16 12)(pt 16 37)(line_width 1)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)(line_width 1)) + ) +) +(connector + (pt 216 40) + (pt 216 152) +) +(connector + (pt 240 48) + (pt 240 248) +) +(connector + (pt 264 56) + (pt 264 336) +) +(connector + (pt 544 184) + (pt 360 184) +) +(connector + (pt 344 152) + (pt 376 152) +) +(connector + (pt 376 376) + (pt 544 376) +) +(connector + (pt 544 88) + (pt 360 88) +) +(connector + (pt 544 288) + (pt 416 288) +) +(connector + (pt 544 344) + (pt 464 344) +) +(connector + (pt 544 96) + (pt 416 96) +) +(connector + (pt 544 328) + (pt 376 328) +) +(connector + (pt 544 280) + (pt 376 280) +) +(connector + (pt 544 232) + (pt 376 232) +) +(connector + (pt 544 240) + (pt 416 240) +) +(connector + (pt 544 104) + (pt 520 104) +) +(connector + (pt 520 392) + (pt 544 392) +) +(connector + (pt 544 296) + (pt 520 296) +) +(connector + (pt 544 200) + (pt 520 200) +) +(connector + (pt 344 336) + (pt 520 336) +) +(connector + (pt 544 136) + (pt 360 136) +) +(connector + (pt 544 152) + (pt 464 152) +) +(connector + (pt 544 144) + (pt 400 144) +) +(connector + (pt 400 384) + (pt 544 384) +) +(connector + (pt 544 336) + (pt 528 336) +) +(connector + (pt 528 336) + (pt 528 352) +) +(connector + (pt 528 352) + (pt 400 352) +) +(connector + (pt 544 192) + (pt 400 192) +) +(connector + (pt 344 248) + (pt 400 248) +) +(connector + (pt 544 248) + (pt 464 248) +) +(connector + (pt 608 336) + (pt 664 336) +) +(connector + (pt 608 288) + (pt 664 288) +) +(connector + (pt 608 384) + (pt 664 384) +) +(connector + (pt 608 240) + (pt 664 240) +) +(connector + (pt 608 192) + (pt 664 192) +) +(connector + (pt 608 144) + (pt 664 144) +) +(connector + (pt 608 96) + (pt 664 96) +) +(connector + (pt 608 48) + (pt 664 48) +) +(connector + (pt 200 152) + (pt 216 152) +) +(connector + (pt 216 152) + (pt 296 152) +) +(connector + (pt 200 248) + (pt 240 248) +) +(connector + (pt 240 248) + (pt 296 248) +) +(connector + (pt 200 336) + (pt 264 336) +) +(connector + (pt 264 336) + (pt 296 336) +) +(connector + (pt 216 40) + (pt 360 40) +) +(connector + (pt 360 40) + (pt 544 40) +) +(connector + (pt 240 48) + (pt 416 48) +) +(connector + (pt 416 48) + (pt 544 48) +) +(connector + (pt 376 328) + (pt 376 376) +) +(connector + (pt 376 152) + (pt 376 232) +) +(connector + (pt 376 232) + (pt 376 280) +) +(connector + (pt 376 280) + (pt 376 328) +) +(connector + (pt 416 48) + (pt 416 96) +) +(connector + (pt 416 96) + (pt 416 240) +) +(connector + (pt 416 240) + (pt 416 288) +) +(connector + (pt 520 104) + (pt 520 200) +) +(connector + (pt 520 200) + (pt 520 296) +) +(connector + (pt 520 296) + (pt 520 336) +) +(connector + (pt 520 336) + (pt 520 392) +) +(connector + (pt 360 40) + (pt 360 88) +) +(connector + (pt 360 88) + (pt 360 136) +) +(connector + (pt 360 136) + (pt 360 184) +) +(connector + (pt 264 56) + (pt 464 56) +) +(connector + (pt 464 56) + (pt 544 56) +) +(connector + (pt 400 144) + (pt 400 192) +) +(connector + (pt 400 192) + (pt 400 248) +) +(connector + (pt 400 248) + (pt 400 352) +) +(connector + (pt 400 352) + (pt 400 384) +) +(connector + (pt 464 56) + (pt 464 152) +) +(connector + (pt 464 152) + (pt 464 248) +) +(connector + (pt 464 248) + (pt 464 344) +) +(junction (pt 216 152)) +(junction (pt 240 248)) +(junction (pt 264 336)) +(junction (pt 360 88)) +(junction (pt 360 40)) +(junction (pt 416 96)) +(junction (pt 416 48)) +(junction (pt 376 328)) +(junction (pt 376 280)) +(junction (pt 376 232)) +(junction (pt 416 240)) +(junction (pt 520 200)) +(junction (pt 520 296)) +(junction (pt 520 336)) +(junction (pt 360 136)) +(junction (pt 464 152)) +(junction (pt 464 56)) +(junction (pt 400 352)) +(junction (pt 400 192)) +(junction (pt 400 248)) +(junction (pt 464 248)) diff --git a/38_decoder/38_decoder.done b/38_decoder/38_decoder.done new file mode 100644 index 0000000..508b5d2 --- /dev/null +++ b/38_decoder/38_decoder.done @@ -0,0 +1 @@ +Mon Mar 07 09:13:09 2022 diff --git a/38_decoder/38_decoder.dpf b/38_decoder/38_decoder.dpf new file mode 100644 index 0000000..abe19d9 --- /dev/null +++ b/38_decoder/38_decoder.dpf @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/38_decoder/38_decoder.fit.rpt b/38_decoder/38_decoder.fit.rpt new file mode 100644 index 0000000..f8fbfd4 --- /dev/null +++ b/38_decoder/38_decoder.fit.rpt @@ -0,0 +1,914 @@ +Fitter report for 38_decoder +Mon Mar 07 09:13:06 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Input Pins + 11. Output Pins + 12. I/O Bank Usage + 13. All Package Pins + 14. Output Pin Default Load For Reported TCO + 15. Fitter Resource Utilization by Entity + 16. Delay Chain Summary + 17. Pad To Core Delay Chain Fanout + 18. Non-Global High Fan-Out Signals + 19. Interconnect Usage Summary + 20. LAB Logic Elements + 21. LAB Signals Sourced + 22. LAB Signals Sourced Out + 23. LAB Distinct Inputs + 24. Fitter Device Options + 25. Operating Settings and Conditions + 26. Estimated Delay Added for Hold Timing + 27. Advanced Data - General + 28. Advanced Data - Placement Preparation + 29. Advanced Data - Placement + 30. Advanced Data - Routing + 31. Fitter Messages + 32. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+----------------------------------------------+ +; Fitter Status ; Successful - Mon Mar 07 09:13:06 2022 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; 38_decoder ; +; Top-level Entity Name ; 38_decoder ; +; Family ; Cyclone II ; +; Device ; EP2C8Q208C8 ; +; Timing Models ; Final ; +; Total logic elements ; 8 / 8,256 ( < 1 % ) ; +; Total combinational functions ; 8 / 8,256 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 8,256 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 11 / 138 ( 8 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; Total PLLs ; 0 / 2 ( 0 % ) ; ++------------------------------------+----------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP2C8Q208C8 ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Use TimeQuest Timing Analyzer ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Always Enable Input Buffers ; Off ; Off ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; Off ; Off ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; On ; On ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Merge PLLs ; On ; On ; +; Ignore PLL Mode When Merging PLLs ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Stop After Congestion Map Generation ; Off ; Off ; +; Save Intermediate Fitting Results ; Off ; Off ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2-4 processors ; < 0.1% ; ++----------------------------+-------------+ + + ++----------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++-------------------------+--------------------+ +; Type ; Value ; ++-------------------------+--------------------+ +; Placement ; ; +; -- Requested ; 0 / 19 ( 0.00 % ) ; +; -- Achieved ; 0 / 19 ( 0.00 % ) ; +; ; ; +; Routing (by Connection) ; ; +; -- Requested ; 0 / 0 ( 0.00 % ) ; +; -- Achieved ; 0 / 0 ( 0.00 % ) ; ++-------------------------+--------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ + + ++--------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Top ; 19 ; 0 ; N/A ; Source File ; ++----------------+---------+-------------------+-------------------------+-------------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in D:/projects/quartus/38_decoder/38_decoder.pin. + + ++-------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+---------------------+ +; Resource ; Usage ; ++---------------------------------------------+---------------------+ +; Total logic elements ; 8 / 8,256 ( < 1 % ) ; +; -- Combinational with no register ; 8 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 8 ; +; -- <=2 input functions ; 0 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 8 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 8,646 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 8,256 ( 0 % ) ; +; -- I/O registers ; 0 / 390 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 1 / 516 ( < 1 % ) ; +; User inserted logic elements ; 0 ; +; Virtual pins ; 0 ; +; I/O pins ; 11 / 138 ( 8 % ) ; +; -- Clock pins ; 0 / 4 ( 0 % ) ; +; Global signals ; 0 ; +; M4Ks ; 0 / 36 ( 0 % ) ; +; Total block memory bits ; 0 / 165,888 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; PLLs ; 0 / 2 ( 0 % ) ; +; Global clocks ; 0 / 8 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; ASMI blocks ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Maximum fan-out node ; I2 ; +; Maximum fan-out ; 8 ; +; Highest non-global fan-out signal ; I2 ; +; Highest non-global fan-out ; 8 ; +; Total fan-out ; 32 ; +; Average fan-out ; 1.45 ; ++---------------------------------------------+---------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; I0 ; 35 ; 1 ; 0 ; 7 ; 1 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; I1 ; 14 ; 1 ; 0 ; 14 ; 2 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; I2 ; 41 ; 1 ; 0 ; 4 ; 0 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; ++------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; ++------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ +; Y0 ; 45 ; 1 ; 0 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y1 ; 37 ; 1 ; 0 ; 6 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y2 ; 195 ; 2 ; 9 ; 19 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y3 ; 33 ; 1 ; 0 ; 8 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y4 ; 30 ; 1 ; 0 ; 8 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y5 ; 208 ; 2 ; 1 ; 19 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y6 ; 34 ; 1 ; 0 ; 7 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y7 ; 39 ; 1 ; 0 ; 5 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; ++------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1 ; 11 / 32 ( 34 % ) ; 3.3V ; -- ; +; 2 ; 2 / 35 ( 6 % ) ; 3.3V ; -- ; +; 3 ; 1 / 35 ( 3 % ) ; 3.3V ; -- ; +; 4 ; 0 / 36 ( 0 % ) ; 3.3V ; -- ; ++----------+------------------+---------------+--------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; 1 ; 0 ; 1 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; 2 ; 1 ; 1 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; 3 ; 2 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 4 ; 3 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 5 ; 4 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 6 ; 5 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 7 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 8 ; 6 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 10 ; 7 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 11 ; 8 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 12 ; 9 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 13 ; 10 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 14 ; 18 ; 1 ; I1 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 15 ; 19 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 16 ; 20 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; 17 ; 21 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; 18 ; 22 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; 19 ; 23 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; 20 ; 24 ; 1 ; ^DATA0 ; input ; ; ; -- ; ; -- ; -- ; +; 21 ; 25 ; 1 ; ^DCLK ; ; ; ; -- ; ; -- ; -- ; +; 22 ; 26 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; +; 23 ; 27 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 24 ; 28 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 25 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 26 ; 29 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; +; 27 ; 30 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 28 ; 31 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 29 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 30 ; 32 ; 1 ; Y4 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 31 ; 33 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 32 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 33 ; 35 ; 1 ; Y3 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 34 ; 36 ; 1 ; Y6 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 35 ; 37 ; 1 ; I0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 36 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 37 ; 39 ; 1 ; Y1 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 38 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 39 ; 43 ; 1 ; Y7 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 40 ; 44 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 41 ; 45 ; 1 ; I2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 42 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 43 ; 48 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 44 ; 49 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 45 ; 50 ; 1 ; Y0 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 46 ; 51 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 47 ; 52 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 48 ; 53 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 49 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 50 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 51 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 52 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 53 ; ; ; VCCA_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 54 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 55 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 56 ; 54 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 57 ; 55 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 58 ; 56 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 59 ; 57 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 60 ; 58 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 61 ; 59 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 62 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 63 ; 60 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 64 ; 61 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 65 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 66 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 67 ; 69 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 68 ; 70 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 69 ; 71 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 70 ; 74 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 71 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 72 ; 75 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 73 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 74 ; 76 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 75 ; 77 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 76 ; 78 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 77 ; 79 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 78 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 79 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 80 ; 82 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 81 ; 83 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 82 ; 84 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 83 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 84 ; 85 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 85 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 86 ; 86 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 87 ; 87 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 88 ; 88 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 89 ; 89 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 90 ; 90 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 91 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 92 ; 91 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 93 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 94 ; 92 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 95 ; 93 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 96 ; 94 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 97 ; 95 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 98 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 99 ; 96 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 100 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 101 ; 97 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 102 ; 98 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 103 ; 99 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 104 ; 100 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 105 ; 101 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 106 ; 102 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 107 ; 105 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 108 ; 106 ; 3 ; ~LVDS54p/nCEO~ ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 109 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 110 ; 107 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 111 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 112 ; 108 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 113 ; 109 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 114 ; 110 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 115 ; 112 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 116 ; 113 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 117 ; 114 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 118 ; 117 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 119 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 120 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 121 ; 121 ; 3 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; +; 122 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 123 ; 122 ; 3 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; +; 124 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 125 ; 123 ; 3 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; +; 126 ; 124 ; 3 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; +; 127 ; 125 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 128 ; 126 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 129 ; 127 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 130 ; 128 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 131 ; 129 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 132 ; 130 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 133 ; 131 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 134 ; 132 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 135 ; 133 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 136 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 137 ; 134 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 138 ; 135 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 139 ; 136 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 141 ; 137 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 142 ; 138 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 143 ; 141 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 144 ; 142 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 145 ; 143 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 146 ; 149 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 147 ; 150 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 148 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 149 ; 151 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 150 ; 152 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 151 ; 153 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 152 ; 154 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 153 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 154 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 155 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 156 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 157 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 158 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 159 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 160 ; 155 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 161 ; 156 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 162 ; 157 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 163 ; 158 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 164 ; 159 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 165 ; 160 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 166 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 167 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 168 ; 161 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 169 ; 162 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 170 ; 163 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 171 ; 164 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 172 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 173 ; 165 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 174 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 175 ; 168 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 176 ; 169 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 177 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 178 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 179 ; 173 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 180 ; 174 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 181 ; 175 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 182 ; 176 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 183 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 184 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 185 ; 180 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 186 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 187 ; 181 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 188 ; 182 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 189 ; 183 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 190 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 191 ; 184 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 192 ; 185 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 193 ; 186 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 194 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 195 ; 187 ; 2 ; Y2 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 196 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 197 ; 191 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 198 ; 192 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 199 ; 195 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 200 ; 196 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 201 ; 197 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 202 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 203 ; 198 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 204 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 205 ; 199 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 206 ; 200 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 207 ; 201 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 208 ; 202 ; 2 ; Y5 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 0 pF ; Not Available ; +; 3.3-V LVCMOS ; 0 pF ; Not Available ; +; 2.5 V ; 0 pF ; Not Available ; +; 1.8 V ; 0 pF ; Not Available ; +; 1.5 V ; 0 pF ; Not Available ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 10 pF ; 25 Ohm (Parallel) ; +; SSTL-2 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; Differential SSTL-2 ; 0 pF ; (See SSTL-2) ; +; Differential 2.5-V SSTL Class II ; 0 pF ; (See SSTL-2 Class II) ; +; Differential 1.8-V SSTL Class I ; 0 pF ; (See 1.8-V SSTL Class I) ; +; Differential 1.8-V SSTL Class II ; 0 pF ; (See 1.8-V SSTL Class II) ; +; Differential 1.5-V HSTL Class I ; 0 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.5-V HSTL Class II ; 0 pF ; (See 1.5-V HSTL Class II) ; +; Differential 1.8-V HSTL Class I ; 0 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 0 pF ; (See 1.8-V HSTL Class II) ; +; LVDS ; 0 pF ; 100 Ohm (Differential) ; +; mini-LVDS ; 0 pF ; 100 Ohm (Differential) ; +; RSDS ; 0 pF ; 100 Ohm (Differential) ; +; Simple RSDS ; 0 pF ; Not Available ; +; Differential LVPECL ; 0 pF ; 100 Ohm (Differential) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M4Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+--------------+ +; |38_decoder ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 ; 0 ; 8 (8) ; 0 (0) ; 0 (0) ; |38_decoder ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++------+----------+---------------+---------------+-----------------------+-----+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; ++------+----------+---------------+---------------+-----------------------+-----+ +; Y7 ; Output ; -- ; -- ; -- ; -- ; +; Y0 ; Output ; -- ; -- ; -- ; -- ; +; Y1 ; Output ; -- ; -- ; -- ; -- ; +; Y2 ; Output ; -- ; -- ; -- ; -- ; +; Y3 ; Output ; -- ; -- ; -- ; -- ; +; Y4 ; Output ; -- ; -- ; -- ; -- ; +; Y5 ; Output ; -- ; -- ; -- ; -- ; +; Y6 ; Output ; -- ; -- ; -- ; -- ; +; I2 ; Input ; 6 ; 6 ; -- ; -- ; +; I0 ; Input ; 6 ; 6 ; -- ; -- ; +; I1 ; Input ; 6 ; 6 ; -- ; -- ; ++------+----------+---------------+---------------+-----------------------+-----+ + + ++---------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++---------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++---------------------+-------------------+---------+ +; I2 ; ; ; +; - inst10~0 ; 1 ; 6 ; +; - inst10~1 ; 1 ; 6 ; +; - inst10~2 ; 1 ; 6 ; +; - inst10~3 ; 1 ; 6 ; +; - inst10~4 ; 1 ; 6 ; +; - inst10~5 ; 1 ; 6 ; +; - inst10~6 ; 1 ; 6 ; +; - inst10~7 ; 1 ; 6 ; +; I0 ; ; ; +; - inst10~0 ; 0 ; 6 ; +; - inst10~1 ; 0 ; 6 ; +; - inst10~2 ; 0 ; 6 ; +; - inst10~3 ; 0 ; 6 ; +; - inst10~4 ; 0 ; 6 ; +; - inst10~5 ; 0 ; 6 ; +; - inst10~6 ; 0 ; 6 ; +; - inst10~7 ; 0 ; 6 ; +; I1 ; ; ; +; - inst10~0 ; 1 ; 6 ; +; - inst10~1 ; 1 ; 6 ; +; - inst10~2 ; 1 ; 6 ; +; - inst10~3 ; 1 ; 6 ; +; - inst10~4 ; 1 ; 6 ; +; - inst10~5 ; 1 ; 6 ; +; - inst10~6 ; 1 ; 6 ; +; - inst10~7 ; 1 ; 6 ; ++---------------------+-------------------+---------+ + + ++---------------------------------+ +; Non-Global High Fan-Out Signals ; ++----------+----------------------+ +; Name ; Fan-Out ; ++----------+----------------------+ +; I1 ; 8 ; +; I0 ; 8 ; +; I2 ; 8 ; +; inst10~7 ; 1 ; +; inst10~6 ; 1 ; +; inst10~5 ; 1 ; +; inst10~4 ; 1 ; +; inst10~3 ; 1 ; +; inst10~2 ; 1 ; +; inst10~1 ; 1 ; +; inst10~0 ; 1 ; ++----------+----------------------+ + + ++----------------------------------------------------+ +; Interconnect Usage Summary ; ++----------------------------+-----------------------+ +; Interconnect Resource Type ; Usage ; ++----------------------------+-----------------------+ +; Block interconnects ; 11 / 26,052 ( < 1 % ) ; +; C16 interconnects ; 0 / 1,156 ( 0 % ) ; +; C4 interconnects ; 13 / 17,952 ( < 1 % ) ; +; Direct links ; 1 / 26,052 ( < 1 % ) ; +; Global clocks ; 0 / 8 ( 0 % ) ; +; Local interconnects ; 0 / 8,256 ( 0 % ) ; +; R24 interconnects ; 0 / 1,020 ( 0 % ) ; +; R4 interconnects ; 4 / 22,440 ( < 1 % ) ; ++----------------------------+-----------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 8.00) ; Number of LABs (Total = 1) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 8.00) ; Number of LABs (Total = 1) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 8.00) ; Number of LABs (Total = 1) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 3.00) ; Number of LABs (Total = 1) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Active Serial ; +; Error detection CRC ; Off ; +; nCEO ; As output driving ground ; +; ASDO,nCSO ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing ; ++-----------------+----------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++-----------------+----------------------+-------------------+ + + ++----------------------------+ +; Advanced Data - General ; ++--------------------+-------+ +; Name ; Value ; ++--------------------+-------+ +; Status Code ; 0 ; +; Desired User Slack ; 0 ; +; Fit Attempts ; 1 ; ++--------------------+-------+ + + ++-------------------------------------------------------------------------------+ +; Advanced Data - Placement Preparation ; ++------------------------------------------------------------------+------------+ +; Name ; Value ; ++------------------------------------------------------------------+------------+ +; Auto Fit Point 1 - Fit Attempt 1 ; ff ; +; Mid Wire Use - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Internal Atom Count - Fit Attempt 1 ; 9 ; +; LE/ALM Count - Fit Attempt 1 ; 9 ; +; LAB Count - Fit Attempt 1 ; 2 ; +; Outputs per Lab - Fit Attempt 1 ; 4.000 ; +; Inputs per LAB - Fit Attempt 1 ; 1.500 ; +; Global Inputs per LAB - Fit Attempt 1 ; 0.000 ; +; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'non-global controls' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'non-global + aclr' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'global controls' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'aclr constraint' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'has placement constraint' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1 ; 0:1;1:1 ; +; LEs in Chains - Fit Attempt 1 ; 0 ; +; LEs in Long Chains - Fit Attempt 1 ; 0 ; +; LABs with Chains - Fit Attempt 1 ; 0 ; +; LABs with Multiple Chains - Fit Attempt 1 ; 0 ; +; Time - Fit Attempt 1 ; 0 ; ++------------------------------------------------------------------+------------+ + + ++-------------------------------------------------+ +; Advanced Data - Placement ; ++------------------------------------+------------+ +; Name ; Value ; ++------------------------------------+------------+ +; Auto Fit Point 2 - Fit Attempt 1 ; ff ; +; Auto Fit Point 5 - Fit Attempt 1 ; ff ; +; Mid Wire Use - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 5 - Fit Attempt 1 ; ff ; +; Mid Wire Use - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Late Wire Use - Fit Attempt 1 ; 0 ; +; Late Slack - Fit Attempt 1 ; 2147483639 ; +; Peak Regional Wire - Fit Attempt 1 ; 0.000 ; +; Auto Fit Point 7 - Fit Attempt 1 ; ff ; +; Time - Fit Attempt 1 ; 0 ; ++------------------------------------+------------+ + + ++---------------------------------------------------+ +; Advanced Data - Routing ; ++-------------------------------------+-------------+ +; Name ; Value ; ++-------------------------------------+-------------+ +; Early Slack - Fit Attempt 1 ; 2147483639 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Late Slack - Fit Attempt 1 ; -2147483648 ; +; Early Wire Use - Fit Attempt 1 ; 0 ; +; Peak Regional Wire - Fit Attempt 1 ; 0 ; +; Late Wire Use - Fit Attempt 1 ; 0 ; +; Time - Fit Attempt 1 ; 0 ; +; Time in tsm_tan.dll - Fit Attempt 1 ; 0.016 ; ++-------------------------------------+-------------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Info: ******************************************************************* +Info: Running Quartus II Fitter + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 09:13:05 2022 +Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off 38_decoder -c 38_decoder +Info: Parallel compilation is enabled and will use 4 of the 4 processors detected +Info: Selected device EP2C8Q208C8 for design "38_decoder" +Info: Low junction temperature is 0 degrees C +Info: High junction temperature is 85 degrees C +Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info: Device EP2C5Q208C8 is compatible + Info: Device EP2C5Q208I8 is compatible + Info: Device EP2C8Q208I8 is compatible +Info: Fitter converted 3 user pins into dedicated programming pins + Info: Pin ~ASDO~ is reserved at location 1 + Info: Pin ~nCSO~ is reserved at location 2 + Info: Pin ~LVDS54p/nCEO~ is reserved at location 108 +Warning: No exact pin location assignment(s) for 11 pins of 11 total pins + Info: Pin Y7 not assigned to an exact location on the device + Info: Pin Y0 not assigned to an exact location on the device + Info: Pin Y1 not assigned to an exact location on the device + Info: Pin Y2 not assigned to an exact location on the device + Info: Pin Y3 not assigned to an exact location on the device + Info: Pin Y4 not assigned to an exact location on the device + Info: Pin Y5 not assigned to an exact location on the device + Info: Pin Y6 not assigned to an exact location on the device + Info: Pin I2 not assigned to an exact location on the device + Info: Pin I0 not assigned to an exact location on the device + Info: Pin I1 not assigned to an exact location on the device +Info: Fitter is using the Classic Timing Analyzer +Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time. +Info: Starting register packing +Info: Finished register packing + Extra Info: No registers were packed into other blocks +Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info: Number of I/O pins in group: 11 (unused VREF, 3.3V VCCIO, 3 input, 8 output, 0 bidirectional) + Info: I/O standards used: 3.3-V LVTTL. +Info: I/O bank details before I/O pin placement + Info: Statistics of I/O banks + Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available + Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available + Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available + Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available +Info: Fitter preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement preparation operations beginning +Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement operations beginning +Info: Fitter placement was successful +Info: Fitter placement operations ending: elapsed time is 00:00:00 +Info: Fitter routing operations beginning +Info: Average interconnect usage is 0% of the available device resources + Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y9 +Info: Fitter routing operations ending: elapsed time is 00:00:00 +Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info: Optimizations that may affect the design's routability were skipped + Info: Optimizations that may affect the design's timing were skipped +Info: Started post-fitting delay annotation +Warning: Found 8 output pins without output pin load capacitance assignment + Info: Pin "Y7" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y0" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y1" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y2" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y3" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y4" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y5" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y6" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis +Info: Delay annotation completed successfully +Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info: Generated suppressed messages file D:/projects/quartus/38_decoder/38_decoder.fit.smsg +Info: Quartus II Fitter was successful. 0 errors, 3 warnings + Info: Peak virtual memory: 306 megabytes + Info: Processing ended: Mon Mar 07 09:13:06 2022 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in D:/projects/quartus/38_decoder/38_decoder.fit.smsg. + + diff --git a/38_decoder/38_decoder.fit.smsg b/38_decoder/38_decoder.fit.smsg new file mode 100644 index 0000000..14764e7 --- /dev/null +++ b/38_decoder/38_decoder.fit.smsg @@ -0,0 +1,6 @@ +Extra Info: Performing register packing on registers with non-logic cell location assignments +Extra Info: Completed register packing on registers with non-logic cell location assignments +Extra Info: Started Fast Input/Output/OE register processing +Extra Info: Finished Fast Input/Output/OE register processing +Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/38_decoder/38_decoder.fit.summary b/38_decoder/38_decoder.fit.summary new file mode 100644 index 0000000..23abfbc --- /dev/null +++ b/38_decoder/38_decoder.fit.summary @@ -0,0 +1,16 @@ +Fitter Status : Successful - Mon Mar 07 09:13:06 2022 +Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition +Revision Name : 38_decoder +Top-level Entity Name : 38_decoder +Family : Cyclone II +Device : EP2C8Q208C8 +Timing Models : Final +Total logic elements : 8 / 8,256 ( < 1 % ) + Total combinational functions : 8 / 8,256 ( < 1 % ) + Dedicated logic registers : 0 / 8,256 ( 0 % ) +Total registers : 0 +Total pins : 11 / 138 ( 8 % ) +Total virtual pins : 0 +Total memory bits : 0 / 165,888 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 36 ( 0 % ) +Total PLLs : 0 / 2 ( 0 % ) diff --git a/38_decoder/38_decoder.flow.rpt b/38_decoder/38_decoder.flow.rpt new file mode 100644 index 0000000..3452d9e --- /dev/null +++ b/38_decoder/38_decoder.flow.rpt @@ -0,0 +1,121 @@ +Flow report for 38_decoder +Mon Mar 07 09:13:08 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+----------------------------------------------+ +; Flow Status ; Successful - Mon Mar 07 09:13:08 2022 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; 38_decoder ; +; Top-level Entity Name ; 38_decoder ; +; Family ; Cyclone II ; +; Device ; EP2C8Q208C8 ; +; Timing Models ; Final ; +; Met timing requirements ; Yes ; +; Total logic elements ; 8 / 8,256 ( < 1 % ) ; +; Total combinational functions ; 8 / 8,256 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 8,256 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 11 / 138 ( 8 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; Total PLLs ; 0 / 2 ( 0 % ) ; ++------------------------------------+----------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 03/07/2022 09:13:04 ; +; Main task ; Compilation ; +; Revision Name ; 38_decoder ; ++-------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+-----------------------------------------------+---------------+-------------+----------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+-----------------------------------------------+---------------+-------------+----------------+ +; COMPILER_SIGNATURE_ID ; 220283517943889.164661558410840 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; MISC_FILE ; D:/projects/quartus/38_decoder/38_decoder.dpf ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+-----------------------------------------------+---------------+-------------+----------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:00 ; 1.0 ; 245 MB ; 00:00:00 ; +; Fitter ; 00:00:01 ; 1.0 ; 306 MB ; 00:00:01 ; +; Assembler ; 00:00:00 ; 1.0 ; 241 MB ; 00:00:00 ; +; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; 198 MB ; 00:00:00 ; +; Total ; 00:00:01 ; -- ; -- ; 00:00:01 ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++------------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++-------------------------+------------------+---------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++-------------------------+------------------+---------------+------------+----------------+ +; Analysis & Synthesis ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Fitter ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Assembler ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Classic Timing Analyzer ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; ++-------------------------+------------------+---------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off 38_decoder -c 38_decoder +quartus_fit --read_settings_files=off --write_settings_files=off 38_decoder -c 38_decoder +quartus_asm --read_settings_files=off --write_settings_files=off 38_decoder -c 38_decoder +quartus_tan --read_settings_files=off --write_settings_files=off 38_decoder -c 38_decoder --timing_analysis_only + + + diff --git a/38_decoder/38_decoder.map.rpt b/38_decoder/38_decoder.map.rpt new file mode 100644 index 0000000..ee4c415 --- /dev/null +++ b/38_decoder/38_decoder.map.rpt @@ -0,0 +1,218 @@ +Analysis & Synthesis report for 38_decoder +Mon Mar 07 09:13:04 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Analysis & Synthesis Source Files Read + 5. Analysis & Synthesis Resource Usage Summary + 6. Analysis & Synthesis Resource Utilization by Entity + 7. General Register Statistics + 8. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+----------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Mon Mar 07 09:13:04 2022 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; 38_decoder ; +; Top-level Entity Name ; 38_decoder ; +; Family ; Cyclone II ; +; Total logic elements ; 8 ; +; Total combinational functions ; 8 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 11 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; ++------------------------------------+----------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++--------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP2C8Q208C8 ; ; +; Top-level entity name ; 38_decoder ; 38_decoder ; +; Family name ; Cyclone II ; Stratix II ; +; Use Generated Physical Constraints File ; Off ; ; +; Use smart compilation ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL93 ; VHDL93 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Parallel Synthesis ; Off ; Off ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; Off ; Off ; +; Show Parameter Settings Tables in Synthesis Report ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 100 ; 100 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; ++--------------------------------------------------------------+--------------------+--------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------+ +; 38_decoder.bdf ; yes ; User Block Diagram/Schematic File ; D:/projects/quartus/38_decoder/38_decoder.bdf ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------+ + + ++-----------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+-------+ +; Resource ; Usage ; ++---------------------------------------------+-------+ +; Estimated Total logic elements ; 8 ; +; ; ; +; Total combinational functions ; 8 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 8 ; +; -- <=2 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 8 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 11 ; +; Maximum fan-out node ; I2 ; +; Maximum fan-out ; 8 ; +; Total fan-out ; 32 ; +; Average fan-out ; 1.68 ; ++---------------------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------+--------------+ +; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------+--------------+ +; |38_decoder ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 11 ; 0 ; |38_decoder ; work ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Analysis & Synthesis + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 09:13:04 2022 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off 38_decoder -c 38_decoder +Info: Found 1 design units, including 1 entities, in source file 38_decoder.bdf + Info: Found entity 1: 38_decoder +Info: Elaborating entity "38_decoder" for the top level hierarchy +Info: Implemented 19 device resources after synthesis - the final resource count might be different + Info: Implemented 3 input pins + Info: Implemented 8 output pins + Info: Implemented 8 logic cells +Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 248 megabytes + Info: Processing ended: Mon Mar 07 09:13:04 2022 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/38_decoder/38_decoder.map.summary b/38_decoder/38_decoder.map.summary new file mode 100644 index 0000000..20c6ab9 --- /dev/null +++ b/38_decoder/38_decoder.map.summary @@ -0,0 +1,14 @@ +Analysis & Synthesis Status : Successful - Mon Mar 07 09:13:04 2022 +Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition +Revision Name : 38_decoder +Top-level Entity Name : 38_decoder +Family : Cyclone II +Total logic elements : 8 + Total combinational functions : 8 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 11 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 diff --git a/38_decoder/38_decoder.pin b/38_decoder/38_decoder.pin new file mode 100644 index 0000000..32bdd6e --- /dev/null +++ b/38_decoder/38_decoder.pin @@ -0,0 +1,278 @@ + -- Copyright (C) 1991-2009 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), + -- connect each pin marked GND* either individually through a 10k Ohm resistor + -- to GND or tie all pins together and connect through a single 10k Ohm resistor + -- to GND. + -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +CHIP "38_decoder" ASSIGNED TO AN: EP2C8Q208C8 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 1 : input : 3.3-V LVTTL : : 1 : N +~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 2 : input : 3.3-V LVTTL : : 1 : N +GND* : 3 : : : : 1 : +GND* : 4 : : : : 1 : +GND* : 5 : : : : 1 : +GND* : 6 : : : : 1 : +VCCIO1 : 7 : power : : 3.3V : 1 : +GND* : 8 : : : : 1 : +GND : 9 : gnd : : : : +GND* : 10 : : : : 1 : +GND* : 11 : : : : 1 : +GND* : 12 : : : : 1 : +GND* : 13 : : : : 1 : +I1 : 14 : input : 3.3-V LVTTL : : 1 : N +GND* : 15 : : : : 1 : +TDO : 16 : output : : : 1 : +TMS : 17 : input : : : 1 : +TCK : 18 : input : : : 1 : +TDI : 19 : input : : : 1 : +DATA0 : 20 : input : : : 1 : +DCLK : 21 : : : : 1 : +nCE : 22 : : : : 1 : +GND+ : 23 : : : : 1 : +GND+ : 24 : : : : 1 : +GND : 25 : gnd : : : : +nCONFIG : 26 : : : : 1 : +GND+ : 27 : : : : 1 : +GND+ : 28 : : : : 1 : +VCCIO1 : 29 : power : : 3.3V : 1 : +Y4 : 30 : output : 3.3-V LVTTL : : 1 : N +GND* : 31 : : : : 1 : +VCCINT : 32 : power : : 1.2V : : +Y3 : 33 : output : 3.3-V LVTTL : : 1 : N +Y6 : 34 : output : 3.3-V LVTTL : : 1 : N +I0 : 35 : input : 3.3-V LVTTL : : 1 : N +GND : 36 : gnd : : : : +Y1 : 37 : output : 3.3-V LVTTL : : 1 : N +GND : 38 : gnd : : : : +Y7 : 39 : output : 3.3-V LVTTL : : 1 : N +GND* : 40 : : : : 1 : +I2 : 41 : input : 3.3-V LVTTL : : 1 : N +VCCIO1 : 42 : power : : 3.3V : 1 : +GND* : 43 : : : : 1 : +GND* : 44 : : : : 1 : +Y0 : 45 : output : 3.3-V LVTTL : : 1 : N +GND* : 46 : : : : 1 : +GND* : 47 : : : : 1 : +GND* : 48 : : : : 1 : +GND : 49 : gnd : : : : +GND_PLL1 : 50 : gnd : : : : +VCCD_PLL1 : 51 : power : : 1.2V : : +GND_PLL1 : 52 : gnd : : : : +VCCA_PLL1 : 53 : power : : 1.2V : : +GNDA_PLL1 : 54 : gnd : : : : +GND : 55 : gnd : : : : +GND* : 56 : : : : 4 : +GND* : 57 : : : : 4 : +GND* : 58 : : : : 4 : +GND* : 59 : : : : 4 : +GND* : 60 : : : : 4 : +GND* : 61 : : : : 4 : +VCCIO4 : 62 : power : : 3.3V : 4 : +GND* : 63 : : : : 4 : +GND* : 64 : : : : 4 : +GND : 65 : gnd : : : : +VCCINT : 66 : power : : 1.2V : : +GND* : 67 : : : : 4 : +GND* : 68 : : : : 4 : +GND* : 69 : : : : 4 : +GND* : 70 : : : : 4 : +VCCIO4 : 71 : power : : 3.3V : 4 : +GND* : 72 : : : : 4 : +GND : 73 : gnd : : : : +GND* : 74 : : : : 4 : +GND* : 75 : : : : 4 : +GND* : 76 : : : : 4 : +GND* : 77 : : : : 4 : +GND : 78 : gnd : : : : +VCCINT : 79 : power : : 1.2V : : +GND* : 80 : : : : 4 : +GND* : 81 : : : : 4 : +GND* : 82 : : : : 4 : +VCCIO4 : 83 : power : : 3.3V : 4 : +GND* : 84 : : : : 4 : +GND : 85 : gnd : : : : +GND* : 86 : : : : 4 : +GND* : 87 : : : : 4 : +GND* : 88 : : : : 4 : +GND* : 89 : : : : 4 : +GND* : 90 : : : : 4 : +VCCIO4 : 91 : power : : 3.3V : 4 : +GND* : 92 : : : : 4 : +GND : 93 : gnd : : : : +GND* : 94 : : : : 4 : +GND* : 95 : : : : 4 : +GND* : 96 : : : : 4 : +GND* : 97 : : : : 4 : +VCCIO4 : 98 : power : : 3.3V : 4 : +GND* : 99 : : : : 4 : +GND : 100 : gnd : : : : +GND* : 101 : : : : 4 : +GND* : 102 : : : : 4 : +GND* : 103 : : : : 4 : +GND* : 104 : : : : 4 : +GND* : 105 : : : : 3 : +GND* : 106 : : : : 3 : +GND* : 107 : : : : 3 : +~LVDS54p/nCEO~ : 108 : output : 3.3-V LVTTL : : 3 : N +VCCIO3 : 109 : power : : 3.3V : 3 : +GND* : 110 : : : : 3 : +GND : 111 : gnd : : : : +GND* : 112 : : : : 3 : +GND* : 113 : : : : 3 : +GND* : 114 : : : : 3 : +GND* : 115 : : : : 3 : +GND* : 116 : : : : 3 : +GND* : 117 : : : : 3 : +GND* : 118 : : : : 3 : +GND : 119 : gnd : : : : +VCCINT : 120 : power : : 1.2V : : +nSTATUS : 121 : : : : 3 : +VCCIO3 : 122 : power : : 3.3V : 3 : +CONF_DONE : 123 : : : : 3 : +GND : 124 : gnd : : : : +MSEL1 : 125 : : : : 3 : +MSEL0 : 126 : : : : 3 : +GND* : 127 : : : : 3 : +GND* : 128 : : : : 3 : +GND+ : 129 : : : : 3 : +GND+ : 130 : : : : 3 : +GND+ : 131 : : : : 3 : +GND+ : 132 : : : : 3 : +GND* : 133 : : : : 3 : +GND* : 134 : : : : 3 : +GND* : 135 : : : : 3 : +VCCIO3 : 136 : power : : 3.3V : 3 : +GND* : 137 : : : : 3 : +GND* : 138 : : : : 3 : +GND* : 139 : : : : 3 : +GND : 140 : gnd : : : : +GND* : 141 : : : : 3 : +GND* : 142 : : : : 3 : +GND* : 143 : : : : 3 : +GND* : 144 : : : : 3 : +GND* : 145 : : : : 3 : +GND* : 146 : : : : 3 : +GND* : 147 : : : : 3 : +VCCIO3 : 148 : power : : 3.3V : 3 : +GND* : 149 : : : : 3 : +GND* : 150 : : : : 3 : +GND* : 151 : : : : 3 : +GND* : 152 : : : : 3 : +GND : 153 : gnd : : : : +GND_PLL2 : 154 : gnd : : : : +VCCD_PLL2 : 155 : power : : 1.2V : : +GND_PLL2 : 156 : gnd : : : : +VCCA_PLL2 : 157 : power : : 1.2V : : +GNDA_PLL2 : 158 : gnd : : : : +GND : 159 : gnd : : : : +GND* : 160 : : : : 2 : +GND* : 161 : : : : 2 : +GND* : 162 : : : : 2 : +GND* : 163 : : : : 2 : +GND* : 164 : : : : 2 : +GND* : 165 : : : : 2 : +VCCIO2 : 166 : power : : 3.3V : 2 : +GND : 167 : gnd : : : : +GND* : 168 : : : : 2 : +GND* : 169 : : : : 2 : +GND* : 170 : : : : 2 : +GND* : 171 : : : : 2 : +VCCIO2 : 172 : power : : 3.3V : 2 : +GND* : 173 : : : : 2 : +GND : 174 : gnd : : : : +GND* : 175 : : : : 2 : +GND* : 176 : : : : 2 : +GND : 177 : gnd : : : : +VCCINT : 178 : power : : 1.2V : : +GND* : 179 : : : : 2 : +GND* : 180 : : : : 2 : +GND* : 181 : : : : 2 : +GND* : 182 : : : : 2 : +VCCIO2 : 183 : power : : 3.3V : 2 : +GND : 184 : gnd : : : : +GND* : 185 : : : : 2 : +GND : 186 : gnd : : : : +GND* : 187 : : : : 2 : +GND* : 188 : : : : 2 : +GND* : 189 : : : : 2 : +VCCINT : 190 : power : : 1.2V : : +GND* : 191 : : : : 2 : +GND* : 192 : : : : 2 : +GND* : 193 : : : : 2 : +VCCIO2 : 194 : power : : 3.3V : 2 : +Y2 : 195 : output : 3.3-V LVTTL : : 2 : N +GND : 196 : gnd : : : : +GND* : 197 : : : : 2 : +GND* : 198 : : : : 2 : +GND* : 199 : : : : 2 : +GND* : 200 : : : : 2 : +GND* : 201 : : : : 2 : +VCCIO2 : 202 : power : : 3.3V : 2 : +GND* : 203 : : : : 2 : +GND : 204 : gnd : : : : +GND* : 205 : : : : 2 : +GND* : 206 : : : : 2 : +GND* : 207 : : : : 2 : +Y5 : 208 : output : 3.3-V LVTTL : : 2 : N diff --git a/38_decoder/38_decoder.pof b/38_decoder/38_decoder.pof new file mode 100644 index 0000000000000000000000000000000000000000..bbba2ec5797d3a862b5b1ba995cf962bf4c039f7 GIT binary patch literal 524488 zcmeI*3zQr6edzI#Si(AKQfn-y+QrUFDWzdrMy`9Ej0a(IcRX+G^8_Um=>dhKV{+wyt z!|QMV?1mfHhgYt-@sr_!@Q0U%Ke~Qx_?mSa?v&LuzrBNNRxbYV0__5AlI-TXPv5!W z&Rf>6(*oM>X`M15KMYA&&ejY!|M2XP_VGoNwEB^{WouR~eYCDyZC!WWouB#WUFz>6 zpI!gayVif?6YD=R>#|h?mmR(F3yZD|Ol{F$z*qco@*e8m6X=epDaA4Sy*RP3WO3fx zyHrIm3Zv6b1p++*d6`lf#`1E_=9j~%-SX?d{o7kV@W!!YgYPb{Ul=PdRvfe6tG{&S z?&tTP>h69ha!S`{NN{RCe_hSnQ+#pSkIif%CPtGy))nY-m^bH^UO26*{XEoE)&JKJ&}{=9j-b zIq$S#%#hI5P5HT+`^)0XOYbR#*Ix3Biqy}ly zFP#A||GwHxP{nc;Bl9~w`g6SSeLE=SU=;S0-&(VM zSJVCA>>F>q*z@xI`BRGfuAE|zzo-^bFUQ{IeOHFmUD)5s_txw$^X=Dn?mVcjeDyo5 zpEBN|dn-YxrvI&e{p{wSMqf*(FNv1Vn#u#6|L&Dj#yj+HHT-XW{j)E=cJI9}?*G-F z{n-N-*+-yw39CP7^;0I&VQh8?%F(al8WEIhiG4Nr-q|x}{$X-<8kh7gC|9h@a(9RBYq6grs`z2KyMeTU14#N&ttozBKUv8qT$kYsK8h z7gl^IjS5Ts@aK#EZ~esarxc=LR7+KRjkomZ8*2-bD`3Z4P}5Sc-G6)CoYGp+(kzM4 zGTi^cd8H}EkX=%2M)R3jV`+rm(*4nu(l|Gg9jZS=sD%|3N?u12fevhePftF4@1G7Rr@oep`u=8PZInyL8+5%x7^ zj;8$bC+UcA`N#hL6WN+AH2nC-ANV7g=Vj9kH&rvE7>jF=%S(m*hWx1j%fTLvJp4Y% z@yJIR>|{<0cS_1FpJcvzRcW`TrNh36`e?|Z`N7q{2+J`~`5K-nY6og{wb{|g*nd~m zG@2f+KJ02*VW?mXg!S)CIrvVQ;jp}|F6N5f&>p&3MORltKANa1jgdxy*=_XhHPnB! z*RRP3wToW=8#iky!*8g(w61H3c-RU^z91FNMv?y?#sjLz z997%27W}a|HWAKrOpeKZdiP35W?V5xHX|G9HH@@w zWh5-$YV`Hh%2mUUt{ibb*b-3$NwzLWJmo*~$fBKH2lUKoJvOGtjQ)TrW4+Jo`*PEM zY$Q(@8-nw4@p-u^Pv$N*`yPuO)-N{iIS?}*iw)$u4&=5)<|L#2T^;>h=INYTdtZ8P ztoNzdyqv7IXS&NKO}wB+Tt-?gIQ)$^$Q9&I zxcr5>e5xw;+H-oSLmT_WFH$bQ-sJBb8+=#sST}obhjXDAMNX0KgL zmv%@ZC~0A)lq@YUB~dY-m-OtZ*szg2W#*R1e_Ya*C8-sKueerwX+qmpvVnp-9PEhWBBO9K2- z^i*utU!AT_eK#yWowH^g$}KSG#FnK`Zog1+B(`Vm;ZzgD<7O`H#a+C}r7-JaF||nG zst+FY7}v(u>~Xnqt&rt*v-tUX`F5S1Y=>)>n*$}woa>-Y|8L_oO*RO7>%!Wivv!f!uT{ zVyXY-2XfY-oOz&-s66!9WhactkB#|j=G7&;;}pyG_IK@5r846My{ZKI6Df2a&Q*oB zzC^fSUw_x31yUsZq!bCO)81Fj+%j`T?hnnr6W0I#=@lQVF1@?S6uLZ6Dt$SpWx8@W zTX&f$@55=V9WDe;;j5TOP(5X&E94wUTbI{L+1J%n;|jU*DV?v9aeMi+GoOxMsywqD z@`t+(n_{<>>X_=2y2Z_%-IM0(L&D=U=ZNLEw6Rw|;W58I)OA7QvYVk`>Wg|Gk4r7| z$^Uen(mguprFKCoDOKVUE0pIdDh$h|q+)&Ho3GnkEUPY)%FSiDY1MUw@fEqHhYP97 z{>%R{UzaMSGP@$RBHgVom0i3~YDQI|%=oJVRn@8Y*mbE=tSi^V))fd}kL~MwJ*G;8 z>(`$(X7pqk7lawxOpDVS+H#-{Fmh)oT=r4{nslF`7|Hr3zt0}Q#h}(t> zt>?W>YA?$H;qv`9x7^yazw4?;0`EUoj$_VatxF18b@m3wPPqPdtxLz9RZDXvE2~=c z(0+cI>&nY~Tb+N*q!y;G0botY`WBIgAI;@TT@R+;$ z1H&&HimJ%=(tWU-I%{Qs6LE!Qzq6yOBr_gOsWmznT=LpQcWjKyFE@yeAX3- z;Fg*qU%p^Bed{~(S!l^NWQN48T(Xlaf^2uFv#Q6Y%59$=nIvU9RajFEb0eAB9+}cI zC;pjWA|!n>Ys+_i3!hQjbu)M@-%U6BN1inMw^*;}Nuz&@bR*d!-AHoMjU*{eS+D22 zk!+Oe$F0ZB$C8J0yQF{OF6mRbOZrqMrB7v2^{G6aOGuZFq}9Jex`iYqiAzegWpY{W zepzo@zKi3+#IUh2xgxjI?34BSP9>!YFKNo=26A7soACNKNia59ujCR&|0W5>$RYD{ zmkggWb=kST^;J{x;UDkoG9#5X$nh=Z~5(0tPA&q)FRHI%}8ue-ZxPST=w)17cH>AZWKewvcHemt`>RNb-DUZwwMk*GLT_^tSW18zroWRZpE6ys9)-K%O#PvCN}oX5`XsUSt?o=?A9i>f>IVv;FnTuN+My!?8YaOBtACS}LJR56 zU8g10n=fV0`BL_KM9O|r@T@F+dM*1&K{O`?QAzfy0^qeEdXp4HmrFr3A%RJl_q}h* zd!+HsQ`R#$cGowY&y(_8In7;_ASG@O`TFO}t*8w%y!8*|NfyK^3EgEEP8 z0LydVY*2?(6Klg^?DB05=he)pzCN2ZbE`kzy0{H)d8-amqfee(y>!cK0|76mj+|vu z5#+5iTO-q&>5Zx>Y8I1^)p4wTe#I0|$IT}<_cbjfI+iY9-11K8L@0^uxMfADEUmAz z*3Qh|UbvsHaXGPJ(Q+*3x|UVcywq$I6QSe^T`E9p(xA!;(6=K6ELDUytcOjk$bC`j zVGF6xC8vs&z*6*kPKtgtwZLABe(Lri=pMtU>T^8ia<#FZ z?G*>caa1K_VPn9IsT3m~-xv&a$umL2(dg{?dL$y#3}dk#?2xO7xgasJB_O%obULTc z2&jjv7FHaa@=-NzFDBa<8Bt5gCL;DivITqNVKW#CsppNR(|Uf>_9~+%54wK-Svy2A zN6qrg$ESQHxpei&y@}w|2LtcD)|fGA&dBENdUx`uvB#LTO3K0V%$mK1wZdGw&bs@G z#MJr8!V33|{K59_HC;0Yau4hKv$OYXG3V;NYmI9bn3tMgF?-|3t-aQg)!B`!16w9Q9ZHYy*! zi&Mo;oJL8XNuQnKN5wp^arI5~h^^S0)93`N@p>!wN2(hue=z^SH`iC#h&nzdxBOS+&Ks;N=e#C6sw>!ElJ8EO;J3QBE(C#RAb-Nrq6`fqC zjpu7N!fmW=mmle{R4f?p!P?_KK#g`s+8t?kq}{P1=}?*cv^?ae*@I%`$-;pl-`L<2 zW^8vPJ~P-E=r&fzM;?xt*Jt!#q}P6%lle->n5yry-v%=Fg3-_(d-;pw>1(2~z+U_9 zk$C5($f`(esr|ON)81BEmY+MY__}y%Pu#qwGZ^Z%R%J#bOB35dD`EV$ zr~Xa$T{ZYb1(xF|39aiqNn4aG9S>${W@~~fohRR2+C`I*)@8L?)-F5b>$%$X_w!gG z&_t^++v8z7&l_glnQ5B(k=5c;@A&DHbNACHj>pS=)XtxV9-qBQyKFmLkQ`$`dFF%) zD($j`14p~8mJa(ON~3WWglE=P{CF47to8D%dpxtYaG{|{+NvU{$7V_U3EYK)((H|c z)~bWnOujIGLS?Fc=UZ%C9#o#+-MV@tcZZ;Lr$NlYD`6WZ?SLI^o9`-4>~_f7Rs_ds zl%SsTAIzZXu@FINdepKhpQ$t&=RwALwoCVVp8J_RoO4Ko6ITBw^K>q;__Ur_mcHwB zvER^!VdIe%N6k}7vrqo-Ih{0RE1t`JTVFME|7NbJ4Z!*G>=vH;SxzzAAsb!}jpNt^ z3u@y_-1tvE@%UWX-NtQZM@+z$cKk(VWtTkXV0U(R*KN8#BGY>PABs)YiyCgE%FVpfXUN!hlr_1oTVYGXodaPX??b+z1N;s81Y+CB+Fp0#MtVA;A z(^A>&rtj#cN;aa=<#9{CRx0ykBC{^*w}xtRy_y~fPrmVde5>NQqb%i>E{XYNe|rzeN(6~37132pD{{#aygZdBiV zQ?xtsF=I}ocU63aIcg5=GmL8vnft73p3hp(ZRyyy)LfnYVrKL9P9<9XPiR%MPeD<=BW}go{8W_3OxW}(= zz9QWnxz?CtM6aw4u0m29~FRzv{TR73#RJs&1mhTrAIk_bQqa2Zv|S#dXz+o(cA$2o84 zAP?X&vJzK`t1hF8w|XwuXCMU@*Hq+J$*;OSSvjpZtz5vqtAB^|&`C-Uo#e9g+@yVjVs6{8u|fLkEKI2X z6}c~(eXl2^t4_ka?~+r+8&v+;?0;@uyno9AvwzDW^ZDE%^Qq*p@%`lR-VNJ^2R@%T zRk8c0rH{@_(eXN`ZdiUgw_?^SH34Y*hwFGd4?Xrp(7yevceqTRCfbeAF25ETwAN(M zxI?lK0l7mm)fpO+{zy(g4tI8Bk`_+uswUOPcStskTcjkdNlBW*7Z#XU<>$29uf-jbC8>7k{=glQ`NI(${swnQ zdM||DB!$rBQV1>Fr*bmz9;ctqX!LZB(izcYL{1pD`S8G1A3VszS#8gWm5?-v0;SpQ zaPce!#(BhJF5P)!<+-gB;Nh&cvI6GetoaDX!&%R(U$^HD$=}9xw7d_eG1BKj*R79Z zfAdF9l$G;Z$LjUDR33iael$IJQRSC#8gGXR8XnJTA0Zyk%Hvrz8Xw!?isW>~te7{U zg6g)?Lz7&(>&`i?6Y$t*&*NFGD-yvi@_>hII+QbKs2`v$JlAz}`qprM4ja&liY=Om>KbkmdaZ%L)4(Z5Oh;EWtHKX=J+@$q=Cnz}q0N3VG_ zkJl&T$YXjQlgISb1mGx-XRWvdJB_yP;^ef~^1RmdTrBUyX{>d1dro^T&ud-bsk{%z zF(Lr1&yEqKFjKWVUorS0|Iqc{m0mgbMn|mF>g2gisf3JnW-VDOr54CU$NpoNre!p3a;N%JL*dOHuX#yzyLymNR+GG2&voyr zS-as!PuHEvreqb=i`3VLJM0&2N~a!=Xj4LJRyw9dMkF&9pBz;SjD$ln+L?~&@|38U zeng-D6V2r?p)N)lOU@ literal 0 HcmV?d00001 diff --git a/38_decoder/38_decoder.qpf b/38_decoder/38_decoder.qpf new file mode 100644 index 0000000..4915b73 --- /dev/null +++ b/38_decoder/38_decoder.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +# Date created = 09:11:53 March 07, 2022 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "9.0" +DATE = "09:11:53 March 07, 2022" + +# Revisions + +PROJECT_REVISION = "38_decoder" diff --git a/38_decoder/38_decoder.qsf b/38_decoder/38_decoder.qsf new file mode 100644 index 0000000..c51ed34 --- /dev/null +++ b/38_decoder/38_decoder.qsf @@ -0,0 +1,54 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +# Date created = 09:11:53 March 07, 2022 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# 38_decoder_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone II" +set_global_assignment -name DEVICE EP2C8Q208C8 +set_global_assignment -name TOP_LEVEL_ENTITY 38_decoder +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "9.0 SP2" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:11:53 MARCH 07, 2022" +set_global_assignment -name LAST_QUARTUS_VERSION "9.0 SP2" +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name MISC_FILE "D:/projects/quartus/38_decoder/38_decoder.dpf" +set_global_assignment -name BDF_FILE 38_decoder.bdf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" +set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" \ No newline at end of file diff --git a/38_decoder/38_decoder.sof b/38_decoder/38_decoder.sof new file mode 100644 index 0000000000000000000000000000000000000000..44209acdb4edd4b20400f6fb86ed6b47e405e1cc GIT binary patch literal 240789 zcmeHw!LKyQkypL?SZ?AU)9U(&W_0Fs_M$_uJ1+6baz$M zFCrtOBY&Nh{pIWL{_}s*K_2OG*L|0sfB(mS_`@Il_)pz;zT>|A$N%9!e*XQ>e(3(? zXFvSY&;R%b?sxvqll#_>KmY#s+&BN;-*->{;J5$oKm6@)K0W=8`|f8y{7;|%(P!?{ zKm4PA@4o52`_J6J`t0Aj-~Ha_KO(d4zI#KCfA`aGe*2@}|K`(2-~On3)xA%efANDK zeg32GfA+oZuXPV}`s@GI{rWe%9UVa&{fC%-P4eaLAOH7PP?G%Fcm5`62YDpV9!K|~ z8|(sX9@1r8ITRV55O*F~xIeHTH61lUG0_ECa&sj-AfksZN91JdjcLn1Qt-KtVn;-H zLOK*35JQfj9W`{2-zjL1Wq|({X^-G|lfpqn58=IIQ#&6s@OzdB%YbEISq9uPv*}j& zXq+whggqtI&#+U5SHJGA9>Kx@HXHcD{+gMPfz1{{5ISS3$r)Hgb46B$fmoxc{xkxzgC32uh%gS92u9laf>fB? zb_THQ^~}3+jY;80D68;H+dW{@YqSpMRS(Z5mVr4LP??!KQsKEGE5kq}a^v$ub*35v)%)B8LzI`I+9bQq>@*B@KHytZ>{+g~L8kZ*v zQdm0NX5>=NOn2=JsLafRQ{k5-ax5m%KM$dQX+nbaDu)Ac#qDQwL4|vm4y#kJ$2`u{ zqqKpdkZ;jh9bQB<&J5jXUbiXS;{^Rv;SMMu0uET49$F?8O@LB{vqUBPC(1{-qmbT^ zbr0)T4lBfH{#bT*v>>g)UwbTBQ(Lf)NO{uaCXRh}-CGS_L^OEh4o+)4lCruuBz3-o zkI3eilwbEHI`|WMyrtVz|2ITWA9Nc0vD^JdHzEf6FUUvMCUV?a z;ZKAd5D_M622k4I%CST!WOxzLID3=F__0qrw+y5V)W5Dvh~ia;r;4nMnz2R;f8&Wf z$mFUQ%uQt(&@xc6Y%0=NGtuFR$m3u?y+##y5z+G?q0c!J%fR#u=*-Og=>;9qcU0+`^y>n*?XgMV{X(xgGZ#())#14!BMhezIiPq{;Az-o2%vMN%@n@Ln&jNB z8Gb?rbY^A_ba<-BJ?$nsO+uT_dee=cHS|@IyqX;{>#*RG#iboxu zX9PW9)f&acEIl3JA;a^q$q+zi%HU+C&1rZ%4S9zUZJ4=rg8bNk%FH|l6`m`yG7M1l zqR4}6+8_}fn$j|`&p^bXTw{CC{wU(G3SUCxaM%zldElPRFtm=9-L3;O5Z8GB7s-Jq*Me6FuqhG)CI~J@Oz% zW=hLIEdzDh6fIPEgozQkn7Bl@>Hw3s`G?S$ap-Ba~@G4k#XVc$$&VA#$XnF_8xZ zy}J0-J(|Nt8Bm#-x2eJ-@Ic7Jz?N2!nmMwRx%4(bMJASk8_B@ZDX1ELP_HT%#n1aC z%tdYVXa^4EuTml|OQOIT|H9r$PFB$&_;xaTp4w&#+UCxxNZYPBTkB+Y{+=7I&na1C z`VYv7&AzziZs zzjgQ$B8S(;M2;q=$;%?=X*n5COXmqQnrH$YCuTMlX^?Waza&+5^&$%_q?FtAC)iG7X;hpbYk}oUrlb$R(Y+`>qZTcNFZKfK_Zt z2s|zl+E=r_grTS$^Z5uIf#HIb`2QR88Qx9TsN@{Ov*r6Kt+v7_B#BDOa?@RZLLOet(1sU z8D2zG9VDeYJF^TF8E8V}ZT}v*D>`5&mVpx)(3zPT>+my({8J-xmh4L@!l{P*DZrJk zPmQYyk?-fv(c$N|;isUqhPInt3?Iys0)kX-e+GE>}4 z{51G(8q82v&w$R%EIb{ah`ft1oL-{}oK-zMzZhA{TzZ0#QxnU;ZDhbV3BxV)8ez8z zbCI7sBk19kQ5on`lpb0U&iNP6gXgIeU6YS{=-Uc!|f6p=0 z=aei+eF{qOT#=PgBYxOmfXRbQta=vJ1O>+4`hs-481dWe={VLRf|qMd>Xr__6p>4j zna(m$%fJjGhYJX z;YQ>~>9W(C$bibsJVF(IF(TjSDsPjU$niaDbJM}!|4nKlqOLMC>yi#PB4-IR#SLUY zWo90q3cnPQhaoOZ62a)h8SbGSJvxyre?}KnxQFR5>x4a)Cp|q%8z>6-7M<1MMMUF* z=g@#=Q@Ful?wd z)|~G;c_$0^`W8#nHF(~Yk|Er|X^lrxRu}jD*3WbtJ|Y9Q2V~eL!aaS^Y4FGWHWy`^ z3HSQmep^d@S4#Gn?DLPG6nj9h&qR=qI~{&c^*SKlCwq@_%NmSOG{GgfE^ljLa2Lp+ z*tjw{z*{eW-iwREuu1>(80JDJ%m@26|i*YfLoK;khE8 z$hcOcg5Nqk0$mI&!Q51qfm#L}E))fni}Xw)Z~OPi>2uEHVi|B3YiZ?%_GQw;vl02q z`K`Lc1Z;aEPLDU#jV44ckD6inBBn+hWHV+vqEk~|F9WVg(@V!%k7&di6T{TuX|mJy zr{2WCwxQgAfKG}xOhU~C`MCxQ@YUJw0$h{DRE76w7KmKZS(D2ckV65K!w(VX9}jcw^W7YIKu2GU zv|;88rB7#O7K9GZJe*59X>u7ek5DkUh%%*RU|9xKX67zccn|zcB8N#H0X@#HNs!lU z8-2f33AY;9q_}iuW-fGiqHWI1xr~`dD2&L(w3u?G45-Y^+fd;>@Id5}&YE1tfE){l zA0v*=ZI$;ZA>#u7vC_`R4Cu_vvZTX}$XUWnaRV7pnVH9@!h7H^K;#bX1U!M!9S*Qw zg(Xx^4=!Ij1>ccPfStz^mM60&H-RgM5(wgQaE>}lL;GqP$lGN; zi(e+mdqA*FgiE+Df5_wre!t)5Qr~96z52WTwwAn4hQp3EY*&g$v0_?RhKHWg{C`O@Ku$m3woMonMy z%HcJn=`92EGoUjw^QXhp7%?Jei5nHZqBnKR4Cu_vTGru-$h!zbBXX9$7o!kwMbGa2 z!$J=_Gc%_;JQ4ZXKlR4ieVGc^q}#Nh(OP1Y2Kt3wb!IM{0;yu=fr?Bl12>X^rBhHn{H333Y?U{n9o%?{ zqQdixp!@(YY(%f@K2JntWynWsA0X5lk~UM&Hg{G<+I(@g)`?r_D8i1_SP$*7L{i{U zhvykV4_KN3M4Y51a9l`FXLyuwC{~kU?5*Z4Ig07vMZC6Q<_o2+VA))xC`nON_@#(k zjLejlfl3A<^oeD2k@nC^5}~ZZ^BCy?n?~e7_IN7bP+URc724cHlWr4H)`C=-nH#C_ zJVq+R0A(x+8#N-zl$L=-8HliAHP%BbNrbWrzZj9*D(}&o)8?k*sFBT{s?5xLrNWKK zdBRL{{R~8eP-SKopANqikq--C4#9!ZapviPf5krRD#39fo*)jIDjX{Z0|F~{fgWAf zBpWCTaRlM;0wSJpn`#pXScm66vn&KOj$SYcq+xJ|;)++U_TwcS`(?Vt(%^ZUOWvoF zHT54q36J!pHRac<8!6AsZm} z`&5!9H$fMV7l8@^Niq$PywSnYmyD@nQ%CRn8Gr!I0LDqc}a>cRd|F65b`h> zn!r^iX#_-E85}T(DJ%m^GC&^_T@OQ6V_;TMdT6WgMBC^8J#w*eQ(6WVWk6+S?oowD z;El+6*0N@okL=)b8R*eem6=%_Iy@2i`G1d`HEfDaWdL)8^#%8MOGIU6?ofqC;DI>% zr{3VmWLPU(KMk~?(ORmr-Lo3T%E%JwVKj}%0mY*ZUqa+a2Oi~ZFPd3R!fKul9r?o( zJHF)%sLad*SK$$OAmm|SODjmt99ha-dK;i36U)GjWMJtOR1H61fongHZjIQ$qhLs1I5XFY98EMPa@LEg;$@L@H4Xg2(s=^+bPbIW`8Bd`ZC)}1Iy19Kboix+ z+_Sh|x}tyS2~hiQM6RaCF1`a9(3zQaP={ZN$cF_mw!tDGT5-i~M+Q0^D+j}@6ZVcC zUD9}*h%0W71YvNXPYAQ|Fc6!-6%`%`F!mYtJ9-3qlr~Tl;^>UQ3xs$Qk)hWf>udM4 zLQIXl30N~mfXCt=Ivt+(pbYk}oUrlb*eAU)_hWMfoWsu2(7u}WB@DGS-|rV>BLCJ2 z_w|jgQ{ZmD%_Z+s$-7c=6Wr@p_S;%AoTH949>b^DW|DW697CyC?{s+nHI=UiG8Q+! zaHri*JICMx>`;Z_!xjeuh@konqz+FL2)-*NqFvCXD5saXMKe=c2Bu^nmvj$wD$hLs1?C+7Y#F^rH87Nsc6=|#mq{G)B z^82BQTKhMw$JFnnNH;nsjSO&R26Sd-&UJViBQ_&viF;X!(4@P5p;w)mnNuB}h&)CZ z+Kil~Z?58guE8Wc))g8{b=l&qcB{)4C%o#+To?nY!txdGWUa=` z&lwrenVC7(;fct*2ty-smcF@)rOc(b11d7H4BSQre3LNTLaz~a=P+0Pye2<+M$p4+ zngK+dq$Y68q=%+}GstBW@iNU!Zl%c-G)!)OIk3%2%+h&&uF;~##19*B3L24!XLz0w zRn7r7o|kTS`T%2C>8$ z-t$cg{)NsAB1a!p_@0O5VIUGYyfQeH*AOG;Yh=B3n-LAL8tb8zBtlt*8<9&nGu^c_ zpfWQLPK9ru$a#l1qG@x}v60T73Nr#wWoBlr!;Q#U!c1`k8Bm#-$EU(COXRqN!}oRp zQDVKy;Xqt*`x#wO;U1>LEg|f2r{d{R+CWjrx9E()3xs^aY;rFc9#>Sjg9#7;2SpZg zFL0$0x)Pu$-~~cF;k!~^Ijk9@`D5AL37c3B;jjI;&1JBccd{J&q~HD+n=9ZPb(Y2> zDXWXSU*t0XR*L%)*%!Zc!u@^FY4FGWHkZ83B=1W3CB2116Wr^2`)w^5&QZsjokxjL zr}M6qw5#M8N#`GTIy`T4dEa4NQmk2%n&4i8>+-gi1m`HOkw5RJuO;+w`3#RZhQso^ zk)jD)Wdi#=VHg}RNTI+`-=u7I{^>TE?&qL;ys{d@KZX#chqemOGXmHLd=_L)vVlDl z4rdTkSOyknpkUdeBt@4hJi-JB`+MZb#JePJtDWg7a6IbFjEjZzI7_4)agwSY$9ar! zW==MMG2w6qDHNeNvlGjJo`F=Od~PAysqhFBAmm{%G=Zy3(g=vSGB{umQ*0>%u1T=F zgiGGCS!HJK zONIBq&m?k~%p-CKlScBQ$azK%!wl^0L3L(k@#=6Ra+WYt+&~6YX6Es!@E-W)L{4qk zDlam9C~a;!4qMppvChn_V>;Z3oF&W@H;@69nR$FFya)agM2>a>p1|l12UxGd&5@@E zm#>|I@5m;=&f^KolUb9Sz?DM@1o2SF@FF5Wl6V}*HVKknsd0~!Dm?eu0mj-yFSw95 z2dxMXxRN^!NRl_9>v~*z<&L(AB*gyp6Z+?F8a)5_O844JKgWV5xO_-oN&6Z+{~k+% zaQg`w+E>#+-Y)aWccpwmj<8LHOSm6*m=v2>_VD{I??K7iOtL1~aIgMuzpaJA`97EA zfbB~0C^l>p;o^6E6U!kyp!(skPuong#szYRjW1l>Bj3c5;q{Fx0dN1bMPan5!=Dl& z0-I(4r46neON2s(GyXlc-O#z)P^?9$u{hh%Nf=|Te_bc`MTe(~tc;rK9fmx}Ho7HnW5# zYm(DDWyr}H(3zRJ(c!5g+s?>YQ17gwZ_+c&DNQT`HHJTp)v!1$Meg)GyoB>u13A>{VoB#=lOcdMli1Aj#vQwj#y=9G%FMiHDm+(Y zWz?)g-EpTfGw-GfHzMZ=GtKog z5D~(bh#c__^DKuWFgngWJ@BvChg~H&F2obWK~sfeO?N zLwMfilJ}`(P2Og*_x5}g9%(-S_4ZA`T^#kT9uXjmnkx{g1Eg_o<{^DY*&m_0vv)^L;MKLHex}k4h?bl_bReb@wprFkxFp!Z3Vj zTn56z;m@IvH@@t>rK89D!CrVrg#)s&WjF#~nl6f>m4BTS6Q#l&q{`DgEKGkX6Vc8^z)HlG3I zOOMkkJQ4Z%e~(;j!ic}nt`8uRt$Llc{`Rw5r+$K|5#AQhoc)`E_`>%X3m^;bID!S_DzaPx8M9=R1Znnh~gE{m3pC34^&nXW;;~}6rJXIwB0y38|^NP!Gqb+v@KlisGc%7+OeJ#BcunQYBIg;In=?3cjZZlp#c=mLx!UMoS@GLlJ#W@nLu%toBJB?l> ziOA4vkM*^CT3Db_u<_-{C7rtau{jZ5Mg+(rp?x*$ zOBiZvzTYp%gzo{tt`aWa=sE@N_S;-Kwkst!!M)O#J9RkwIhADZQAunMhzsd@@%nJ5 z!}GqCufty4_)_>APky@J){-5_5y4}Y`r<%_93JR!eBJ}WccnyVL6@SiFOef)iCqH* zH6XwGH=jZ;j&WSLUHM0MG?2F_KiOi?h&d8HB*_KTLz9X$;zSZ1{zN7NBEpu{Xb-I< z5lR_eL{uFll2g-J2A(sZGc!w*4o?+ne~+9c&J-8VK&En?nOR&qd<`OhP@4F^@7?e| z3D1(XSH$1kA8+a?{Ki(lGyKo@_c_x#GiO*j(BX;5SDBGJK7AQyenq!wnNT z`cM-h^22{QS%WeCo{QL}GZZrh91-~JNscl_IDqCvFO8HfA@&VzWg4lg`iR<_$sr@*6Hkqcd}cr2`$Fh`fuyvl{K8 zl_Wwb!_%CSkaRUL)*eDv~_v@H`{vVauotbSX*?tqAA* z3+N-BT1af+^bDUfrl8YX3DcpAk%!;dEq1~T59X@unx?4FDVdc%1toZ{$jYb@KWs3- zr@}8r zSC5iBYH7kC!CG!RyDJ4$qrP-gg+6RP9-lnmF+Gd>C9eVOL6qWC!x+ zy|^e08x!& zQ(i9vu1V8auUR5JjKmrfz3K2Y*|DE`6O%Vv8D?8dG4NABXJV?e-E;ibN%Dl(8AJ}K z3Xf+H(vhVbReSBW;+udHTk&rZY1OLWie{Y)#}WEK^tplnkiM%N9M;p`RMUnHglnkga1ABW=otas@I^2kyCCn5zkO7sMd3-9o2mTU7zRgwMf7j$* zYdt3Dwhs z%hyi9!Bqiv9#2@F%$nQ;t{h4rh=)Rk7ZCw62B_K$sKX~}+~Ztsf-dAfJHS|*=mi(@ z=Aaef0atRT0ZH;EbX|{2uiVi#k%ZX4enS6}UW4aNCEaU3?o-K{+{E5nI{J{llCHw@ zu9O5xRa9rM}ICd-ZqwZ7q2dix|k^`P)#0fkE2Czt(Zb(& zA`ddT>IHLCSq8KWlq{QyG}cUXcp~yR*iWxf1zto{9VE4IO>Y^X4Cu_vQl!IEMH-Q_ z#F^rH8PJ)T#ihd&k#`Y>M&vAgFGhidllA3cp-Y{anNuB}h}?eajU{f8!Y#5oWpsht z_IN6WMc4a9R?jOT@?GDtN09jXL>{RbJSx1OhE0Y5I#+0^s)00FlWY*@%XOLV;B;nY z`O)F2B5g*_61PavFS0siggK>&W#C3KuyhKlhrjf5P5!)3Y{}YG;dw@&e1J2LP{{Xr ziCyC%1jhkSO$6O*BY4+mzejDRp!4pm{E~buGCJ9iJBg415o)={jtv0CqYlqAf*!DH zjpAaKo{sR4;d$6(2%s}%a5B^8G(4V$yhDgK%-lLb{htplsLae`P~o{EE5iU)FN!?K zrVSF&p(!l``wT=J$~CqJ?T;c3tMDa64u?IS#FEa#CPM&iCb604jXQQ5jene&sm#oK zrowYYR)&EQIZvo*+Gil5i7GR*_I3EhhO$U4XH>yyXnfFPB8g03rLocT0`WgumsD4?Pw z6QX!k;So?ETFqqSfiVSQP8N7f^hX+#bv9(8z{kHb6xtmVq0|z|twG8h%i(Di_7i`z6dpZS-gd4&|>> zA}&j!z#0F--bqeY(INPDGJBrdW(wNo&Z#z@FheJuZ@Wu zO-z%QMb6W5GN6{u6J|8g1UgR4jL4fL*PPx^26Sd-f$H!}5xHk^Z9>uJCUWR{zlj7~ zD@Y^qYh~Wry;KHtW@b_7@JkW-Fwepy(IY^d?dTEc(TQZaBZv;i%E2(}guSCjmoy$H zLxVUtlt+Tp;YCF5(5`NCh#HPPJ*)5l7!W)Q4qEhri6ae58kD@#=tYu<488V8dqDcJ z`2>1?^$)aGrorCyfyYHc`)bygFx1w3zh96E z-vfeOC0xGIbqd_=x4Fna$5Q@{_S;(IeKN%_wj?``N@9CJTu9f8*M~bDp7*VM z9rohJm%`t8^3!f;PJ{=Pf3b08utBlc7YBqkPQlXzg6~R+@CLdRCI8!tprXd44(jkHG8qsNwv`&ir6Y+rm*GW3)j@LP&rU4^hZ$%> zOEg>WhZ;S^xe zb-&2!c>4W4%I^Buu%PwPK%47=}(3zR_K!@k4MTFt>8dczF*knj|0UAQ|tBYUY zaVc}@O(CZymVw*IfNv6pTj({yZWZPtKY2#b!z-gQ(4{Cnv?84IFQ6VzEhM&Zy7xJI zp4x=_!#<#9NAv}61DTz_=NRg9N*1I(1toZ{$jYb@KWs3-6-7M<1MMMUH71UH)3Z3_1|LH|^^0}6jQo=FTkHWDwux|GA9Nc0alg$)*=EAMzPI1jBJYzaez7Il?>tJ3I$i7m z!9Ei~KJIjQ-sbW?*?W{*)}$u5*WfC@-ohdpJPr=<*2|yw;-WBYRCr7X<+~9;n22i; z0ppDEFEV0c8JLrS9$>M?L@zo#SL72J*J@PoTZc!Wi-9GWo60hvW}qmbT%>0bx&1wI zHRpEmvKi>noDunDlWwhDHUmwFTpl&U^hNBQILKzqc0{M9yj})elcup=vqX9ri8UsA z)8T2dV?XsKCU3Sf%(j?f;HQAj#8hXy=lHFYyZSp#>DLC@I>S%{!B0PY)dOFC4)j{1sOYC8>d4JX&Gppfu&PW zJ+7snYjr9T+tcA`MzG(fk~H}PT=bg%?FEDPz!!84#j-E!V0l8&y&DqWBo^1iYv~kU zg{>}OsmG##iW*}c0af8WOn}HGoi(|P0XY;v6?hR5<`hc=PSzK6^ud2bZs%g73&Cz|P|d%ad7?o4}Pr2?X&_$nYW}K$3VI$TkU* zU#W4AlPWy-*#XAdL@&6IHwUc<54e&$4M>tVq3ie_kk>w4KYaZ}*p-qup%5_dTj^jg z?_@djHy(dTUrATtY~u>IpP-?AH4WtLGM{``$`|Aa+eEm8dtnpH9)92DZ7%g~CfuvP z+iz>h4)E(O#jcd>F*%U;fM8b%7r*11ScWYl&+vfihr^!jN^ybQ;rCIvxJSN;CByUY zu_TY9J-zh-Z-4$;xL`Zp#wqv{nG6miY|{*&Yyww~B|;&?i->IYcAPmopOS(6JqN2X z(OQS6imZ&9YK_W+j78LoA(_%Lpl6_D*;J&lW~9Rtk;lP)dW|aZBBJUbsfBBL%K&9S zXJ(cn9iA%Eh@2(P6xYju&de+>9iE81i!d}IXX$$}3h`F-?B0vFq7%I8%*-6<@I>VH zQ*SJBixh5=)hQ!d&}c2ONdx^tuR1dqP65^7ONcyD8+cTBKMk7<0d%g=QdI+KvL@Le zPIIXz09f z2L4w4l3k&HGSYec%dh)@e*pH-h0fvMLRF@wM{ruQ06fW^e?Ee}!!a~ib{v|3LKGQ} zb%ik^Iv62sRxrhw+9`8a#^fC2Uu^RTUgmP2{_*{LenYS(OMk0Y^fxMHINtT~EvAE1 z5it1c-G9x?Ja4~(e@6H8=Kpk)|DOe(?zTW8Oi8}_7u`Dl58pk~>IMEEd>*zoxrv@5 zC#SLKZzHS2P8}Ynu#e*J3G>yzI8=_uq^EI~000233jqLb0B!(300011WpZ@6CZu<=Y0000006GHz000000A&FH z0000001yNK000000C)k^mrHIGF%X7(2=53XB$P!?L3jk%^)^SCBd}pZ_H#0F6OMpD z2ojz#|5xquxJ#a%X(gLDa@(%wU*)nrNhzgE_5H5v{%PE`OT4s&W()n@&lJ{wWsC8T zM#y`7)EJkqZK@9vw|tSuZQ3W#T_H&q>AG#zK8n(DJ%#+_S(J|JDeYy^UUZk;omy4% zZOE4+vjBA?c)wMtUvhEB zs)eV3tW-;2c_P-z^A`0oeYW0|KX`hARzK9EKd^w44nIS*0X^{0qn`UiFCOqIz1rVJ z!yh=^=O!#UM}=McgZEiZ$o^0J4o@Pn>00gSlHn=sF~FR5SN+}l52xiGern|Phf7Ou zw;3TBDBjy(q+G{5{A&{f5}~qP01-wcVp)$!%B}o87(r0o!tf*H%Dj1mfm0AWoi&ZM zry%Nj3hWs~S>cTuG(snW%19G^`2~^-r5FMMAv115U{5LD{0EO~L&GyDd=q4(iJ-ho z2)Y4A595~<-3Vm|&-3NEcmt8v+DS)29eY^FMk8+_#zqn;LhV=|c{0$GBYNZsz41sx zFGXQkU?mtZ5$^;$gXn?HEOTG{LTz(NCS&C}elK*K&` z)yyVqc*2t^p6#sXfOsUsC1Adp(X&RY$K57gt!5oEE(8L1u$kxEmECt32wx&E4? z!2y>CxnhG@xB7u(0HOcR3`{NaL^MtjG?3^XUOm?MP2;1yCJ17s5I~79e zp7HQ>4RQ=}_K18sckgB<29V!%fS4CWk*EKy?!9-I!B)foWl%lo?CiD literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.(0).cnf.hdb b/38_decoder/db/38_decoder.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..1277c6c46ea400d0939bbdc6f060102f34321c98 GIT binary patch literal 725 zcmV;`0xJCv000233jqLb0B!(300011WpZ@6CZj}W9000000Qms`0000007?M> z000000M!Bj000000C)kkQoByWKoAV?bfiL*lvK#?M@NbO09PPz5Hx^*A|)lCz~}HO zl$0qWUS@W3d)!4fR5@ucJDb_rS=(*fwga3U#5eL~gy_qW8DE&OjQBKr$ecAqop0j4 zy1svi1y&L7kas{ruw@8guwgQ72{mC?ZDGmANdGMeV}&_kqA(*&6{dul!h|sYfNd?s z0;F6;HVbVQXjU`(H7|8tKysC1s{*T7d*#xKlA!Fumgz~$bW7ifJ1Dd4)GZUtmv+l+ zeTUB#Y`TwlUL6dMz$=g=#hb$==TI0^FrN^+h!L=E8Vdu5q={tsaxW3bNJXZKq=-Yt zDbx8SIT@iTk|BM1tVUoeWC)m`@ev`pXw)8K(X2kW&|~dkl_6pVwq zH;Fr_PbPCooieG*=#%kCoig5_J{elx#=y8ZX~yR_&G_!%thx9B00960cmWb%V*mmW zAq2$1?tbw;{?3jep8i0FXK={r-d#r+K`Iy=z$60$6OfhxVvy1R*PvjaB3HkV_~4Kr zPe1o~ATua3Inua^8LXTIs!0M!!_4<}4Gwm6cZDc*jbF1IWFi9t2bg4F04bFMVkgI7 z*NAuzPuC#FAZL%r_yGT)ka$l&H-B#KbqWx31)y3$28aN$Z?HSU^})^_uD*^REbH~z z!OD=$=Z7lxbOE~8(+z0H-xf^`a7Zw~oD4D)|azD1B!XkFWPUQalMi z5a_l%7<3Z&Sp(OHeS>He>Pa}x5C#9?G$p_XZj3R3qfCv^W=6&+6b|m{8x%|-`N9u+ zk^JGta92mTo3A%~pAY2}uRK%`Iiq2P(!noHa5)GzqkCim&emLq~$0Z$f93>(~BW#Nqc{g&Z_s_ZF5t8Ysr1EIh;H?X=ypm`@4qaPd)x^ z%t+hpuAYIup76Y|@dcLp!ZL952exveDn7JTOz(h3zQUmt)SyWc&EO&WFZH`UHP>#n zsha;PUNWk9HhA)C`SPMb^dLz)viiw?(T=#_}OmclsxTfY##Q{57vJ-Y65USEt)#)zHy1vX zOg!`4jNkV1*(`J-Ch+w)5i~ejHit`{8Om^{>YUfyQAJm0CeA(go zizVkpXG`bk)D_ziN470qG=(d3i9x&iz2o9#8{-NOc^-sG;OhPv9Nl4ube9+97GaMO z3CMg7lb)k7#^sC=Nk#45OzM>*_v#;93M+EGK0htae_)=`$HK6}fy*r-pIZ06>~ zdQuKIln=|3NMZCsBxz6)mp>6fziRxHE8r4S7;FhS1#ygt|Ns0PkthkpzPhS)+& znbWZpe26W@Lj2V1Ed=5Rj&V_zHC1Sp{~CLoZKi1oj-G%ZO5;#qefZpcuYn%E(N3@n z!R4XvLj#ZECChx~)g;9|DYz1?R2hsUP!46pG0I9M6{4IQR)q04A|Zj9QDW{`KB=%V zWH*tmhNCPvX;bgE8?qa#U(VEqFBxpy7>(dF>A+$ovJA+Du#M<-$4?{|Xau?IA%=^u zjsdcNo#Sv(5lfH&C;~6;KYNhn=004Y^`(RZ*5u6AKwX>9_))lA{UeV`sHx(#MT1EE zQaFLS>r^bo^tb~F3D3Ss>zv858t_^2I(u!XjYFr)Ao{xk6jr+l0!s7B zlkIW{?~tsJ_*A9J#NhU*fXAKsV8S)bvs2`yfpY6E1#!h9qF=AaCgJMD z>7xD!-=+zRt}wE9yok%R$&+o7^nn$gde{BLZ zq$l1$ks2YLV{Y=Lc!!;CcnTCCtzX_4m)FXWT|*Z?dSvW=+E8M1UiLCwzq=U1x{`f^vHyMfU< zb?el}ij0bkgsl}Bn8?fj|Nd#69#{a*4yGTzY{r-j;e!(Zy({1k<0JSE{3lHt`A?Wu zPgl`l(u3p&~2eo1Fp4o)R$IZ`C9*KlS(A zr7H@9!JWaz=%(PHqLj7h$ozHk&%VwTT<(tlNUuc2swe30FC||B>8<$aBZ$Lo-a; z4v}eJ8ElYjknDY49p-rvj0mfC)e)PFIt0jq)fMjh1JPIJ-peJzN^^6@uCGQ^-Ud_l%+e}+@156tA7?f@?a6{>fmGOiX{e82uv;DO2 zs{7pxoQgribCOsPpPg=fD?XF3-~=4aVzspi$fEU#z2B9r_q8MKIr=3r?Mj+@+oIPT z`wyhRV-;fyg9*WegstLHyvx*^(M1RQ9?6 z0xD_~TgNw2`z!vRg^w_p&t1{k@vRyLA`11(CGJW%!b*X0Ri{60K#*x=RsAml^48h9 zN^POiSJL(}F;a)01K;0$h|g*panu!l>lWdnD@EN3Eed+*Ch;itVHcBBhM1tVvaofc zbN-lVyH3=8?W#v?-ge8Vru}a>`YZmQh0oGv5NKs36m0BG0zr`QO_JUm&%sb_J9en< zv((T7)@D(eC6!x~;8HLPPvvXrn_FyM)T?`{JGFfv66atHAJ5&e*T&+1KPxN$2Bs(# AkpKVy literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.cbx.xml b/38_decoder/db/38_decoder.cbx.xml new file mode 100644 index 0000000..1cdca43 --- /dev/null +++ b/38_decoder/db/38_decoder.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/38_decoder/db/38_decoder.cmp.bpm b/38_decoder/db/38_decoder.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..8c0b460bbb6431ec3d9b8f7ecf0d3b64d7bc4703 GIT binary patch literal 526 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXAn+Yq>2q2ik2%#54C;B)Gbc{)Rkye_EyWip6&D}D!A8jYNU%oIc!Ro&xt9bOHPuHg$w-7e@A#%NH-o`?< zJVT-IiNRr0^3x{Ra`VT#yiHtrY01z0%uJ@Nh4$-tUdu8t{QqCU`0K|n96KtBFKa>;}es$jZ^6~aZToVF$c&rj8 OH99hRF#{v$|9=3Y;MM{F literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.cmp.cdb b/38_decoder/db/38_decoder.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..6a432b9ae91d4b8faf313a4c8f5bc2eb52993542 GIT binary patch literal 3008 zcmV;x3qSM^000233jqLb0B!(300011WpZ@6CZnrc5000000HO&1000000Mr8j z000000Kf|X000000C)l1np>=$MHR6C~1M4kSi}LGF`EpRCh^bnv4oh%RR^X|_!ktdq4K3rp~5n2oXSS3jGoYMTDRE6fbI4JvvRoCC?SYS=Az`u+}$R!4tsy{8|p zDy0XV?D*hOZsd2OdLXJ|j9Uuv5j)ogW;Y_m}XIK@4^ zyKSES>L2UevU5Lm^h?6RXV0jk|K!~E=PwB4oeeR2^KX5;G|7MGY%icG=KpZI zr#Hp?hv#|vIAi{<5q)<~!@7PT?1$HP`0n*@`nh4jI^SGzce`!2TrqyzTr{F>?(DG5 zrjD4u=;wZJP3z{>j+oy*0o(j=MBCimVVgg7*yj7eHdv@`-JI-A=YH$x*93Z#ZGUu{ zXK0GaCoc2!amM70Bii=52HQRqV)FiA+gmh+I@`>?(mwYiCh#sfGt51)uUD$zm+d}1 z*YHT*2N#dT+q1pr;b5mVx&*@aYDSOmonM^d>G>McoyUGf<>au2#yN+jC@A0%o%-_8 zFoz>Ac4YHnHV2*ft^ zpo@gn-QBL3fFHQ`1LL$~ytBkH;yuNW-2U5j_K#0rsK$)^vRZZncZ92T)9BqL>KTgGCX&QfB8v#feq@9I!LdxJ;M> zRlM~Xml2pNm^an-<35v(Tkc<^vrhcFVq8`bk%~rh^L%il!uB z+!rM43&I>WpfKNig=MZvf+Xwek!)P3Wp-X7PUfIjiEPKXPpPGNvdSO?i!$!tg+)gn zL+FTqU&_YSi@k8%bTtlZx~sxlZFELyqbpxx(a;0hr+pvCfvBpB?m3xlDurx>d(x4~ z(8Me%b!@}2Rei@4etcf!5cSB_ye+|RiQ_(5Qk8t*V7bQHEECHVq=Q}XhABH-U>j6T zd^0niMW>Z&h5-a%7Q5`3r^(p^C+Q_DRrR_?Bw^E6TLDTk%c@B+%Z=H* z`6OB`z|^$zWDNIqWfv|2p6i0yYRAAg^YNiSn~{|yHxPXo9Ap`Fb5$*KKJ>2=P^lm5 zl#95WFPxU$R{%Z<0LYu15Ahp`rM^b}zkP`6A0HoThc)EB3VbLdOrt#YlvZ%`7q|%E z>iCERfH))@4$z;R4>^>P0PAbiJwyP#)r2cpq{}BoWrv4Dg{e zvT9Py^7-ziJoNF2L+&T!>I&m9^-r4*bG{pywSYLhS_Pm#xj57jvLa#E*Qk3~94fD1 z$SD^1kawK8S%8+(?vhY4ci3oV4 z6u3Kb#mcW#dEd)ZV7aMdihgK^V6*BvDO*pXRRfFpD}?~BBZx8|s9P;<&yUv(TA%K} z8-3OJYCx+-Ge89P3^QmURAjXKDwshVfmM^@;1g*EG%pVdR>CqB4wZeC{2eXS&}tZ3 z_p`G;Cp7A67!t-v9WbAuKvcOIVn|c>RWO6vR!xe7*42O=CuxS5HVeA7R+u4&<}DHv zT&U7l$<07=Da}H;3xRM0h-QdcC8eB~mI}&1YLQ7ArA#Jyh%%X6>&s+v zFD{dbh00`dPb-tjwWmxb_l7c=9K*|Ga*i#N$wpHqlfy(N^Iuw@d;yURo2(^P$bVFX z4q#(L^@GV;0xibK$y$23UMAx8(n`Q4?a^d1^2joi(M>Y^ZWXYm#DeJz_IO ztDQ%2B2%OcY}zlEnLLHe!5?UiVYktunTF$)P-|)Q{ClXU|C1YYhdzS|@U*27HAd5* zt-NW>dd*I#-S`-i;y{hR>LFxo3FAc)yQAMBD=iLVE*ArQd}-o~lop|dm+w-3>3aRH z)~7Ool~^nMfDhd$4l?~xK6IlvP~$Iph;g-?rz4s=*YBF3pSF=!{VpxkZ(U-)HvJw8 z`soGNs^1TSete~Cv)>Jc`lbFY(NCLutA3Yir^e1CF5C3`v0sc<({A@F)pKqxqfE_|MGpeO~0QN>UV0P{hkc^z2D*A zjS3*_C%v71aUOa6uH+~s9{dZx>*+^*S6pB5o7yksQ+p_Wp9uP46ZdTR$?f;7PD!bp z$SXdQ2LrfD-`VQ;1`J7~lrP-Fk|#++_j<}-Xr^uXF6o#$zblkK(r=_sc+bRA(00030|9Amplg~?(K^VuU*59tKu9-$98=49R z8rUHs;oaG3cjSF%mUl<+C2&AIDD1(j;x$lE1W#TJ@ek-01VNV$d9+h>YC()T6?y0q z)bl+1&iZNtGxHA5=XvHk&-1*sW(Fe-a{wkU?qQ0^wgjJr@BehX**=@aV2m}< z${3!yVIt}o9ayQJ=~s*AkNOC3*dUySVl32iMyjIaS`|gbNUdz(nNZH}J$d@FjUG5f z&h_PX>_*XxvS2mmb7fEQ2;9owk7~!QB2_9KIlcxB^9prWyPdFPrYlQ$5z35DKVIK%OmbI1?9(v!7v|EZ|}F0+#DEP ztztm({x9o89c&Uz7{m2Gwhva4e!u;Rqf{43z(z_SB!H0f)7q^xy9Z{h_&)#u0RR69 CN!!f; literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.cmp.ecobp b/38_decoder/db/38_decoder.cmp.ecobp new file mode 100644 index 0000000000000000000000000000000000000000..e05efff040e83d1723ed53a45face13651ff8ac2 GIT binary patch literal 28 hcmZ3(&&U7*6?2TAJTiJ>^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.cmp.hdb b/38_decoder/db/38_decoder.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..47b0a2609fc4c9e213e3d440599978b8ba253905 GIT binary patch literal 6744 zcmZ8lWl$SHw?*0_rD$;{xC96kcQ1ufT#JPiiWA&QDQfvEk?%5p4U@PV$ zBd&R50yc}eJl>oM{$*4Z7$4lHY{@p}E!tnL-q0D>!p!T!hrRff57B_P6LFGc} zscSZ7>sdQ-;IpVbt=s-@zoY_IUM}TvcX_l}Z{Zuj1h=uJr=X*xqNOvulRaz=n;Wnf z5p-d$ldOyZ`;tS~^1;$|@=N%iG^D==4SHeuCs2Qj5VQZMx#p0k(}(fyZ_=E2=Vp>G z$re`SCX7MNq7>0}44HlnRY~~7*@xGcPdS#`$n*9nWVNkpK4fD81Dz8$Y1J9Zkbuk~ zj+iC7q7pg=mHLIYrUe;iBD7lu0!Wc+Y5H~Xxo7wVE~{L2k3AI@IKL(?BN`)m^tLXM z+Qgxh>e3DTrlP!k4eWQa-Yfnr`U6^@SG+iQ`hVS3J-2+>Ll(|MXv|P2V;?w&@!uO? z?E-Im_zkeu;`>h4x{@~Kxs>#HOVSKzy#@@4zQ4`#?lra#YIxP-z~G4KLOn;j_|^Ac zjQoEyJWcDeWq&c!~ad@|7B->%k6BxfhJ+P#?4S_Sm)F8rLN-dtHCD3%K<>e;; zI4KAh*5wh9h-G;ePx9^+_9XwYY|FX~efUKI=B7U^;!Yqma20)Vmp`~cd;9t_Rd~Ya z{^vW1U8^zX0L`CqK2;;W4Bh``wbSn>{Alr0xZs@1w}`?W!4GT65r>KKgZP8`r($M2 z3+yY0?X#^%XSqMg(jP#0tBuvPt{)no$yc+PCh33Px=yPnzY6;2)R}on9aKuZ$T3kD zz2N#TT>dxl;WgKkEX=8}Xi8z@TBfPCgu!y~-s}9APf}I;k>R@*u~AfUy!;l`LvXw~ zy{r`CjKqOQ=;)O|OJ@aN<>sIwS3RbxGt0g9&QZ$qtU`|wAV$jpbNh#G$mMxZ{=xmLd zBWwZwuFxL4<{PAMA&#+#uHsKG)O^PzV?8h*J@smC{@YO@wlUPNNg~u>!wt_kxI!X3 zc)wT=_%!GF$hm7sTDYruytwk8yX{=xmN5GpbHr@_h?yac``Esoh4<)kU4wO?TJHku zgmofc2(Orkjz%aucL{|fsb<{X*a$7GE>?>y0AiLoP>fuiXV8_#Kch#=lR&qcAlj}a zwj`0@LV;}?^31jmD?^8ss@YC`?4pj5v?OG)D|H;)U%Hcz5TMM9KTScTbWH7vS~;S{;e-PYIT`MCWF5#z@V(m-IP?lDnlz5LGa# z32N_M^{@(gbDtZDZ1kET;E%yt!$0Sik0d9k+t489t{g^Aa9?em>krJ;BpFZNRcUg=&BpKSwxpheN87?v|0 zYGhra5r+NR$hfkcIDIQd-&{zwrpMJb>O^k&AM`+4M+q1YN2hG02%m?}4$2+J!T(0y z_z^s#{*g+hlX85AhOcCwZLmMEm5|Y!xnd%vJ@7|49LuVeE*>5h=&a|kYn-15p4Q?;R+auF? zwm}Q9YYCf7s6YlO<(F*Mao!oG+x%38b`z4;S~5k`qPOQIZ^kR871~Wn9$xIlk)+mh zJeVr2B*N<0!h^ z{ed!4!K(eF39TuE8&G0-C^4p2d0_>c;h!TUxYa*$y)YvxC};~0|AnR00S$&+>ID5h zUq*_S(9h@vX*@iA{idk=_v!6tH&!f&vE+nzu1UQE$7;Z_Hobv)YqpZoBul7^g8P4gluz`pq!$&Om>a%rNBbpd2g#ej`&l$p_r> z;mbZy2)O~u=+;h1=aYG%o8PPZxeRml;|35F$<;R)DV4+Fc95-ipfd<%<9j8?7nbF- z#t_E(fqsIo&d|P>=T@HOS`dG>jj*-31L}CYU+%-JU*T-)0S77|9-Mo23>278uKHS> z^2V3)CL;Qt2Kz5{GBU-lJbEQtV0;-_8jt|@wc0YJY}8OXM!>PGwqz;2Rg~zG;mTH9 z5pD#}M(6lY5h;Y==x zD#MtJZhf19&LPdsiIUbo8ndlWy~UDd2fKbL#>@=J&0wzYA-Hsq`QQFAolmZbAoHo> zUzAB>;*u6fCpe&emF_NHwT5#WoVw=3Nn==)Ik_Jo93Lt0-r@H^u|u1rBl;bp`%iQ8 zuHV&sCQlMpOgt}Kd#3%65^Vwm=%aO-sgxCYU+luU)g#&o0~RdHIs5M3TcktUSjI*_kP)fUGNZ^8}%jT+QYD)8Wu<9 z0AF+dfDK~tmC@t^ey)f@;|F0F(@$9LC~9 z+|bvp{4F1F*FPYVApUYngEC%bzJAju9g!D7*`ka{jA-F_TKliDE_-uH(DEz<{NU?J zAY2FRKZMg%S{{qBjMi_$BmC_L5pGNWu(f;R=_l!bYFFv)z#aD}?k#E%l#9^T!2 z%dq#Gi;C{Y&f!WIdGC^bIz{zlFq~K;hprSE+n@0h$ayWgGU)GdLwu1Ts;tt4>%is5 z0bB|6V78kT%SMp7!CNm`vRZn*cJJ)pH}l!LxpqqNjWix6VPT_Fqn-GFq^R<=xU+U; z`Z5Ssmy~$X(3jPe+CC^Hqp$CQkQVlCM@@ki4q?{c;+CPxGm+Wo5|hGYex(FOFk>9E zxL#dq;413VdXC>I_fiIrH5Vy2GMSc9cf~z?ozq5i61;4F_-6?GIk#PVHJ}2^LFnui zlS{735;L(GI^*1CGYE?KKGy#9XTssWkgYG0IY2qO=W>gMHK?>cg^kq=dn)Q0IXX|m zZsbLU)z(|ed2T>EI`PU>Ohl^CW4X2hN_iQsfyN^V~y8*UvFt)CwFm z?5~PaOo$K}d|TYO*~mek3h~ja#?5@0OpM?@9`qE{Wf3Rxo6^vFq~Mc_O~GPbTHxFS zD{ja`h2Anu)#b~sVoM)}f1c8~iY0Ns2`?Qwg#im9r8S55nBgLZdfT}FlLRgLM;$&D*rGzybbwh(Xo6Nkv=Vr2EXl>7PG|D zJ<)i&|I%TN!0%jV*2uUlOt`9B1@}%Hw&gJvc;-r$uyi2PUcX#JyxZrU-Yx-1>(`AU z#ZOKU6iDcratmSH9q7LSL7xiG${X2%r(h0Do;DrVLdeqOT_-h%qv6@l0TlWe)}`9PD|6q@a6;e zUe?cLazXcrRRytO#&dnbu&5pm{A@Eh(>21|w7n6A-x25f?~Ku%X@A7^HmlCdk$=l} zbV`>Vp012lX)$A#Lc_pKalAd`0^CL1_yG0(af~! zbU_qpaVSh%yGYwOHGUvdt;p2{nSGRK1(wf!6mcFr{nY%v#d578Zg-jhbJINUSzF1va&2;08STSX|L>;LkSwEi`=h3d&6mr~qnYLpClr4dLz{H@>@U9W zw361K2fIHQ97-6sD>YHR)}XUfR-SzoEt`^bpa(0rNUn_7Hn82!gjyv>`Fl-=R(a9e zY>+kOe8G&|gcjG9)i!1;`u|m6(YV$KDgg#TK81O|c;@s5fo#1|vnCrOf8B%ON{&5c{3Rp$jdTO_F|f^VUAbrsGJRr>G9LQ*e0+ zyVbFCwX-YE&u1|W5pfbOt)K<%;q=wLXCZ2pw6TGK-Tat)Xn~apJJt<+Q^kMLm;~1+@K|_7 zEaIeUvv-ci?{7z16lfiRAHa@-EJQXMF*S8~`0j!QsV~ApLl=$3#TK+2hQgBFYk>47 zD`GiwBlQnwWVXW-w2`kK|8^ww{$2~ceO02r?#*aR*Qq&N!3Z0pSf_DL5$lPuW`}3K zI@K`r`S%?+WKVmEjE?@}<4E$o?aC-3);D4Ep*M{K*(c$*1?9t2{AzTY4$Yvgee+0y$&{8+A&)jTodJT){Iit9 zoOij7waJpv!qZV4v3!QCQo}&jyZvh;R9UU5`_2AB0xZknRIFK&XhPrp!p4@Xpk`po zwd`^-sX|Yb-$}#GCaee<@=@5HF+wn4Pt8G2AK_uPlay)(D~~EAvPwa8vRszi~s0LCH8c{vtN&u{uQtDkZVC>`#17%{WL)@Ze z8_xai3Ag141`|V-xsKz;N?I>6xujSKkstpwpTKnS-aVRovnJ%!k6PuaAMyJvdx$^^ z*^9^A!iK7iU;bWH&q5kg_vkmwy(qBw=tS!uIo2Y8>^4ksUCA|S?jOYz85(6O%Z_~0 zy0Kq{iz+$f94=hd{cd;6IUL18x-v_$!&x7>E6GQ?Bi4%RI${>mcvjYBVy$m+%Q<|9 zjt6dCP302(Jfnb3LjInG$5VL15Wgv^QhkAUfE?L)ohzzRd{c%$`9U#BaD_2?m zjr&fN_V4TG^R&wZJH0N!z(~`X96ST5pvqjI;pEMr%eCvb^+b#I2VieO|Cm#;>ZofvRd?M`rmOExUKR~HjE%S45cEL+5DPhnqW{%!`%5Fh3>D> z9fKEj2>3b#GpHmvrh?i)%3}be@H>B6n=74%OFbz66IDjU`l0w<|6)`oVDV(j*eJjG zG}+3`&zV25FD`Pka0WlH*CuryiE`^Z*sq%LbBB7&dS&<@o*BhD7nG@F|z~pPI|gmo*(_nZuY%0?lVX$CS8j+JoOqqlKct>N3~g$t%W~IPxNXeN!8ID zh)Iuh293J4WiI%Y^o3=xm|0slw_W~FpGbD0x!cm*CT9`)vo&EwutuPvhESF1vt#`dh?DmJzG!l=q9e z_Ck|BsrwcM?)=-<6O)Ob;{OyFI*AsF{+O(~5_n~1aPqO6Kht}DEUN+BPW_i&*hDmn zLuiCvOn9ZKBDIbw!^^ns@iwl-|DimgV$-Q&Vl~CrE6Ws3JLIb`4w$#=yj&1& zYjK%xU0jNl&TEZfUXhK0y&_)x3NU^WBP(7R2(Mq{YNQm2P1_Nw1u7j!bn9n|gph@o z349a^H_lmZvBBcnx>q~wY31kZnvkh-x1{bl`brkQC~J>dLfHehTp}+GjjKzO_nXP1 z-I&+552Ccg>a?RY+f3`qNEu|C3s_=0eEq6GXtF4&KzJIMuptocWK9EUSeLwWW#Y5| z{iBIiwAJn_@vo?u=<=iOyXO1CxuKJ(%@_qLke@YjyT{*0sVWn=FX`NQISq5}>I`L! zoB?~5x${@+_Y3Ootz|AH5U#&g*+pQ~&Jtf{~In6b5mYNxw# z2>0!;t~gYb-aL_(|L%mDb>P>%^-%i1rbSI^6c<*ag)O4C#_U27vLif{ASJBaY-Ih9I^G^-3lbDh;!yZaSiHCi=Gm@5q z)keS4O!EF2??RH|YlmUQw1sB&V%>n(a!i`fRIz1$7aP^sQ1TctTRf9v9v{0I^_`_u zY;ykVFRLc(~5Jd3#2P!;+c5%BOr_>;`;z?vtpx^cF8XA zqAJOK$;rSx+h!W2PHzd}56AEyhOWU9top$=U3yEl%uue7F1H*qPc^2Fr zBiOmuPE$#3M9~J$@_!-6qv|wTjl^pm zI3r<&WP)g|0)Bfhtit@(uVrxbSCTti9(N5$6LO$@U*!kowQx;Q(B~(&DDZ$&GsR?g z3E`U>Kp4w&$2LD28gnk@9xp}9pS~k_r{4`HPl|b^XqHAjNbwpH58H^6c_Anx3m+&Z zCMn~VeL*9u?b|O@6MHTCQD?7ng7g{V-Y@B#!Joy4BBtHIJ5v)KvcEWZVUxUxV!x4l zIhBY^UY!}FLNS)J9At1}sbhc`G6hlLvq?b1QGCf3$1yba8~`hKsk-)AsjT^1#MSz- zBa)&b+vo${TD)a@g$Ii#NDiJe7zbtvHB-1szTv-e^r;FYnR?GRU0b(lSmJWCQdA^H zMo;y)izDkynyUf6;Kovczp=^RRj-c|5nUKgZa(Mb4B<&`9<`ei79=UbuF`QT4*fuj zygq&SHrHQA(i8Z-GXa(U&G=0G^_aW1t1-obGG7u&&4`iJ#>Lm@YHfBrT|&E6f+CGP z+w0m!1$JH7L>@Wt@MQk{`j5XK<3v#^0RfJu2hLPyBbH1Rg-0hR_%rz|AT()L)2ASV zE9j6&8PKnasp*S2p5wX&G&cc`e&6Y1)I^~2faxFJ(7Ml@l^q8@WLsP5P?}XFV=hae kc00Gp$ez#sc=(Va3aGA2ynET6N}Q94)^JAuzi;V(06i@|^#A|> literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.cmp.kpt b/38_decoder/db/38_decoder.cmp.kpt new file mode 100644 index 0000000..b3d0454 --- /dev/null +++ b/38_decoder/db/38_decoder.cmp.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/38_decoder/db/38_decoder.cmp.logdb b/38_decoder/db/38_decoder.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/38_decoder/db/38_decoder.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/38_decoder/db/38_decoder.cmp.rdb b/38_decoder/db/38_decoder.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..8a84e471f73c72ab416b82a78f83589f9aa9d637 GIT binary patch literal 14924 zcmch8Q*$m1%x!IJ*W9&j+irK=r?ze5scn0=-L-Aowr#iHd(Jm=e!`httV}Xlxywbe zf(!xzQVkCZV)vg3{^x6$Iyqa~+Yxg!FcFKmTH2Tpv#_!gGjT97b273pF>w>CnmV~z z8k-U;0gSDQS%_6-h&4@(h{a7TUH&V};{^SW0|X?U>;D4CE!h8}9MAuv2k51*ma~bZ zn|@Pu0vo^f=$=L6YJK8i{3QjRkUGFJKfF<0Pslx3^$(Q#*3|O=F$3H$Aw=se?RGo_ zNNyxv{Q6JiOaI+pXUeg+Sp$mA{r9+TtEr@ywM6(xj73vqmU8|(+!^kbI-y_=Sz80t z5Nob!lS!0{x%7&;S#M`$d4BIdxBd)iAL^fZ9f=<--}LU7cP_QNSFa38=X*KpWd>k}Gp8td#r|ok zV8t5C2c=f(21l&zs`p)s`pLp+Rb zZ34o_i!KRGt|FJs2VV}qfjM9J0bs%16oKq^tywWst(uqjmR*DL3sPoxUrpm~C=)o` z7L#Tk8x$omJ+KLPeFg6{n3Ji@?iP=j6C`Q!3kk$6d>6$OSgAaJK1)6IQF_!AE~)R! zbQzcmUG|R%-$f`vQj?xuO6N9^6M~{^ShCo+Nbr;$DJ)o_M$mrJZsBFta7GX;{12`{ zj0^K=Cb1XWCF~5rJ9y39<%|LO$IoAxksPy=$Oq>s)tiZ^Q9;e_s4PJ!)Wjdj@ks{3AB=#_Pd2X#rvv0s zX0lEZcsEon)bj$+1Kic?#*^hQGW%8h^p2@%Py{Vkxh%mkj-CMj>{RfR8PmtrTkeN5s^9YzO^nR<%$Q-=lr0`-^PKKQ zlZl4>qrcPgZ<#H&2L{?o+q(rXi$xo)U?6Y%luN=M7WB`Z6$-71G{}~r;W-mrRRZBMIH9h zKX(4jn^e($pFuB|NwuHtA$C&k#gKG9z|qJtl(8@tILR_kSeU(9=6;*Bew@3rqH*)m zWxNyVqCH>$8F9i`$jAa!%$^e{{+TU&DO|MnDkTb%zF^k~9n)u-e0pJsGpPTmbBt6r z$pSjU*1aM$8N_PK>M_rJ1-R(Fd15}gCY$X&YbN5eZp48T#>D1Yv?TUXlH?*)2_r!s zBrtjWVEA_2^tdELa$bMJsYzI4FL>_o>v9aqM*sm+Jz(dx_1|73MWjKC{`ZI%j&_E# z@tw~aAnCW)%>3|@DQ`5nCSXM29E2$ytdG8x1VyUU^*(mSCn@$jEU>nCP_8{~bgdX{ z%-tq3*q=bKBDg0wUTy-BXAmhV$z>#XJ?Oj7AI{P++z=^l z*`D4|FPN6+3lgtX3#QuX(5ZXFf^{=MFkf~8uk{G4E*Wc3G;*KgEc1Kt2JTuA`ZN{$ zqPw5ru00bL0L4RmQ;g?-*6@TkmT2)F)a8rFUgRZxJ)9_FHDGqR%RhVa(`qE%$Zp)cr_(U5))cjxtv4YQQX=#&s&%lVu>luh~;*dnN z4nF1Y=4AMk5~Ajpjmu&`wXHHxAlnN z-%0JzZ~z05B!@+`7)(Z7UV*>*(cSOR@5OXKwpbRdt$&jg6FX{6C1Sd5sa@8iGe#@w zwi>mQFtfnA2A6~gZ5eig0O!+Slz9<_aVbfcSZ1T6CAAzkpD;CVy?5cT_HTabek5rj zA&ZccR(|j>o!bnAHdrdkWVu%M@>uz!P`Gr>7`Ae)I-Uj&Sls+kAhy3YHjs zCf#yJ2$hqG)zZ+0!ePXnw4bwE>%LNtljeCR2Aqf)`g4_mBo_+5+=}#zHSK-7pNocV z@JFAgtVQ8egORbO;yAVafR+}D&Bf1B%|;Llvoc}%NCpQ^&M0OJ@3DRt&>>1!(GkWm z|7L#3t}TOoh<D;V`aE2N0&Xh7)&U{QjKTc@jJY_6wcOW^_n~_(5DTx zP|Gy?WX=#AYc*Th#L!16>%J-)+UOT}bMtMHF z(2K{DzYb)J1QbTMb(se%tyD9uwlY$MAqc1oCy-rE;fPWg<4xi=pGkw)3RhTGR}2M= zjtR3pxVJS18!mSby8>l-steh?MyQRRob;yv(jGVfv^1JtWOR=6*DCxYARltIYOdFL zrx4R1^G})NadfgVKR%B}6}e0MUkvpb(rudG^x&2;=SA+&Z1Nk@Dez8w-HsmMsU*qa zgPhL5CRov_#!qK4Gs7QL>{Z@ydS@*&#LA>^%-A~l5Oc7ZX3U)dBb(3bM7VWfsI(F8 z_mp)2n)JhRxjb_&UujEJuyOTiwE0%IuJ`asKDpB_Nsnq+2amv;Reo?I-o{uM1_sU|IurA#fI(D;FqGGCtP!%?J?`2WAr z<%1A81sIFYE3RL^4Z!B2z*|z2hp_oT2w0F?8?e}~?May^^v0T;{@ON6K*&oTw`^B=6dfBIe2M2;_=^G z>Q1wDP5@~;KljZVs1s7d55wH`2560w*hh4?<^yQzPm~vb^6#IQdjLZV0vv~| z&Ip60+4L!)vV3Rc*O;dBul#tBK->~Xd5$K$uT)HL{0Yh4It@p%An(AivQHZa4Z6Fb zay+Ir?n&EXb5Ant+C$`6YG4v^j1OVnaLWCg)nDDb;P+II!`n=J#o2qEoNa0W27<>s1pl6Cid#u- zOVrEt${W9fGh~4y=o3=u_iIP+5q?0@UjC%96pU}?rZrVdU@)G^!YX|>*laIqR0Lu5 zQ~~{g&KX(b=E|YLCwg<6PK8Alm#kRJHg+7#bL>1R;0c64L;&72m78(Aip(F71v-AM zUd#(B&=Z(uzK0&~;FA`t%!8c5>~)}oR9=N{%HNIDF^a$pv#HU}Q?=rVG%!~SOX&hn zL}>5HpVVV{Z`}eH)pB21n$C#j%tG)}nhRpa!8rw*%FRugRiTr z%kh>H+CK%!Err<;g(3gs`!FlWgy;2Mwwk_4_-t$D+i-QK%b7^Oslk(WAWYw+;QsGS zaF}5W&&x>COWBUccf^`Kvkb1(NBd-qu$MXQfOm^b{iC~1hpxu2A>Dw!h6dMdWSrhd zc?*J@OSF5TS54e~Z1W!4EZGKBR4zvYA!gXU(Qh>&R(h3uc|Bltf_nNuY3TyH_ zX8#`8X12`^`4HBYi@wJEx?uIBZ~=nogia`>?XYOA&`hmZJtNI^QS;%2KVHC3$+3DW zF3v?{$y-Cu%2iY?F|I;(nBw%Vu$FPDMFk=OaKv1#p$X9hgK^w444j3bjZZ%&p^i`h zn7$34)AVVD=#NBMF2cNoQUr*j%`cOWSm@AXIp`M4G1K+Wt;F;>JGJI)-N>JTmsN&e zIeFwxX5pJK73{XP##7#4MaBN4skz!RL$Kez6VXtLbLi&*3#7d-H>`~>QZL5rkg-`c zQ5a0@i5#u?`rq7!Ejf_YrN()6IUrpoBLX4spKrIe=C+0|gN@borTWT= zB3FEbijKA%?G>j;+RV?A=B@Rr)R&ul(Kh@vh|-;z*II8jLTWVsmPXBu@sy?Kjyz)+0U0A(@4WWpH;mvM(R^E_p_QM zQh0CVN3Mkq&5kdzZegtM3^YeZHrLXxaQd~D2o9?Y4+%=2@0X3Nm7H zh!1sxIAxQ~FPuKth5hnC6Ew8rElTJ-(oI(+FL>(NbN1sOC)eDx^2xn!i>-gJtK*Wk zN>iBXxl_HUEttv~2;~6sUN#kmjQc6k3=w|6f|7{hSx7yv&D!R1v!7X4AnR_|vPhHP z{y_vX6-S`2eiV(Zl44s9%o2a9loG>;g}+BwM6CWiBt=dyhvx0UH@T|ZhybUON-+0w znM6IPJWed`A}hG3hyIZtqRWuJOnwl@z%I3?i`_KcS!KDZtt5kr1#=h5tXcWAh}TP( zkry+QeWN>CZM--bCo*yS=D)ENvJLJ0D}@@!G=m*&71RLVt38aPq|})`_^99OS--gs z72vJ<<@(S<3hm*Y%c_-`qn{J``c!z79l)efbhZ~HtXEki|2+4>!9Ew5%xD>*hlQ+C z$ei|t5py8M--lLLE@9cSpNv2-_5|FAzG3ppp_*@QI-gKv+FE{1P-#&=3AsBfRG(IEgN$6mhVgX#;m~ECbLF3gnB{ZMlZMbA z&LjDHNj9t+#bcyn2R=k0>~PBezR|Bm+OGyHG}M<^DWCsY;w(TqN>nwk7IO=_%s`vg zvv*Cf5g9CKTaBQ8^-l*HL3e3jhp3+T>qKjOX8JkT3RrT)Iz+7Yh6wMCvPC0~`$R*n za&W18I!DEvQ@!CEiyviDBpyZ0jHygV#sh83l4``ImuNV7Bg>cSGxPSyusY_UL zokrY5_l{Velf9QMyQRj))unk6jVQ<(MYsn9*E#k+hW66<&BF52VF50jJt9b${|Xp$ zF7l^?t`WWe?l_VMkE5&ZQ6oggIb9pVp4z(UKR7dnn?EbTJg%=vso5C#&X$sIo2x{| z3nnZ_LC|%$aZOz<`~`iha9g+xly)Ex%9B-sVnYCW$H;{S9kSp;)5H=B&b( zh!RmC;{*{Z477dYzaF81mmd>RTge)6=qUlw;EUh;rnhLM|`YK)pQ0Ze3ACQ}*U{}m6DWGEHRjS_NuWWNxlTUz30 zwj8`fz&3GSG0%wwG+r^;d+JSs#+DqQ^Sru2hy@H2nsLx;z9Ham13{>s6gQrd^r6SX zd?yS>$!^}|S1+F(aWSc;HI$Z?Eo>B4A!d@?!(w8P{;{i?r}qcQSMw!vlMtCMaL$G` z+DAdE;h<*!zNt5JojuE(M^%RyKX`P(kwplbB0jLiB^iBDN0yOc;nn^lu)iNpz;zCp zdz`D`h>CgR;^j&oZPo`?GLi!&g6~%|$A8D{4V$tkS~F506*?#-gHkL8+itWWO7~lo zz_Gh}<#p6Bpcvf(vQ>{BG5Bw{4seFFAR8cy9PB=)MN_6>x6YNE&iMq_iR_`~b7EHb z9y^ZFTLhxtM<(yxhc6(ceG+LuOYsI3i-lV@Uyx=1Lw2~{AVT8m;%01tRdTEuA*_KN8M@m!+q~OkTV>uO5`; zjm_<4 z?cjQZ_K`cAG)}|k)9z%4?9f8bRcpRdGw$m>F@$L3fxeRS_vsk7Hef$QbUzvgOR&B% zQV2xNnF>fTh0l%NRHbW4Y)!{7Xa2dDI;l%x-53@a?MH+1mC55k-$o%1yeV{hmSt2k?KmO_; zgRFFYT`4qlfH> z=;##5{j_wYT)|GZc2^NBgASTyMpKCaOz)2Wt&Fn7p0L9kS!LlN#sfgCKr zTAA{}+5>AvQG4CP#gVcQasxHiYKj+|BSBR4A@6+c{CNG-(7{DdV4%|TRu0-sZ<=25 z0>BJsHREk$C;Dm#Adm33?RICP&zHfN<^QR0KV5M=c3;pvP~to>oM#?tn|9%`a)?v$SC?rGD%=!$^&XPkscL%ao2)eva^o+;M)C3g)h!_2BjKJY#HjN*N zEj82GQ`fD`v7~L;k_hr zdPA>jwNN0Udw1W)WX{g;-KOCh^$N0={3V1LsNBPnnkNzZ>R0We$%gzy0yh^pE!buJ zv)DqN_sTU1KfwS;_QRGplct#pALYTc96glz?I^UhO0QWkG|UNCe$P2yzMpK+mc*Er znzpnRqg~0bz!3;P62_(cbly(6QN6Ex43trgdhL9BAj7hWXzSpwd3xfkydGHnz{c^gi zWYgW1A>aN~#Hw=6hq`hCJ8T}tzm>_ecuGVzJAdu8(dfRZk=1Wef?9}lC~@$$_db~d zC>3e3eLw~ETR=*#EMqQSjN;J3v;AWlng?LfG=#CsgT^S_p?O;`WBKro3)ws)+2_!# z9Cn0CBOHpH`x?=Yu)$AsXN!>ejt~wrIM$w-bfqk$b_ub_&C`DgMMSj@a)@44Kx)T8 zlxIm>U_=xuGBYX6EmC|15^Whfs&}`f5Jo6z-2+^IkK~^MU&fsaYdfZo;^x5G4rPs^>_ec@MQ7|q1_mu$a2g9Gv6IESaxDbTUWTSu`1FOU zC%Z_+=jJT)rDT{IOX^hOEKbVdE0!|Oa#QZ;q#|RCLu>;MN1Ab}+SGjC=;q8HeMQu@ z6zfQ|w1O%w;G!c!ZZAEm`yBpp!2dO}3ubpT$ChHg>+liFGxCxW_{o+bU54ZngSk|? zY1B?{sSrWm)l)dqj`4#J%(}n6b}g%aXA9rAMnp}Gki3`32u*Gf;B-wUsEBz6w18`> zU+r<=n*>W*7Ri6$gftWHy8KGE*AMW(U*;<0FV*vWzT*n^z&Cb7T{)bv@K9X%*^|O> zmo{5%OS@fdr`#J*2LIald0bFjj1=;Oy%OJw5=@=ZS?rRceS7LfeM6@ni}Yf6QB*Pf z7Mp9M+l1(Adi>hKvHj}mq^+oKAZyzc{5~ln|Je?rtq4Dy_Z>fq^>c457Ce#hQ`9b# zOqlrk^5@pRPYX)?td}O}(Y@{|N&Zw(QURQo3Hc|h-1lrdR4?#NNUxd$iiq$O(mrK{ZALy}jdupz~`eIM>b_xqqELrC?0I={UT6(@J%B^O636-*s{17yaY@(=Ui*seYV zWvJi0R|s;~-BYGkzOSfqdz`0IqQ~b}aej&Sb5C(BPSi7?u&9sp-K@6g#nH&}|K761$`}{kl z9B}u(4f7I}yF{t2vn2gi9ox@;mO~uxs|Y9Sxh6u0GYw6x@NM61Z-aNoyUT{r4(t$O z9L-(2$|57u`jwbK#U>1Sg41fCAbDF-TAX(2^3@En};4sSHrwT~#p@xy^g;8!$mSqZh`b+u-{_F*)%M}2d-#F}1`pZY&d>=(}f_8pcE zsUo5&vnAgCewjvX+%`W*a?V_%s=I=}7ZOz}a8v2&=!*d4>Ayl{8`Dm@cn*8yGoW)^ zrU;Gbvuv#%;h1&D)Qcm}Msgp9CURDuTyL9!)^0p{Ld5i`sI?LL^wEKH!_DLeyC{MC zEWHrFSdMs1 z53=kPAI&R+EI)(F+uIcx9VRhbfFG0V<`8v!`wj!Jlq#~)pepxudqg>$Xnq<59_0KN ztLIPAs-lF!^|AULlMrI;j9rm0kBWnOgg+a(f`aQ%C((ApI_n$WA&OEXrOu94sL9o- zvKM$sGLpyh?zFruvMJJ`6%=%*T{+>$mvE?^v_~=HV!OXvA@#S~RN?O&$oBoHeKRN3 z3h|l6{1Hkjf4;Hf#h0g}Oy>qPnoO=Kme62P>4EMHilizjWkl8E20F@P%Kh1uCUILj2np zvT|-SQPEFj8waHfdW97ybZh=v^?f8D#?e(e48do*X@C6_x)u%H71Un?tw-CWSW;ni zKsJb&KZE1PQz|Z{Xe7M|K_sW+EU;{5)K~^t4?*mb972bAtQSBN=x;I*3kpdpvk?>f zK@S$c1Yg@~EB68YEpU*wsZWCf=4phCB#BQVf5w7U`QSH7U;^`TD34Ykj!}~vP-YQw z>j~>a`pbNfbU_&14%37aM3_)q;-oyl5ufgxA!*q5IrQi!Y)ga<5nu%}k{A=Wn9WO; zFe{Or6R7koX~ds#Jgw59yw;2oeHYJ2)qz+(Kpv@YkrkWX5vD(A2CJtP1`SyJH#E<8 zrzX0h1IO$-xC}ypFfE;&avju=lzQlq%!86l@*9iV^k`oLVVfc}dF~+!y!d{R_K4o@iB)!HJn^1{^h-UO{5;x9;! zP#(mu$z+&}m7|Z6ikp`|PF3S03fOQFR4)q0U3Q_iO?smKg{FwvmG0t-n5D>gSq#|Y=+Q@@Ms#w1gP3TPRtqLz4L*RCvvDh{ zav}tS%c0aZskC*nN~Nt!Df98TM;3x~Tlwl!5p4b9ehXLIw8kL((Nbc)z?-jb#hB{J z7=)Z4e-kU;zg)Q*PFgY*!of`LfabYF@f=F;(q>q|WwEn;u_nL#mj2~*JROAqUN(_y z?zleWugZ|Mdc!oBUxQWi!m&z#_-9NcaTkQxBO9(%ci{N>@@h)mug3|ML@!dz!W`0` z5uVWObn~~fGg=G9x84~2A6sJ)^+qr7j+hXgx=!3@)Bcrmg}63;=PJS|l%Ps{;b7e0 zv>3p$7;MFQRAMMIfjBx|Gxa*^A(3#X(g=(vDVgwB0S0$GN9jgIcoHyK8*x6)o3w(^6sd>?tU2?{P4IGY zHO5bhSQb&s2plceEMY6G6>h*Mb96&QO4;gO#npNNMr(e8X&Q)sC@n5kj>gB-o)nQ0 z#!Gd+au9*-QDAd*pxwm5DwO~ z?mY6JwuP+`yqK?)0a@_Ktk~S=PAJY9CE1#eIMiWSb4zxD0~7iIy@~%7xNg|LXL8!S z@~u?y0xH(Jz-!{h0!++}Gg9O#N6=iO2fs{}o>T0r)1cSEu-*nfWRUFjIk#Jk>(VH_ z9~ID6WS0`%lN3u7mTUhvvjImb4K%HAgMs!54fE!s|S(p$6=3H~GD}$9E zat0ZxzSS@0Gc~|LF8jzN3xI|m@q^+L-~X4e;NKvTd{hs2)@KxnWh?k)3-`w3VuN>- z`%r4jcCH?-mtHl(MXQuijJV`^Mq4_O-hf^BboLQcpa3NA-H~}PDz5Q{Y$}H%niiYz z@C*dWU$&=@@{IZe$91SI3=}8oFYphh2sQ4SC?g(3Xw@&~Ui06*W!VNB8wdyPzX11X zppATDgZOu*gTprXiE&v|qjiP=W*9N;lL(m(!s>`YYh?j^;NG=&x*s1oK7w9Rh9E3bA z;~fB*9dm+@@;drMgH6h4ymN`ediHBTW>WKlaaoim>eLuj{u zzq5})B9>`^dkHCvE23-vc)Z}l8Ri5Q#I1xo?FCzdEzSbS|Fjvfq@K)Ea@QT%8u@Iz zGe$A9FKVxQ0ojnXv%A@@xf0y0+S>4MRem4-zW$Oq`u^uNf4@`&Rc0iRJ)IHxNVp8O z8+J}?dy&qaYjWH`&;cW`YbG3$r|CBw@yFJ_8VzMHesfErS&?o(X`a{j5Q3rh{4#Zk z9Lr5Ys5(B}iw0hqe~ma!FX+7t4(-_ydMp~Hd362 ztX-tYD(amP8ttIw_<}3raeR+L%U;Hnu#|eI8_3?g4_WIvrD#xj|-6MM-%06hv2J0xO3v)JWzR?BM2FcY`PA z_Mgs%yN*>DElcfacECjRAP=B? z^hAXAAZRqTcA{uzWM%L~t3HzTkk}9T_#=O_^th3nTw zpyrUS)Q95Ml#I~Ja1;+i^yI6!!SfmSaKDor(j=qjk7`@M+VR(8-It zo94tLkno~{UoZ0Fh%_(9nID?KdqUn*sMTfi^JZ&SP3bg`^NWrKj%sNuRmwUb*cOiu zv-L5n0EX7NRmhEbz}YSPaH9|^66y}Cf8#4iZpwQ9&}!Uj)SJW5dVHEu8~_@E+*uz0 z@ZC3{lqONEj_O}&O&*&ey9tr@X8(BXDG;_zw<5AMHto#*0oU9MgpdEGx56Dxs^7`e zdUCR+b!PRlpUdfbZ3X}^^v%Z2m)6_VFVxM;{57j{bedva!{V!3tIhtAMqD%~qYkFX zO_E{Nt6T3CAOhb^wQQGwc>b)zi@*)5V;Z9+T%K>U=mvI|%8begc3RKRDXfzwbF8a_Xh)>qjCc(C4fhh!|5f1f*!jgXo6vd=@*9t8-0K$N)9|A#K;nUj;i8KkTG}F@B z;Wq=n4=(#V*0EN_$1HRZ#0akUwc87_;PFw$$>ok=90(mJM{&o)C|pG3vfeYw z^=_t?3vuCetFg(Fh%N@f%Yskk^@i;)X^ys&>I*(I6ts$55lDYwsqe)YoX4T-L=Ts6_Wwlv3q#CW`Q-ayW#&TG{d^;&IS^4P_j*B{Lh*gw3z=tP0sB z7Mz5b0p*B6$1qY1Kg&ZJLTn@dIB@f7^@oj8Z!gE1!ER{rrL`oH+n7J<`pKu(f}=Kq z<4k7FN&R(EOu{I(NsJd2=)_kvUd5=-AvKYPHow;ZVKdG(n+EP9LQUc}Ck>n@;1&=_)6h)a0fQCRQ+*UIR(9y0#6Uf&Eb6W>a`t@P;h5LubGVNyrQ%G81BB z6FI2kTB^%S@enOKI9_i7v+0 z(f$7+jWK!$_D^q1Fb9eQ%ozjZ0Fh2&ZdSpO6hPPK!l^}+(3~y{R&KHXa_e%)o)U#q zpD5yZx_0CVv@mf(G)R9x5`88|yvMkqNd6OVQ_C~ekd3qXcu$7AW7uGhU z$(0&VT~59!#m=d&W;_5A%7&;epDqsTA?*C*HQF?aUm|)PoYc z@s4B=ui`XZmtE=)TD7-q&+J-turig5a0R5{*`IIA+0_)?FDs~ryW2P_tVTUd9QR=y z!97%}rt;zu78wF5+>n-9g@qG7S(vpscqzGP6U#VmPxCL7Y4ZCwp%>Hu`zlS^m6Mot z>VnV6>=snTTNfT3*@}(M1FfZ%Tx;XT1B@|3IG_jT5T^=Ys(-o=I2d_tM3{bwoM84+ zPcjCPPK%WDMrfLM0By8xTMmbm^?Aq!>vrixGkQ^QCzaEX_QD%oS#rV0C{ zm=vE|k}Nx7i^ePFAd4kCLa$|oZ%1}C?*TMEqFv&Wip^leA2q^DyJXsh=ZFo!fkiNb z9FpQ>FT?CANT;SCcZw*Un)=1@=kq0-lTj;jcej)G)3d9wo*g8C=is-^o{$}We~Ecu z0&)8UFJvn8knO3)W%-Pcu7H*|+(_Et|6bAEa-M1vNM!1^!8R1IGlcqU3g=>o4*q&3-?x~ z!POGu0zfeH=~Fi8v#$-SqiqKU^Ma5i{be}Kmd))3Dja+47$cpRKow5x$~*#jqJEWw zhktLjY6(8-vIQ{uZM$tUP_smgYX*os_aj3yaZA}j=bq6Tnv9mIs^|Nx4VaB4z;6~2 z-hrj4-0Ms^9hrU1`v0}+CA=;8(-=`cCgrb^WT`sae&yV;RcsDQE}{8KynyxmldF*q9-!F#5|x6uJ5 z4N_!LoG3m7Q`UQ?AA1G_J>Nnd74U!&!Kp(SOuFgSjFo1M`;v68SQqT#R7f?IX*5l+5?I< zvv@NXE_Pq?5c7`d9jBw^%vMGzmSU-Bbq`P**v`wn(22?IETM4Ad~=I3$uq5WkFmN58uduDhALSY6SVLeTmoy}K>nrx5Pdx9FQau~cjB zIl&y4L|l>(Ssas%#$CbFcmk8+NZ4inZqoear-$VETOcXF*ezVL?>_s|S-3qVXeJUG z)TInhajk|eI@XcPb7l8bl9vDyZ*9Oz^#W=JjY)Tj$IyPr%aHaq&c95WEu#6phXikAQxXtMPXeuKjx*e^T-z zvbZ`xK=8EX86a?sj4F)BnF-1DjBq;Vit1UXW5|e4 z`tVQ>LenOE)PH|$Ane}6-A@uSy8>&^iFOQg3Acp=^%8iy`#O;&Mg0FZDBI2E`@67XPseUT zN{x1az_-EA#&>`qx51-C%4%XlOLT$jP2--Rjfy0U3NaGI71iM4cDh@t z6s`gxh=qya;(%4~4eCb{6dY{t6zPTSk+}DD?oWfiguTP`Vd&emMPxN{(6S{8G{3s!32fT8ox*wA%& z#4lH4g5C$H**hlfwPZcm>&czFKjl$}X$k`T0bju4@IU^OE;DFsfsjvCjyI@%hrfW8 z;ujS@6CZh9C1000000FDL#000000PO<+ z000000HO#0000000C)l9mtAOGMG(g~ZLC`Bw~a?0l zr+>wRp{>KdW{))v)X}?GpHl~ zjp_{6cgX|xR^PA1TgA$pw8eMH)A+l31p8VA1&;j%7w@mcwe{n%qK9$Ez7bpX?X(4s z7~=hC!ja?gIyd1sTjDwbhtK+)TH4}4O5PJ+n5Vhke)GI%{o*<&9}R^)9--KaO(aPcla4DdN(!ZSXiOJ|d?rF&C;N&V9PR94Db1p0fn9$E&x0rVsn_!)(nL_O%9y$*fp8}H7XA}2?iDRD#`+oI_eATzP{rPXf1&}e zef4Xl{p`R$BK-Eq{wu}DoBUr9el)_Xysah1SUfJ)$?(i}ob zxcLq_3PwM00030|9Amplg(=sF&M^Et>3k^T5ZvjA81)vv{JEx7Se2- zjhmeenN;xqROY!#Qr}MOpV4c}D44OOUOK4!!SIS|Qt7nHou76}UtZgqAWzsz0TOw#Bt@XP zGi~4Gc{7&(YGZulFu_9=$(d4OWfEcsciKu=;~P@*yFN2UqpmN{YA^PY=tD&sUFv2R z>sLE_iTY9LA@(X8iS)VVqP70|SKm?MVmar-FANX8f>WLO$Hf?| zXE97fQSB?`*vd7bv| zEdw1LJHDc^gNwPLF}|F>p(Q>8zM=%as<8pSu#vgb_h}8tFy9zJK!1AvIRXJaf&E7l z^#4b@0iSC_W~mO|o^u=2EL&R1w|-1@c(>bbj<@gGZ|0}1OdVZ5`80gLwzAWyZMWXokF;ik zzBVs`(tcZs`11Bs761lyMUw#d;UUBy6}P!c;uyT;VM!b!JF`yJj?MwR{^`p(whi63 z*T}!NN$+VTbxj+uz4bfrH%_j))0S|3p_wDW_Czyic+NXRantdD#H(FH*ErT=#Pn#I zYLk*zQ6dg=iz}59Www$Z?p);cbgIY{B%D9Y*(pnq{&?3Og+ERU`b|1useFQbkc^bu_?Nb#f#xUWK6)`u zGx1T_lEjp-0a~}56}Z4Yl^k?`K7FLF(8uECaogM$_0{;A^L{;@e_EGt`^s-MxHf_W zsJtEy_Z;E2(P93b zp&*ne9I)X393v-xRKC%E+*LE_9HThHsmn&KG@s>gzJ^G{4csf%eVRm;w9&}xLF=MW zifeQ2qwx@(HI>NNU@+{RhXj-2tXSvjva|BW5Zmhi-HeNISNdIldGqoMPV&NCryuqX zcQZPKcLOf|p*;CFvlYkoc!;1cOBYt%gi;+_y$#F7K!~vlN8wI?Fy6)MC(2P5ze;^2 zm+&GhUiSKQ^O!o^5l^Di2ZXdVWdAUx6OQYqpN;5wLJSI>EDcf(q2=_$pKR9)k!TwV zB^hJFv*Ubp)*qYOqam47Z|=?HYd}9aPcln}+YG;~v6{HLE&G@EuWjzWvJYW_guRim z$4%J1x@voF;22pj)@uhwklWynB|$%^EZ?nKr`lq=C)qK3g`?+D_Y2xD9&|36gnuuU z;^5tj5dJY)wI2h?ozMB@<}w=5xp!ZRl-Z#Wx@7tHo|oJhCkBa^0$&|LP|BX|$A+La4c(E9Zwp7}~DpAS80ivgb48YbXx`=2hTcgdfHIVecTWZOq zU(P8V|FHShp_A#-QwFe%W$#+kJIA$9VbuF5IimzjUddL+`_0*cKL0>fV^2o=R6ZSH z4fWDGa~~~QHSYe>ZcdhQwjrSUuma9U@q@-Eu0HvV^6 z1-J(zr9S)3+;C6av^%qYCXJKUP>{RZ<oMO8QKMvG#3jB=kBukc@yUir#f_tzgkQr#?~e(C`FTAmjN1E ze`U*Y8b9hbOx|Zb{p9@kUMf{fR`;^s=FMWR2UTp|(bZnWSm}Pe_2M?;wYluwBBR?B z5uy`rqm*zs<4ZHH-FWl-Tr|(B3pXVaZZW58z+J1gab>#d zC7d8Lu6f$3*Vr#NMHbIOq1bGUTYWu_ctn@r?yP9k{$*6v>9)TiZ!pTNU3-nj>YOFi zOn&}4U;L`>^d6f45V5fTStAi=*fC@}v1hE2mVR}&ot@vLeswO-ZgSdcO#OUxo_mf( ze5QA&T`-p4qcS>ci7}<5Hx{A=uNB;q0Zfj)^3c*7I_T{ZrLNu2$y$WsJ*KYi5W!zI z&3e=Mn_wkw*Od9Vh41N5*guD4TPQ-vs1-J9W?8Clmo)YgtRqU%AA{X0u-P)h+#i4J?Eer-jC=rSui1PteNeptcLeJK;ds z!?6{y9g}m1E%0_$nGShI2gD#@w>Z-0Lt0$@VI z-_LeoX&$ekr}(xv=^nLP7CvcIX>Qo!13CpwWTfBFL}_qk@G$HYtP$AQqd61nV$I@o zaO}qw&s$JW@hTs@_IFn+uwu?w-1HjkkH!X7tD{dktt{wEsw;Nk)upB#{WZ6$;5@x8 zEMVZW6Xzk)$-TzN;rV>(MXHH)iVxdX94MFm)ErZO9Y6oMVcJ?| zaV>$DQ;n^h2Mm0o_I0xOB=81Z^?ICeRv~e^_(L}%I*e`ORf>GMynN&Xc$zDf3Q;E< zJHrFaLmcZ>QOemRzVS$$wFn+_-r&*`4ERH2TEzXe zBJ-;bRymU162xlGQR*U6wnn`Dy2(e~1yfdmyXJjF7~spzLUa+E4&{)snP2Ie#V}T^458d=sdrg^WGyN0#AfnM+)udPuk=r4>bSd8{7?=xhYcX)t^OUk|JIViI)%X~tpY7ene7cMb8ZRMG7mzdmo z`YpeT_19x`0L_6GW}nXT5ivzoTr zowUxsCFRjvWH;YwlMx7&|5t*gDo2(6#p@WBS?EFZNEif3#>j6Y%gb9~Tr0QTS%f)& z8?RPIaU?_EdR4@i-p=cuMeh2As!f^h&zoGZW$F9ade^kwhCK;K;Brsx^0)V{Jqt*# zSCeu~I4X02tH6EzbM&W^jXjH}iCo&wSt}|y8^yMcTR%aIG3p&jWY3K3)fe>p==v*l zNu!ctb5xjYS>B>Wci8xB7JmuSq>or`uSWn;0`y71DT~Ei_jN98>5R!7NlReTV)o}+ z1m0K?~}0a z$hgyS(yzMgd{fv#yyI%OxeW$9{CPVMb3Zs&rERTkx-FSVIb1o@&ni-FD_%Q}1C! zlhLk@p8QM!VKbyA$MUTIN?`i`2wVb-7z6G9y%%Nno?uw@I`M5e3O&jqu$~F zNMI3M!hb!nA~B~FzXV4^rKWK3tSt_t#!2H&WB*5%@y!E???4zK{}D~`Rfz;oe_`Rs zh;>}66Fo^t6NLY`#v-88aNUcw5lt1cHq{LL;l@5Ag*y675lKJe{Fo48mLj+O|OeI>%B)$Yez{E(Y# zdvTE^4?T}>Nw<3K*jx@9o!p=ACylr#=%WL_fvIAAM;h9<)(V%D=REK;Yfh+VuLTth zFGMdd=%@Oc57i?Tn4unnicWsTKPV!JF>{W3SdstPStGv2d0pD6d3t<4shIQdigXsH zF2nDMcimVv%w8%v94(ojR9eaov^~GMZ>6$yFZknJW%m-z&C+3Su}NN&xETgP%$enD z82k4!H)An*RKq_>l+v!PdP%Hxs`S{D^Q}#+zftMcR=B+O3RFjM{6>9wADS=fG9=SW z1+qL%!b==vtH?JS!K+fV=4&(6G7U!R>)~_HkXwY-)wfzd2UVhYBPsFZG=m8D?B*Th z=_q?PeqJr=6WqTpwVObB&X(A2hC>BL+LG&?)J#mB#5q{O4DM1f1hSldFM}ZFGh(!O ziz+hEzzhTPkbDMW9ET9aP5=7?8g>C(8mAB$6`LK|4>-a80MKS&!T#ymbiqbo$51G6 z9SqEn5b#hl&_j4c7+830n177hJ=Q(bbWB!~|GM;VFZ~-! z|Bkr-Pv)hb^*wl;_MV_Mj=bG$;NLx`_gDFv=oWe1M;@jZ={xIgX)<3dnJxzX43yb3 zbOv2imN*oCy1#pteYbSh4uicd{$6Gnd+%pSW?bW0Iej&*a^m5sU}YWjNRo|t3xcqI z+$XN?gnkse%%bd5{`p^$Dfc32rd^KtZm-)Y_!ZKU8?Uv+MYEd<)|g!ZRPVoxk@exF z`XZLn1gX-_fB7OnY>X`?@3rQ*j=#}IK#@(;`Y7Opl14MLjY{g7GXDk>^*K(X)wOJUK*vs1O*I(`J;oHDWspfg6qq=C|M zY)-<_qaPQq)doV~VeNDon$EPKg@oHG0wJbbDbOuhHJ5yOui#OE<%oc@6LuRd9o68YRXhc$ImGz$i3}17V)_#}9n-qIE{a-$I zJafGM)ur57r%CoAI&a7#=$&$YKX0hkvEem!U~1p=o+R%^MQ%@=f)ArVtf6FyCfnBF zB68*)ZZ6>dig7$d8r?JBWn5U%fw@b-BEiD#$UfWR4E|Knle5&{n|G62_8Nj20-RYa zM;17m8bqG}!~oZ#xAV)rljXus(K0l&GWrr;^?zx>i;O(4)Ji6ygRP6?_)B8^Q?c#LSQ(q+ zwS&r#8FW+i+(b;X&OQB;Ay|t<+_j=dpB}o=z{nV%vZlU9MaLg^l{;82<B+-aSNQ#;QdSI$QLwAyiqMDAS}fUUI8z<~h1~TPv%lJe~2M+(@c z)K}Agne!;Vhdc$g2q{1RTA95lX*8QCK5hwcn8_{N_voF(~pb92TWP+0;aR^iC z7leOXieJIb05zg>uPvulHZXJY{U^{@E71I>c_G z9Qo#6v|#1rrfHsk)LJKRMw4RylVUCJ(*K)>{hO`+o74Zh%=rK1V(gtZzYd?p1^E4~ zk6ra3)^Ki?d8C+$_k(lCUzu0*7weS;cMI)`PJ*K z)Q}~Ait$O?m(V*QjN(M{XsG0&cpUmO7xD{DQ8@7JW$wS6DXsuo)OrB7ct9zIE#&Q>G zOY!oFF^G3?`M#`BENp*bNA6ZFjn!EEi(t(SkKo2KW$O#8$bc~9_qeSDk!gy6=8^93t-SfYRYZ^b=XS2g$~_*O>-&+M z)A?`nvA90d{6ry(Pb)~mgF+woh!t5$uRp(hqVEN46`>7(qX=YBGocMBtOG&qAzag9 z0AGPliUxL_LL#SdWa+c8rbDz!)>_s3)O(%w&Whb7BAGa#Q`Cy4OU5}F1U>w&u@hMUTpw{D8?zEVSL-QD}AgsB-JCo7ETZ3#`lXz94_k0 z7Z_S)!_FZO z6csV7-DW70WB5MO#-Q_5r~E}>5t?0eE+R4f5IDD?cCvu5eDla_snI+XhLL?GZKIVU zsE7Xa1_QQ=C}8tia^uSIuZTb8holqg6y}I|E9pi%xe{kYBTz*g zhxH!}L(hX7(%B$k20z0a*lC*PpV-oop_wvWN_uFrs@T~*B_4>D#{kHFa>woM;k8@tT=Vp_l z&X};F!*UY(iVQPDdIXy(^i^s@LQxbNb5;dZ8nz-xZQHzA#?~9%M`#a)p_iOqKWJ*~ z7doGgqcoQwkZAvGgz7AwA3LcMJ z-HOw(wy02Evm}Fl$i6u6{kF5pF=_%vez{{IShF^#6KvdmH`SboTjeFpUNi||I)Stl zOY}Vt8E~bNWR+wWJP9F#Be7`62s8GK3eHSyERl*zD&KPaQQTs%RdSe_|H#Jlijq`l zYo3@g2-^YNv7kb|_4!Z?te{W1)9iLxIJogHhXePk@k5%^p%Gjs0&*eVWqwralfN(| z7JJQ`YLhfCQfe$PBx-|plP1rhgZ6~8xRJJfTX-IjP9hCz&st*9z>+M0I50<$A|V#1 z3`VRWLXam_pa}_5Q?X)F6gEc>!~v!VZ7Cr62gS0UBxx3DG=E*dt&VYsrVYKG+h^DQ z7e`bZd$%cJ&B?iphXvVs-N>Y1DUm4g`>xcpJ8H12Y2RE`%wf=6U83F7Z!!1F1R_WrcNRAs(m|}e3qs1cQH{%lQ-`tv zl0$XIQA!C#No~5rR%jFRx?+mw$GY(A+e@Ha|+W%#tcgS3;8E z*XPrVOvtAR8r0*HN#VH5;)|Gz^VqegasuVU8-HnT4^9e-#18ZyV=Y}BBNShC;%O{x zx-DVbW+QBQN_~{-V;BsP+B2kS@QTQ-#l zm^8>0DT2?&BH8MbpAXE3{3?Tsmy$@PpruB{T&bl0$yE#$hp3Q56k;+V&H!j2?lZ7{ zrv$X)as{#pYCzH&g!2_mIgc?IB}&96e(wwksQ@c^fJ%@%vjy{q1Zr?Jv2-&3qz0;M z83HnIH=B1!5bJ!5v1HnvdDh1C7Bo3&5ne?-=&N|`Yk8XU-Hr)-wIX#vxgVM5zQHv;(^M=oifq!!5TB5*Aj{xSRaafTQz9hR zAe;keNKA8e2uGJGVYOSA)-XvmriqU>GC~zgOdtglPk9;hpJ)tS)SU*Ix2MB^@Pv#GzmPHiRCzj zO{d(f?AX(|k6;L&RzCab*fW?OI{xYQGe9zu#FV#z>2?|Wr@p!7&vHlw+;$DsQ%{8M zzdodrv^Yth(~pGxL|Kk2*w@5DgaY?OIiNXF7nMwqTqK}H(T9G%?=mT9eNJUZO=;?w z;j$GleMJ=bNffBo;WPpTrd_6GRT`xqc$5#Fkojln4Ae1^3-T|XYmptm!br!C>OV75 z$~M}9kJlNI3z`#^U<8_t{Tk(ewt)_Ue`R{_!I4N(w+~7&2m^k0Hc&vhDg);$k(a9m zC;HNTf6W5bogfyUEB;beMU%(3I+SGVRh|7NvV_x&u>iR*sf$JM{8m#*A5SaOV*Exy z2r(@1d>nbL>!KCbO}YAcN6m3!MJ0YSJ>{P+Jd=q*rSwt3W;B-RzD(@qHBT7?)!q3w*;Ms4ZISP8g z;5n8pnfhrfwMhy@zHxcLbSunv?8tXo{BFbs&G#rfP%pdUhVl-I#RBg!?Z%Uoe`&c8 z_6Z;?t8TUWeJ}jy@!PlW%~zEuL0zLj=7sq8%n_EIHz5hdIco;L(+e`7y%kY|Z2yTA zl!b}_Ipl9laxV%Rfv4}A^EaKr8YL*l%rjoLKaZlws#Jh4_^v}Bc3-0a1zQx@fuMo z&oZ*;BnBzb@WP*rgDIH=jpvb+&33dt8NQ(cko-|^dTSRaG={zSEg%+LlJh)rBhcf>{_xDm;>3-DWVyE)0z`Pr=}h zl4=+@Ml{L*#h9tDMRQV8H_Rr#Be4Gs1SD)G5wchl;-+5-;jR7`8Y>0;Z_f!&pZa zaM!7%^4TP@nnt6g!YFD6Ym06sb70a-HSQ+x701(gO^XRPC59!g_jol|;!}|W>VhqV zPGY--k!T@OPd1ZwQ1kA~FbiZAnfHfpno%qG^_gIE9TrAy7&BuqZ{^RQUt`9~Z?2Ks zXLup8m0On2%dhl;e`5yG1*7s0!MujcO6i%LEf*zbA>{A#A@UXH&R9L3C_fNG7+R@W z3%whQmyn9Dzv`)zNE!%}IQC4L=sRQ-Bhv?FLiW54MwBu6I(*zs=bo-;@>dR(&^9Vx zSg;U$p$aI^r{OR&4tIfoQiO?&dL;U{?mMqb3W<{x5hj)oVaYo-W)<# zU5S#v{-~(bL^YzJyH^@EKkZOy%+o}rXnK(3>#!(#w56`~$GRywEh5AGZ1{dEb#{3b z4ZBeA0?Cw_i*xI*MVu#gx9~p92vag*GtQh0xnZ-q0opN%xUfvqVC{T(iW@`lhYE%; z6TEpe$s`CU5J2&djRmCwb=DVslW}p^Tx6Z~O3l(J7rV~ZBL635bj=LrnUr#;cDfCH0LNIz&cxHzQ5Hhwl#MX;mR819W z7$#N|ChxUTy=Z#ng&6=BEx#41-&;9_X=aN&sV$BbO<3cr6RRU*j=ZdvPj1^^CZXY1 z%>6257F^BB=8dls_wNwh!oxhqzHq7eX~J) z_=E@eHtOfzrA*y$xE+A69l%lDLr#^Nv|q?Uh8K0S-b}SB%9F~7>p2RmL)sdK>`T7b z;@J*Kf;hdcO2W?q)AV3Qa4FS`L2unENT%FAa{!Bh`X&2dc0o#fb{b2RPK~|XN4_f; z<~X#^y0d$^+Skp0?RbmGh;Q4=kG6Ws_Y+QCT}M}B?XIITMkZu)9?cOJ!w=!V4k{oW zfx*jR#=MHxq4a=t6ptS;v2)!h$7w-!D?2+6@W2gwcx|e1;9+1~C%Pf^-6wB;WRd8;O1nY#RSD|8RQBeVkDhPOgLNTk{w$K=F(gsvJ}m*YFKxqJnU(zz>_Zs4<>q!?eU(3?q)K$zQG zdJOF!niLPpP_jtpFEncK7KP_B$BJ-7YX4v*%2E{_^qzMN;4q1iGsgfkbUxnV9rxjh z12)#XEi!@-tkDlaE~-M9=I@*_(IOo49J?Kpt_K&4BMVPD6=HfbzVb zwsojL_Y#hqG=A3hUI-&g%sNq5(Aa<6e#78$>5v#m;zY?g2YVdPEddHnvu*1DTK!;? zLIZ3hABd3rtx%Du?oH?@M>Z%q2|ZK6A(IzWWI&M-E<5ApeQLEq(<`m{&obc!Aq}{} zz#==#LT2*f0#vS9eC8Kn-C@@?V3%maoJ(OGQ{|7i1;x^rGgiN`nW*N}r(hOj_nrL8ELziVhWHjYTwOpiH;%bu)`6x$v+9Y!7MhEsQk#jF;h%7K`C(k--=bye-Q52tLs4hJC|D!8UIfTFK zy$d8rRxBum{1|?ahAH4W$9So)FAJ^gaHF2bndlO{*dOuGAA(eti4?F3b%Rosx#=iz zDIeHH-a0zVkgq}ZjKUI$fdu`eJaq#Cp;4O z`_Unmt~;Q7q=V>8f^V7(lqhdX11Vb==?>c?2#fBX^`><%45ACYU$M{?T1$3B^Dbzg z0$clV71^NWml>16Jk?AALRBI%MA2|iVxJ-qPFKJ)n2k(gy2xk&3-1~;%UT19JbHIUY>mm3cfAY z3wmvtFAMS!&Mm2vOCS*A_+<2w|H#q8u?LOf*FG2pMZ}v@@SbH_N#UHkJ3|@sCQN<= zk4z7v*Akc5a}cn*{y<^#E^u>BK?eOZs{?g1TB*UfbMvH3VuM`b}xZ0s5K(=5a97SQ7MpckImCD+M z$o&q$5jI`rHlVVQuM^$BE8)A!=@?|th=_VDEOeUdFlBR7t_?`? zsjXSA7Fy==i|Pa-s#QWf;sC(D78l5I4=Rv`;Y#UcBy@xylJkPhd`+VUp;8d3BhXHR5gcbBB?e{c}%LfzWc zVJ!)={5m1QqjJ%^{!Bf^;b`Y4uQ|*55zRMp2RhhVC*T0mZqzBbuG3$Fg4uw)BA^Xj zS)`Idt8B*UZX<>p9ZW9~v`=0&cl5h>Y7zB*MRViLQ)B&2(of|h>9#4Cglg`I{Qwg8 z-C1`5J+-W>MdV=+ig{GZ#)TNhnWVPS;%yCe)u-b$QpS27eTvMxO?moA>^w5AgQPMn zo|;(J9EXQrgzv{L5Ai{q(Gks{I(iO9J*IMj1~{ zLx<|DMs|OU0gbysg_Dlt!j#%{D2|48=sZF`Z5F58c*@!A*32Q78z#kB=d?=) zYrK3w7TBabCBrVwXguRDznfCn8~NKsPYIK$)_vQ-_mx(7179kC>13SERDzVT7tUKd z@2qx>su*#E@2-YgwTO362*HyVKLUd&TUmN3=tgQGui$P(xv~!TF5+NQ5t}r%&#&fq zj>Le>To+c0C{nn6rOG>mcDfvM1j{nFWhah(w*bNOTMFXI=?;UaVv9flQJK>nGtSajk(0Rn6I|)Dhp!58>?0T=}D7 z79}$!b?_ewDc5OXK;o~L*s~P#!9?=l*|tAjZ;;Z+b~_}my6;kcUmG_O!a4(M5fWB8 zIyKP+(hKr<70Pa*GcIrkD=$Qqj!Nu2!Q=vhKY*s+)0;tLr(j}1)%b44zbEDXcv4~u zVG!8}d5mqD15R4l5$Q|u9dKx^+;F(kl$S}=0u;X}pJVWXY7R94^}dx~w@M@c5Lx9N zjgV$atvA^%T~%nyULCYIBxt8`n8PZlF2P@2G;I`*!grK;_>kMWqpszZtFL9sYwgyJ z7M!Aa@jWAbt{GW8jC|H5D67dmE2FN*jpau$IY{5#aTWQcbe&B>*-pRmgL>)6wvu5> zmsYhl8nXT)T5D2ZG_|7gT*Qd7U2Af`@{tdiO-x*eqanIyZgoML%@N7Y<&qH4_L5!S z52U8kUIY~6NZz`iyeeke8PQQ5?F4_M>5z?XPL%!ddOyxG%bt}u;{2&0ZnI&bUE>ui zpHQ(pgqZ&K0CN`F=v8FIv$^~6i(7b0bIH&+8TDRBo|7-ITzm2iXjzGJ?mEy)YQ$N` zpZvnvCOa#55K8kSM?kJ;$%i0jLwK~kl<~#vTG7uN)mR>od+nE?_<$=~_t-RRO1Q~f zh@uhCmzc5Bop;zMs66i>ChW8N>$<*QtjhIVvl;dVL3v3@c`0S(HIgY0*U1KW9!k*fWr2Tc){gGi|?Tc{cKgxTYudhV{aCs#g3 z+2IdC@Y#=P<(rOJq)0AF6E30RHl!ArD0?5gaHUcA>iin@0)@$k9$pz>HjQCmH;Dmd zF7saz+at?r33#>$zTh%A1&iAei^`qz^d?( z1Ckrr8|FUob!DyK(dEx#yDgCgpQ2M(B&~B=E(*=O?QAD`7(SjF$m;+yaJw3cV%HQE zR6}4sSCSHbGd1t0@Mc&(p7!XYAZ2ZR6I8ou$tzZScIi?0J8h!Agvvp74&z?QlU3wn z5(`_0LNUISjs@;mhp?ab;@e$O0!q56UMbky8l&S#H{0pf?<(^pqm!AH6;?|gZT0`Q z+@nvj3i+P2#P{eb`_6QV#UONL16{eIldHM=L*}X@qW}@3xB*$TXdF4Lx;)BsijKT3 zbyJLQhG(7$Zr$x49J}|`uhw;r%(Z%ymG-gg=V#N__I7Qy_QCk(<4cqv#^xc&Fez&D}nhe#aH-9bab*u>IM! zTT=58x)_+46vC)PJI9SK5UIw^lYL#jj7|%sJCyq-mjpKmF9?EOfpc=XQtkYm=}1f9 zEsp#uuK>jBz6-nz>Xa8Z8<~N<^?so7-&{%NqsiTVRJ*=3-L6C(8T&)@{E9eYh#K-g zCP9GLy_r+QASlF6+i)+!p1O-#F6$wS7{22fT&cHM0u4}r+*30qUSY=}*$=x~2R+s5 zwP!m*DCh_|E9b-QH{SWurrY1`Q!|f!p%;b zT;&6rt6XD78(h4>n)j!?Aba=O(SQ0LB^E;Zf2X_4C8GFDFW~|UU)pJ?T+9s z72OG*Cws5L3~ollg{;MTJ*gxVd4kw`GfA3br#myXAKIc zBiDiBh#RDI!$m|~LIpko1STR6AA*-Z1s+f$1wRwvz?J%SWqt0r4t~J(%#ukI(cb=Z zOF;0zt1PhovmimJEU=dl) zy;>j_%tpx}gq)oy2#2GY=@UwoZ^CnQZh4cCgiAhcPq3jTu2c!6BIJ7#I@m@M8Ya&j z3=Ytlgx;}Cy5rHgX#zdZm%E^z22vBq^Do&3i*@`$bj<_up>c~V*gyWYx5{P;Yw^~k zi}4+DB|r0*BYKc3N&Lx=Q~V{0v#_?csYp~(iDZd6nx6>kAN9#POQ$YJJ$U8KvPw1N zYuuVt9VXE+b`vu#I+jI8)cJ0l1ajiIFmVRGhrDXYMIX5G83(%z)^0AO0s^|vi{f%+ zqtPQvT^>-JfvHG|N&T+FbZnINwheZj(15Z#sUDXq0u-!&d$msgbUj})``rNrVP8!! zDYKyQ=i~5BH>^u+d&xq^6MQqfC~0lzl%m?E+k8e5spVzSpgm*V@s#H1*23n!t$rc3 zA>(cp4~0AIj7{Hgk~(kIxxRJJfWd=db%|@DFi)iIkV^rv^T)~ zR_6sh3lEKa$yoQUNjC)L6^-l_u9VFX1ps=ltn;GIA_(gMbbODQ`Xev*qee9WF)CmZ z+N-F;!P0nYiJbkmXf#XvuQuf?O0K-JqhDy!WR<3;jFNre=7QY$lVZ|eYho^VzAoX# zv2E^S>}<_LltV4Gd_2k$#)4+S+m<-41Du&LGwRWTn4txaI;CfkT%8zsE)ZTYCYv!U zsw;0=!;_~K{qqtG;){94E+=)xheP`~lJF;qoBrdGy?@^8`A?P8{UCPMQ zN2yVF(j<(FJz-0EOH3ydHUAug4=C7D#@}i~&g(W% zKKXoP-5=Iu7naM|mKyfOpVVJw)Z=8LCkf9jBa3TnX%+X~_>Xp6)YA<(W|3~)Yf-bbWp?px5a+I#M_&Q!`7xkedeLhXj)OtZ5{(Oe z-iV?^Y_AI6!PQ9TPU>B+mCHFHX2W%n@fEsyXsrI`;d1pSQ3;tdm%7vBtQ?74&LkdJ zGH<7LGuEiRy>;H}ZO)SCO)7;&A;J=3i`1!BXJy(%VjYQY#)+{TW(ZFoumd}o91%G* zKvDe*rvT#ny~?u@L+R>>u2NBBV`2ZDUz!jIs)T$EyGPifP_$-&hpq|P7Lz7n}N z<&Rt8>M%W7n++6AyH>_nuoW)e1dE(Nf=ZMs$d;Y&ORS|lTUUGFAl_#c9$n6C!~&FiaXqs~YPk%FOOSdYYXB{4dGgilnf*^fSZe7UCV)`kMUN zQ$w)u&W8Aobk#4tA$@ty+0= z1dwDz%C5N`$&na8@WB|g7V&*=bNDwYq5Rm@m$_DFcPQ-vX6DTtDU-*l0A(rRLuEF84V`o-qh2IzP_|9(UPentXrEJL)cj&-gztT;a6rn@ zf*q!lo=Q;@*^ENucTB5A4#jjmL6^>>G+SsNv&$}X!&T`vTo4H$isbVFN3AR4?oeWu zHP57nSN%Imdps>FpDU?Exvy;=MY8gOW&x+4rpeC-umbQ1RxKW6DveDq%jkvK`v0uz zF zuXyWTx6#8s*Xzx;RpmF0=+=HYs%AXD=pbyrt@2@)fLi*GA1FOn^SBQ&7N!o&@}3}? zrcD^jZUO$8Ww zp4N0@!*Rjbv;e!7JIT*O)H|&}loXck2v|DjHM|r#d#DHY!tRQ4*H&B#0=J!a{%wEd z!H+7Ebca2yTOO6F;-)Hh8b(HG@6*3ZP$xslvcA5b8?+X(5|>A~RErGH*i0U*e<8Px zi+9Qe7(yzH7{1i&%l*?6ZZE;)8(uLaR2yZV{v>7bNH~bY5jk%4`S!IMR}l z7Siy$Q)b$fyxv{|(&!C5(i0wcvVlg8K3IpCn%dhW2ZSfsF1akbd~wTN$~&}CGNJu+ z+{5qn^%!y5WhIt{-a4LBEbS%(|AFkr7v1+}>YUrB-Zh2n zha)~YJ#BbEPw_exo+lPplaPE+@X}%kA+!)0uD48 zNGb(3mhkaM7cI{;EP$MnS?($`ewdb?~; zDdOws;)P^C|0+L8WTCa8;k{>XY_9pSgf4Z!Lfq7fd=nIAq?ypGaO#k3jQ-D|+hYGl zYaEyC3r?NEnr%hiOZ*>&Ss=BS zNW!>YxwUSDpuRIeaADsYOZ2vZCkPLii(@-9gIn%m^KzjDJOA*lZZdPv>FY_u82VX9{}n=6~9pm z-*BZUCn(CPiqg6{cAbjP0a5z&Hm`rbpbyp_QggnukC>A{`djl{3O*H`fD4oB=L5}O}oIJw`u%q&e@TNY}!1w80s9{kb`Fj)i##*Jb z+!MyLA8P%tB0yzlxlBWvE{<#t^*}1i{x~L?Ta_+W_lDB`vD;MXgtVZd5 zDZE_?gm#5iw01R0&nkxGQN2kae`z-74|UT(XXk+jy4rzn2ptB$0^X91gHC5hZ~g1wMG!RiR2niq`vPg$Vhc zijcIwQIvy|!GdJx*3T$Zr`K7|jT30!y`7?l%c=-jWfx2SiXuK?ty;QLhJ4=AD!W*# zs|b0G@GpQ1RfJqtMaUymgxpH_7yg2PhzS5Ed|B*4{54n+weU?)D*90iIjZ!%jN<9R z3jp_;ij>vDSN!xA{v29VAbLvs@hn|ouW7|>|A%z)`k*rj4e3`HLh?MwB6yb07zj1u z!RMf}9X!e)igU*@XZCWw&%H0_URRN%ql$;}jb!)+cx(x^GH=&^MX5SCU7&&SFe?@C z@#Tv)b`JjNCa35TAK;Gc{%I~}0TKNY*iCqWb9bU8aLM>iCo!6rjrjZF)SQ?4e)qn- z5&m%Wac)KIWv-9b(3=70(Z3j5#zVAXDcTV#Qr-|2g*~V!|Lc2kMZ_);<3&^Y9)^H5 zMWi}-QD9i+r*b`*uac-fAK8M`KuKWREJ{#L7X8>JwWm;Qp?pfY9Qu~ap>H7(k8}SN zkrvM_2L}A~a)>VwJ>?!XLks!z=_Pm0Mu)uiw-tsfh)7b+3-nGh)k^h*y6C&4*p76| z_(;UK9fUq@za43cNNK0xKW}5<8+|rMS%xv?JVi@bQ{BaT2b)&p*J?0z4v^!dH0tF^n|`zw8BD0~Jge7dQ2J%qPmil{!P z@YzY|oWL>SEh~7cO6gN&H~w{n&k~|8Ji(>(sj?dnFG zJ%fK)w`MV6X`~c29Hw->Dipy_A4zsprHG{{=T6i)P^lE2S`@QW+*|f6>3=Ud?9PQ& z_#a>|N?uo$dZ<*Nz~&`CjXXpNlJj?-6P0+J`&p)8&T}48dF}X(*t6s%KY~0(3JxGK zd7h86@44g`MJar;KBMG3t-F^*E#*4nX;on@ITE2RZ!5f%=J6d$Jk%I!mwu~u&J!g2 zhI7dPlY~9{Jy_0D2lI$lI47Tg$oCI(edIZigf`3s8ZQY7T7uZSXmVSL9lSbN8t)Wy z4e>Xlg&ce?TX;AA#(|KWz%y!|*+tt*7+4PVDwHxp~!nke$T11Mqhtr^(5CwfK7zVxJbzkBPdRqd9+3=Q9*O|LgZ< ziuf#pb3aaLa`GHV9h@p+0^UhSH!7Brr#=$En{Nfl+P|E;UGM<(LK`C=CFvA5vY~-U zn21s~HZ6lxDv|Th*c6hN^J@`F@b^zuyQl(ZqUst#A z6+b=Y=2 z&#aZ9cC<`oWB(L73HgO z2>p&hRMdXDplF<%+yzP>TGjGaI5$MqzN_HD^%qi#`ruF?d}kL?s!X3IQK}BM7Zg2n z0QDXiETZ(mfvC_fe6Nw9l%DH=lhIp1(@PPUqma;b;Z6!*1eNcFsyyxD) zmI2=18v_G=dI~)+6Notv?^6IeYgD9!J8MLxQaG0oJkH_9ZHggDCm!c09@4i^sK@yCSaE!P=xArl29dzZZ4pZO>bf^UV4eO#Zj$*sqGYaTBf{avaGv>e64u znibCXtG>{2BlKSAodk}}dYN*(g%4??J0syKl3X(PLSGlEEd1-d%qw#ZseDM@Q?|!h z98q!}d5#D`{_2X|Zz>`d5T#F#D!n(O$n(C4kobEOYOP1JZod*=XYwB5+YkJr^+m4PRl9cL$j13rJopOockpPvnwXg zvuykxO1;#oQZK4f)Tbf&x~NY>@)Qi=LPH8{MdUA1l#j4fk%y$tK4o!Ls;wlqPM=wq zVv|E;CJgaucl@K0lsu|c&IoCqYm^*$W(n7)z8Y2+@f49iLU>~UcsECB z_!l7uU}T1q6guOb+p-%#61cvGUDy#*M6 zWjPx7D_x2reEET@ur*C_B3V<>^ zh3@?o(O62MF*2LI6Q3`IKl7NTK*47o#aSBs&2iQC6BR}OKI5J!m$$tRHdbidq0l&1 zp)ol-=Rj(Doo8U}snU`1GmJF3Jx)kp7+>r_=%MkaJ3t`?IJEe=k7j3_!nolB$URd`tB`-+cl@1pMVyqtv<d=}RrA`hu}!JQp*PFSWBO#Y{CT6`r}K!c@w+AL|a)IE4m( z5Xd9ex*e*UGmFYGd_wd^Yj^qRSNVb{ui+<1A$!j2s3^@`JZgt(5w%0L(r3lU53quoq0!UIMSZ&9l8pN@!0;D}a`4^qOG=(wa4E%0 z;TyL^gv7UDt7y!cm3az1B^r`%Y4}E;o}!-{rMzP8|H}4_1J2HEAoe$Wh~TBXR~9L^ zi&kLt!-AZr4i?NJTc``)o1t2|xUdB0fq_)rp z;Fk)Y@IHKMo40Vf!@^sN@4OPV<5_e;0a#1ugTKBZ>S}WG8QvuRo?WOt_lq2#uw$R| zY^rL%M%BJI3CI$)%ejYfj>IVdry#XR*)M#>Pme~G5r1*7c3Re#S_v@>qCDje{8~iy zA6e~ryY>+JIESty0P1fjFLd3lB4fH$-5N*ZFTqL9#y-%`&RAl zi5}m-5-n}*-IEv8_+V3^p2-RJgYV~8lG;g@8Xn*^8j3_ zc30NM0954BbAbP<_%lVU+7dW08?6F{dHe|!Jim&!Sa@9tY%FSbZm^1(t723yV%X_J zN%=t8#{Mdj&>ILt^2qDcVmB!{w}vA4=}Wz%BGO`tXH!+zKqZ+*qL94gYfB|_#PIET zVh_!~sfOePoblIog#9?z_a*5mvft}qscdFNvPhpMC!gHJUv|bB5#>|zI{Ry0yL?NS zFHvj-m1>!yOKFSJ6z8_X)hL&2_rEwApq}h7d^kSU?hnq7hF2{0m55sSL|6qLd1}b} z5|`X|6dW98sdKqLUWefC52-kY;aS84w)o4Ugxw$XQOUtB|E2eF4u>n3NRJ>p-8dVl3Qk3f8k`XfIO%- zBq8t<<;$x{|LrU-rT=^-)8ExXr0RNc$I+zU^e{!wC;guk__yy+91 z^m7aoI8FI`cctNFN={A4!Kdbh9DJ+fOEN}npzygumAYKjuFpcOt9Fw25*jA(_Y75P ztw;58g^xZ9ak*$$0#ZZg+UhLCVhW$z6h8Va#G(qHOR|=d0r{3btMI9E7NUc~=R$!h zz`jaDdJ{OeDCe#aa`2trO3v*9XMl5s9DsklDDCHWs&;*XVta*;J}WXw;j^#M5T6#z zP|fXU6VWc`^jVSRXQ|^5qm{pNIcXE;`6p~ zsIrYmlx=*X(6~w6rP6PR9fhShVt9{3*~W%~rw%%byJk&pny^DWv7p*D5p~X#%zVer zig-M{@BA;H6`?oY6m0{IMNsr8Oq=mVPgzS?T>?*L-b8#J=jBxty+_howOByZP|NGb9>kBD)Ke;S1f=B4CrD(>5;QY$IR7;J=p;bd8$A$jnb zHL5yG9u2y0UZiLqz0);67UAtee@7Ch;Je_&2#e1hW0c@LW#>}P6lW9x=p7xrl)vB2 zDSGEWfz7iM6vRg@ZE|ml+VOt3n1Ljq&!se~ShtPP2TwH!H1NrB!QQC8ihG5hCh+sDPe~__&!@_M zrV4!k#;V%&?-c)B*^fTg^oX$VI_RqG$Idl*o2K5WgCFy`Chob;$@ekhj=ivFJYT2m z=SsA2vgP0xcs5?xJV1l6UgzZfIQ%VjVe<*RC2DVSxZP419TFI*C`d?o=+pbxxk~Z@ z8BebB$%^MN5|Aa5s`J<9ke!i~Qt}TTf=5`udipGqIm(n~QQqGeWnnfwi(-MX9sHM7gUn=}3 z9@fQKDWBW2hGjh0A(5AI?ruS;gUJfRdcl*xQGx<63iT{Xrgbi-_}`T-QdU%Q@Mrqc zQkaq9Lo1hJDf&kFlsrD#RznH;^cICfw~&L!e+nAvw_G|$Z>SE*%Lyk@iAogby5Uu* z&+GH5kn9}IpE-|yx*UILT6iw2I;#smFcszC6sgb(fYXuUIlYGdx>!oe(fbL`>ECC3 zFS89Q?c3f3uefA9r7ZNJ5w*yppTxo6p%XeMK<%P>D0$@Rir0njepHlQDwL9P5_nkY zto!}SjE745w(A-G3rdb02LbTm2GL(nwyNLB=8I@dLkej}sX4?bkC#dmhGqSJlIr(W zlpNi5{az#7OcgrQ>1)!766uV;eI_VAkL%pr8zVhu%WW_6yzGaAl;{zAFEHSzr_jnS z5IyAvMcFJc#8OKro}r4Tb!R5`5Y~ccca`J?S?KUO>$9LVXA1>A&l=$?etJrkv!JZ1 ztkmz7oWCnHwpBbwDISts?00$>B^4wpY3S=N@`U`rleaQZs+7|2`XIS^D%MVPqU3c2 z3P&fPnoF5oO0lJLZm+4yt%OP!YnSmkfjPa`6maym`dsGA&G&NJLBi%~)nAk&R~!H| zJ0+>a=X<#yb}L7t?7Y_gnSTX+{Ak7Nat@alA|C3Kgpvo}8!uMATb+4(Fsmo83lF)A+TT(bu1V@;$@hgk&xY^I4Gj3{ZA0=n zx2M7oZ@ThdfGOTP9Kv$#q`Px*5NIYCBVN>c`_=Rv~zwenK;upsGc*K*nlv4>jKE{)is z3bCzKD+aJ;_;&56D4IvwA%I@_(hfsNUh)CqD}H*)1*)Y-DxSR+h2EqQl9#hp_==yN zLOYWodCFelD}H*)C`HjHqEA&khbxNKd9dO+LQ!<>a&HIUoTEzpR#CJZ{q+5>RjGp& zCG=n(>&6Kl=lbEoAP_x<;TwH=3cW2eP(6iKKp}aG9G5Z9D@%A>QIH@c2dVVwCDYxL zkUZs&$_vg`a>Dx|siZIUcMNSsIr@phYp@wbo=;TU!&?(`$u}#>`c03|E6KMhoqw$; z7b{B8F0Z``rAj=+n_Z&qRU~Vk!&U4J@9xWWp04Vm+R07>k8^u4(bR(p+@wl{Rc&5s zB3_c5+~$9qeG3%74?raXC4nVHsj~CxXmn!ML^3Gla@f=+wL0g5U*tR}CT(S)_;sb1 zWZNy5h`E+>gElL(~}kRyKC6T7k%P_iqcdDyfi^ElFl>+Eai z6g=^Z*1{=xE>}gQKjRozt?RkNXD9-&^=`$lRL^*PGjBhzmEqz?+BhOUe zse6USq7`coD*1M4G#nddJkPsWq}YR7WVPpL$fqsvt&%TDkGod2^gh*!$;uLbu1ft& zm3m%1NB>4>W<>r0MbT|viMG13Mp5=r6y0Cl6wj%O^1r+TQ?GV2PGj3EzL$TBw=e@}p0bmQtIy&XPj*Ut#N*rt z_{Za60;^;~a(kvbhP+ghdsXbWBrs0!IJcCj9e?u|*D^&7X(yT2j`yHZa*?tKE@F$N z=zc+wr=Kb{Se2sFydimfZkacH51#U|8ugx^U-CSlTDm^6aDDI>b&-e45tZsnU_Ab( zZ$u?ZlUq^rU;?z;!+D&WMC@7eat35Fi0E5E5rEf@@5)nXoTAWJMPYcCDz&Uy9dD=5 zI0;LIuqmAZ~SD&TqQu}HC{^t)8N6$uazC8GMa-zo5EayyGY#rN%GC8#8oa_&+5 zqi>YFoR0oWqyW(U9bP-Yate*bl@A_=lwzqpP@f`YG4+17{Z;L)+lPEr?I>O$S_%-} zjY(B{w8bph7STiTSq(~_zRJaw?LuwLf|2&)5- z@8ANs485CV1UUeGe;V2l`r=`{fy!(5Z{^apb2-k@JDq|gkH+g6@_AjGtFd61vX=X@ zoh6dxaULkVJAn}yK0$HELGHgkZ&kggtE=bEd(+&xGyOhKzuo;kb?VfqQzwTu&P5*9<@yf^hpN-% zzm8PZFO#qTXdqQJ#}Jo!sQO_eb%rWG@5^bbYOeUIhc!IBV#?i@nGTDu-BK$5xnX0& z!_7J5}V=OPa~P5h^6 zaZy7a(P1O3;o;MgJRg%*0op1W`-!~OIhSvjgA$gUoo+rHkuOlV7o!=fKAiYmlzctV zK&t8>LtLJr>d<5xzLwZ&s;W6RAuAt5ju@}~8l2^8<_#TI)%=tPZ%DTBUy0@e4bDT= zT8*@j(`*_v^5wpi7R1OBfQGh)C2MrD!dpJqblW?nUm(=h^Z^+;PxY?9||+Ygg*}8LFPu zC;@b35j>z%&P|zzhd*tc3yr9`q50aP7?W^Q;|h0`a9tkl2U1qX0xFHCj)yf=os?{2 zmQdH@RaK8P+UixstbL?;e(J*>nNsi+1hJoL<7|JGyCU;a`IBc$2Wh3;n`*SPL?<4c zhUI66f+{k$dDu-;sovc6ENdNCZE9>*qdt}OT6XG`%QHOOY&ne7Msi=WLLlkz{WYW4 zn64&a;e($dcg)ncf(+V@!V=uq@Nn}S@)au1Jdf5wyzl|4q5GTjd1Ba4CU=?@(vFqk zVgGuj)3lwa`BPcc9z(x6m9*$5(){+`=&Q(E!g84%;l0U+_95=L5L4MN(>O_WJgw!( zdA4jqa0v)&g=%3V=ME3&*7A9>X}0cbsA3l+2tSqC+s2Ma3pTYO4^CxodrP>-);P;| zYOK{=IJESy447pj%q*H zy{9Wk)mudn(@Tu5mwKM=_=&!jhSdl$7RVftF5Oih%UZhEZB@R5An9I5hKJ8xrCI*u z)g$jqy_=IoS+PVKWEINf@%e0u*W%C1l{2pzE%V{DyIf9+XwVFH?r!rmiLvq-+bWc- z=ZVr!w+f|RQ&VEpa})22N7hI*GCUu0Wsl8L#d(l;HEC*1IC0W)l`|6kr}gO${wjAx zYJeeL(%_;rk|`VYmo$hP2q#7OPkmjUT6)sJZ}{5Ky~tgC%}+F2i(5?hHzdw7+|r=g zCh_sTx9}pYq3Yk|zs`BtT_u>$?`@t-JlHD1#q()9E4UffSZUrZ_Dp(VG^C)6qBq*} zgRRE=p!kgGzW8{x>8C57yYg8}EiAHbQM^Y)i^n=m+bY3)9_$ZNxq7s$N2>FYGlGOB zB6*eIhG|xMfeP9C*H~PckXsY-poH!}^>w?y%3YDG3_&K4wpD`vFUfO#;&X){FvlHYFta)AM}9aPFTak-$?S@ z-Jq$eUkDPly8Nsc&ASr(1aH)&>Gl0&2{{+!^`bvXxnO?M{N)L`IU$FnJa|WjOeI1dxEq|4}#uRy?C9n!NA}1wzc26{~PWj@{q>bxR=vOA>mW14t^2KkH z%%l##qK&_4NH{!vR4NnlsoHtv@Da%p*tr??b8CwGeJSqDMfy)Q|8swpyCONiuaY!> zfAaM+LrUBqZqN)5@0={5U7i@PWp(B7iE_lSt4KBf89F@nQ{>5Mq!DZGaT2eH z+>~bMJ}<+mk>+0O7l3L^naf5Neu{jwA#+tNtE(TIUwNyl|0fz#UAQ*Jw)*iqX*}VH zq}AIWXohukn!CBs(%n;Mc=%)TSWnZT{uf9+O^5m?j=HZW(#nvbYLCQuo=05nYR~Tw z8VA)jt}tI7R#km7&GfxC>2N)aRQ0IZ=vI){ zwJ~`sNI#r%OpgQGhN}IIPeB-wuFLbpuq;LI#lmS;sASPch}ZCNZIO<} zbVge3bH3SFk>@Rq+%#0})TmR2Dq>2=9=eQCr#g3#wX)wy)_b;bE%GpW;6K&8uV{6e zsv=G6`WYVHOC0M+Rk4P=F0;(YH`;Y_R=Lo?)#FuF&94K9eTqDFKJul8lutjB^qkkq zHqXQus!g)V-%j#;&lM=Hx^oYIZaj3n{WXLUB0tsoD0qQg7Q|7`NG+M znqJfexPvO6g;E04X@;tG63v;0IOn121<5vE)u55R9w{yUGtu0a_CU=^_Iy&3XKZWi zA5Z1R_JR;6k5^T7YwYW`(XFws+r|-|O)T$JRky}oRifqLwJD!&FV6M+HJNMdPiV9= z+s^#FEVcbEZ4hZ!}(z0h##LPS2-kh!WjQ2`pbYrM{A z&pixE*4<9PBMw%OvJPQ*dlV**uJTsNB zY7M=fHin1uJ~BqJV(5egf-2F*@URTU_1+vAsy5?Meje6PC7qi<(>5+aO{D%jb!5v? z|EHR7j&I0USNat0>m!0hnctCU@|X-IoBuSdgXJ~yxn3lUac37KzC5NYRPS^qa##I$ zIgf)P%@RrX1;ntfOWJrim29=3dYl*a1q!Frc=;Rm!4YpKcuaKzsd)y$i_}!h+19Ls>-Q^`kcr8YTYl>&+AfJ z)Pfp?4zsUjOQx#EW~;IVs%M$5F{yKtRxhzwI8B?a{w(>*wn`U2YL!_kkDF?h74;GE zH||Q)>>bxBoTiS|(@yb4A*5iG@TeLi^)-mC{y<_8`P`oL^Bdz_w3=;ouJM7C&}AgK zAn8(M^eaQ=VF(Ax?`*)21(d&z$S& zwJ6TnmvbldMfs}JRMm8zQy?a)=jNQkaDPt0X@=6ALRHlUdyLOz-n{5@t_^-0ji|O^ z&oYSBKqR9ykX+**SxX>|#o?*6X|^}=Hz4#oP{fj-@*!Sz`;k>2i8HOH22GOro`ife z>1PLmwV#IWZ`>7eJ1t1oPqQ~FF-`j690upEoU?wg1pg_`{1l6=g&@X#Z2KK@d-b1d z2Lx#7v(k>#+R(D;Cu`%rBy*;zEd(<@(o}EJk82-!d*;caJ4ZwdqZX;`u;ydg6+NTjI^u9$=~oIvi%=cn=%W7<5oP`*@^I3JMK zihNwU0nS`GpX{%4SA<@_{}f?_AtJZd3J#@N(wt)o9xLKS{fupA2U^X1>Tw^ch-nOc zHPKH*#PgE;GFNY2H~HQ&8n|3H`0eJkdxgf0~@->m;cpxwA&3I=nj}zeq?PmA&Tag-H4Q zB(-U;DkPR(3lnmI41+qTeD-2G$9{@jnN|hgolH0!1PAo=9~>yocuC*;j3rr%9^etpvOYg6di z68@0F`ccyJ`YH6glb(N;`20iSbAX*b1gWOQ(^IeQENNsrE2X(At9O<$i& z@;Fxyy{hue<9E`?(u)~#`Kn9TQDHwKbpQ{7sLP+*M`&Qi!_%N^?rGd3WcoG%rpueS1RkxtGTZO|*m$)>y+?)|uCeEe-4P2b&Up zB!zWNLT*f{^M5JsZ%P_FCxw1P($9%0tdmk$mnE9_CFI%^ubMJU&kv`({0GUWQHOUm zQn~Cc%s*x$p+6@V-Dz75gw&822+NCzl zpnNV(rB+SxCeN-7K2?=xcwd!h&PlO&ehU4FG{QME@tKukx^be(dryu@ny-1XcwM(c z!BiW$hW%iwL2h5C>FY@{MDCdK`Ao8nORW~h&Y&5pZg145GWI3Dq8&C*o*YVN%eS4D zIlsYK)~mB%!hR~@?P4ww$+h{nQ%=e8`lnRK<#SW>shga(N=#iFRYhwj#yy|IiM-Jl zCxu4Din{Qi%>#^jHull!MQ!*ScP%Bla#eMr+Q|CJNY?`CS|Ed4OSYfsj8Kki8=x7B z!B!UK^H=kVpnNLweQ9ma*j5&OJ*_!8q}Bk^G(A3zC~loryxdcZy!xUy>OV!!OQY6D zrqJJ6Yoe*Itc`ru^jfzxTY3|37l)71G{>NCDIA&!7wJsouA#4<%CU)B0r<%@cf3JuYD7by z+7*BwOzD+pD=tYid3N9niDti)?)m&)PQCNwP;I;;@wp-)7rU2!3ht3;&P_A>HBXlA z-$-+#xxXXR_zel?BxG)lwZ@`b{irHYZ7gl8ACIpMb*Y54Olh{kNSFVVCYMNgSLVs? zMegb=pL6@oR3g1UavylWwuLT@m!^1Z;Y-6Z8C6!Twtj63it!tWH0=Ib8 z07KqXgESV;HR*6rgAzG`8uzOGFrN};b_ zTMDK!zc$h2S?TLjdL31wZaS3D9ru6Ad60Fv`=?c=|J1OSsyZ^!+>|U~YS-m{F4cPX zBncmqkz`kp>W9-vB64KPP5UR!|9wJcrj@9VrZtmGa$W8#Q@q&M@A-$g4-bE#k;+5W znyC!GDzzbV&i%YB6O1~1H0jXYxvS=9)gVQ_l4QOtl?hPBSg=0RS>ZZVeJQQZdhh>5 znySjJ!Q3AELdvJdHNuiJ?vf>ZBZa=BG{5Q+R@L_spRcB{^0_p5HRjn;kVVe^#$B~~ zd&(Ef&kF5pQthx^(%45*T1?am?Ss+^?Ojq%IWdLatFRX@2* z7-WTZv*fR;bETY*1w*zD)T-A)D8!xu)gL@X{fOX;JTtwld?P8skXlx@n0`5>a!p}IGd3jW!e5jG{dEf?h4^%2cj?H6b}IE>2^EndZt`(XV0syw*@qWRUYNcBxfG>g%TUN@$9( zkJT|n^63_*Cz;vV>Ob}6Z`>8xD2*28{hkM>{mbh~73;hf#d(k#tu_$2$P!=>K9g57 z?(5O)Yb>`w2C2XDmoZN|X;HdL`Fd#)Mgq?aVw z<_8JA>pRqKeh`gKo}T}&HdLV&mFLZ$TN6=fK9G77c^;(Z$?ULhvGwP=Hk#jytaI_2 z@o!S-bt>h2Rhse1G#RNatX^c^d7*e?^oA{&*VQ+kXm=!gFvgx{lDpd!!dA@#f(k>2+~` zo&fs2DBkWyT+xHZ_6J78Xt>4{7g^}n^QoG~7uRKS6`wCP8ksh$>4?)RUDxUhjV?#F=<7pF!_a zdzX%aEIE_`1VWQzy(~^h)-D59f{S$5YqX%qUSh$UbI_6qgzFh{p&?nSRnzX_f)rhS z*J$3;ySqMb5uKOR5hwY<5ou2s7ne)z40I5=oco)Ee&V?=pRt~3oszdmT`e!1JKKvE zm158gY7ZUviOVTpw|pptoYfTMLsq4e6ZGeKg13^YjxMe~g5UEk6q)9!g#s_Q5Vk(? zMxlWV7Ew?E$587Lwp*6Y!V?0hhpk)QJTBtU5<^zo!-d*ZCF1P`^2hyx9?BEfn)26X zXY^?C#oM3c538Ros!{}$?+X{7=LrJM>-+T;G!oP> z=pJ$vpOn|d=QC?GMXo}FzFsFZ=!}&DrM%uw5M;bj(2`aH^t8y@h=L*o5|uUtK3%tp z5)u~{L1?57eqJS{8Y*x9Bd&0x-BEP?X`v}``f!b=#Hr8_%H^VCLXm^wYw2;SAcd>E z$d6FDbOE!!;Zp2jLzCt5-krEXx0BsL3vEV`XCt9Oo>*7END#Qq?{nadAGEQqMw_@E zE>{Wdd-9AdYN@yr+P1Bs4N?Qcfot?F0}uP-rui7t$qySXP~vg_uf9If#%ANv1{}~v zPQ5|nghX4)ANK`)$#~mJu0c>_SiLnCodvb!m9bebDe+a&GWVbM(`jk2pz+(_lIK7v zPM*=y-%E$ltXNtrTP^vwfx~lO!@Il&0bPQwjcIV zMt5o9&IMoY@Gi8xO{7HZ5hV52AdntsxZf;)C5EId<)9f zOAm#x7T1fJNScd;8S)$^S)=qzP8D$-RZWvtyUT@U=s6X1(4G?m~6%MgK z<*suj7ERY8DeHf6rI(~>i9ePaIa+AHD+vW!#ucFj86WCgN=f9%`1p=rvZ>~PRnL?^ z?u+YVLX&%*6N56R1EK;CH@;p9e7`&ie2EitD}%%hn&%nq*!pmA7_`5) zc-rMPEwBZyHO(HV7tz*2x%B(oxNg$os{Y36N^OPT9fUK_{PfA+C@o@N$46{>#JzfY z$>Oxup>=JSzze>tpNJ^4l0P!n zqs3M0G|;aadPEOJBV1pTORb8xWBKdh_~0zw^2ThPhKk#{!i77tu6X*rysyxc36ybn z?v#+YULcp!@%BmK`$P}t?bSH-c<>fmmQ&+~c9G>*CjGVol-AL;y|$9f1whu9TYFvj z;_5l|bHVq`2Rq@E5AN)xfwt5hpuB;`@qFeiLG`!+p16J@m*TuVRW1z~KD(L^IJN6arQ!rUM-h~$=mpC!X^8h*aVWHqf*wmj&w&8w9srU542DJSM;i} z0DZQhEA*`v^n-#H9qCEm@Im^s#J|T|(*-o=lgWxV@*OW1cjVA`^CnT~X#+{s9rQxE z3e8DEgBDKeSr?Shd`TWa|G%J{c67ecZr!Iv3hx06XxatkqNIr^=?_}$X<}&GLu*Gz zBZJ3HmebYZ=HqntNlet92#NjzS}if=%|k1-A1JFSJl&K7DE)X)%Epq4vNdh}XBo7ioqLGz`$O>wwU?;IK5vLp-}Q zS-R3>a&6>gu&{)b1}vT{&NI?Z0sWoig~GwtMzxm`U1$@f$-L0ed874*Y@=_r5q7b>ZA?B!7)#lFs!(91hxNlm z5|^e(0sb#iefibL}UNpl9~t>!|lHscn@G znw`bDM=O2`dayvC`v=-r2}Db7GfBKcd#`W+UAu?ki|bzbE3$t;kmBP*!WADnT8(`8 z__pymBybnf9_^MwQ8-+0=n*~C!~BH2mDVV&B|^bxX}K)UCuzTd_{26f1(6T*&vJo2 zRa{jPP|_R2}=>Dc|F3-Bc8<(4U zcSmx{VC0wrY z&ha^)*GQx^HXyyo_x^brWUg>&)SxHtI@{xe;g%4Mrb!5kQVyah@AK- zJt@%Gp2nSPMR6*5qKx>}!#q>^o@H!l?4xE#f$pCz7tj01rB9$gvJ}~+k3z%lK!psf1Q^dk<}$zMssI12ee@xhqa zdLa#cZ~C(YEqs1APCjTDd4y?yOj0!tdcC1X$a4vEB1SXeKDKet@%iM-h3NK;y*kok z&o@9o4-F%{rx*%t(MhHyCwSU3GOM(pgO1EX%P1>c#?FB=df~9RF<3&YuMRHq=J8r+ z-z++V??~gSXcF4?HNtTW)@gm^Fm+*(fssl=V+CJ9;SCyT+tGFo?PxoP@uN93>~=l* z<35oi!tmQvuIa>=@n__n*H2^KMy{O~M@yQGJkk{VM^inDhP?c+>h=-MrAuB?$Vc*H z>*ru`!S(!*np+z>cG@L30*myMF|s>WzR}iWE0EF?zI)hxakO2&&{_n&@gu*L9uKIG zpWN5CUq`vWNv_BNw0(vv+WOdg1*91lGrC^uk>-3qqpW8CpfuWW^|kINK+^Mv-+wk& zYE1WATu0~kxWM^Cjc`8^T;JUYcM!j56q(;HetBjci9UgXaEW8BML=uEY1Mtn(h}*X z%OCf7eaUzmUp)qgJ>=pZ?P+}FEZSlJ13H_kEq!M+oZa{KgCKfBqL)M$LG%_3qC_V_ zj20~h(OZ;JgD69EQAdkT#4x%<4T8}bJ=!Rv&tSB7e*g7;y1$%tud~+KXYcD=yIfYR zVjd(tZ-YI|8KYl!;dI^lOIF()$ zE#FVyBktGT^qMm5NRwg`?hFa5hj7w}(Zz*D7fo{vt1%0Qnn0`ImZ`zMkI|0=S4Ym- z7r7s&I@9`yAHM?LNkPZN&c?%j#xNs|d-b%nx-<~B#E10$n|g7%0=EikJ`7?gpP@_? z>fvyvehR}#CUjt^&k}8wh6qZ%f9^$IFAV%nqU>!=i3^V3In^dm7({frsL86;AKiEf zqJ5*?Cd=?N8KK#Fb}#mN6BM+g;sh1b1l+LQ(~4JbUNxwWNR^JCQW6K-3OZDut$%Ov zS3wH$t)A9JXIP3t2bgdnnnAGMqjzg8cK3&x^W<5m)k-0|Zo65Y{AN2EG z_ALigoDOC*iPxIuGoK=VkxC?rPE`IUv`G%DVvakQW@8pM;@<6j>CpLFUiA9+JrH) zEI?rB(W$R`{pMyz*F;yipa1%U1-IqOm(ri5CP92DBFK=u&k@fPyvj!b-r6KSUk$}R zdDd{V4_$QoMYGRQP+1|KgY7|1+VTv2_5^k+|Bj>x29bV%!n3sFsRa?dPpmPktk z1zV~(2~)D466}ry6S=fD<}ZdS|53aLL=mgybC87|Oxh$omMxpiI`T=I)tI%D_ih5^ z&n38)Fcyf2Qqv7Gn6J#73`|IFCEB;RleJ1DY&IT_IdQmR>T|J8m-9t8Mt5$`POJ-C z+8KvG<>YkOv-OJSQw0v6H#8^@r7T9O{*>*var?0{o@N+%f*vZL5N8}oa0p_O%s7q| zS7mnpAiyzPetgUWDR^3l&?_FPt9fDYKo~bZx1`cC6ex`qSRK-OV4*Z>T}K?VLZc}{ zGOICyNmVd)AL$sjAk#O!5x!YF24B&3B}x1%vt~ZJxID-??sb<~>(D59`q6}Z7q8B# z=|j;PXVI4!OTwQmf^tvr`{+VN=2|KHpw4(BDd@TRfYRukRa0Vt$hy`amebP|S8qzB zIw!>OTl(`cE@#y5a}A-xz#|197o;%Y8;XxC;gz=4dml6>d6`vBHw&vo!BO-rrU0HE zm3T!ev@Nb^fVDbHPJ%j(hF`Qtxoo-RaE)+$Z_HbEc z_?eD@dM5d9TVvLMAc_N$@s(oNH;~m5n~nyRAOiQoB zY&`Qs&V$(ZmzK)L6lp0iQN4ok{+oYTV12HaO?2vy-j;zrMVFJfyn8|h4J2F|LM#x< zO(NQeT00B7Xi(YFijNS+lweM%AS3Qk{RU@GG3Wghg^n1`#{vQI7M87Xw1H9(lMSLb z9l7G%B0QE!bPN`1jo7itA1F47gXkxr5h>Qwq_B<6zXS{jF=68FtOxuJI#EP?Y2k5U zl4)=6btpl{UcfxUJ`i87-?F!)iMZc!DvAfT7dl8YNN|XsS-wE+{q>IpLz8GJfCm>l zuws0FWMOY`6^JwkF?DavOPfH=pMw^9c27wF8_iM2;p3iq<$4g`UFQ|meM274z8xil z4N7LAAa|@Qy}%iJVib(6h?ZjQ^i53`Rtux2*b$=U}7B(*E{#20EjN_; z?hD7WJpm|z>blezwUH;luG7zY?FZacaks1F#5h5IS4M|T`y&pWzJNDT`#=t5p zoN07_akp6r@?2pIq{t4+4u0qr zV_+cQbXWJHa|YDK2Ex-4mjn)`QYm?h8Ud%4_ON+LA;6d@(Dn^NoC2q7Z$NVFS+!FHP)Ak-1Y za_@{?brx0lx%aWY-Xq!`&*CYAXUoe>%dl6U+2QB0AHJ-hOl@Rhu8BP_LVD~IPY+kb z&U~MSB%U~&6a@@va|?p`E{wz?M$@Vg%O6lp1f_RmLV|1LLN2+0K`vsRvap}swa~LQ zD-JCL&}W(M84YzzySp6gosvqo{5k5vqwH zYA&8R>^?e&({27`Rh`77SN5YERM|^EoJ-&K`zxim{v5aW0yo5yO z)5^3DBI?czOID1QSG6rmjtS8H58g5?F-8C_*=>G*P_%2J8(u;85zqeI`Ubb85^8zi zqpcb`0ar9AsK8kX2G$vXb^*hG2+n_6$HRN@K;C=Un-bDdVirBo{VW*l2uPcq#q@dQ zO-_*sZCx%=K_nvw&=C)^XE`13!BR~za!k0=d)68-T_o%DIm`ISZCfupML~#t-YZVDpo|mw!@?u(bBj= zL*Pfd6ERhDpblCbrY}kTMonAbJnT>GViIPP*wRqgfa&{>cVT*%cQ3>|bQuEb^O*3+ zaOSp+jF5Q@IyZ?BJ0KewrWCR(fhsCq)`XCUC)V}RkXpw}WScBpKT!?38(9FC9=-yJ zq{IhJMZzQcmz{HLf{X3nC^YHnNJ|Xb3VQFPWd_ec`l_OY5ABZU2pvTBQa^=+Tj1_# zK`4ea)s-vEmCvd8!8tm6>o3l#$zuc|Qqk%w52^PHgkV;V3Y@iZDymfK9meX800A<9 z2o3Cv8!%67A=BQA5IZL(?O$nW$S6bvx(*#QM~HP(3JLO&4`q?_Kc#;ZpgiuZT=2pt zJ&b&=7$O?-&Oj^MDE^mwlLeF^-es*Vv(RCR7U_o7@tq#YuVDX?lXSKp%_m%4(p*u~ zx}fRpQ9yTU^*VU>KBvWzn%;kT0+}ZyrLHu{5g(x(aqp62pX}GKmh*b;>j#@f7W`cX z%q$BS61U57(mP1Uc$(yEL$9!c7Nla#%P*9C`r-kz3_Qi#*yWJ@)Q>zq2qV2md9H4t zeMcYhfXXVjEkg`qzUK@^8pyG6DU@#jZ-yo&$NGX-;L89Z@ve3@p|_)kH_XednyYKansHN^KRFW z!t=@B(_KG@sh_bP^tvPo<~n|Rdr%qT6uFM0rpWx1L1)zZsVHZ>bh|5BBEXtUA&IP( z!?jtx>rmQMzdm{LO;^SK&d&Clj#Z$#S=qDv5ZV!Bik^ewo>je#1OYHGGqQ+#Jnnyn zjrpHpn?BrkLh;Z#GjZf*Pd}Q}UEZ0H&ea2(4OOFsNP92$-LMv?+i%D6Q&rNQrWsn2 zJ7qst*kjRToB4Er314cY*Y*LG3V24z`0 zGuLtq8DHaMl@9`K#vW^PE_S$tOm_l^_xtQi*=q(41-7<%TYAvUh0l(I8ZSL(%{MwU z>#ch*2dk|?J($~7ttzI9rVRNtXG)7o3~@!zrZ*LGMh7VjM|;DojEv(}XdkkRBj`L%MKq?Ca#&pnKJu zLZ|`=)`e>??cVoIwcER;R%Pt2nJ}rtVmKUl*4= z^HT|^%y>dFd1uS#tEOC`0WHlH<~RB8S^qoLdO8CFm-0zx*VmdBuy}eQRg2`<=?_f7 z=cE|prGc|V&ZY}*VhD>-&joGTJxiVpC0YR4F>#g75Q_E;W_u~nx!;Ur>D_i*W~ac36exV zA@z~5T6e7+Bd=b1E;+8pouEfCvFa2x3xHWpQ~93$ct4V95&B*0*0z>9YsARLKgDd{ zgjrI&`c6r8OE`y%Tk`-S*viRj^+(xw|HIeT%D%6mOT!=$a#Uxu)nZ(~uK+1CIx5_c zLv_Jvy)$IxD#WOONGcfC{&4-25a+etMyJV533RmO_Z0-b(b|46qR%i z3CA2`Ii|VYN%2`TB3$9IUz5cm4LRytG&?IooYEl|dZaLbz%vCIh5Gr&7c#(L%h8g58>9ZFm{KDrj z%<3bGm!P8u!9-vQLPZmFEFc(2&x};1Y03-su@Pan-Jn@=)FG9n2((Mo2NQ;4zHDY* z9+xHoDuG?U`W|);b>3(@MOOcD&kXluGwPh8e5WW$1Y(*caA?2pL(~$7UUWcxC+O%y z)DX$7Aht=)v{VIFC|0bQaYbRbQwRm3z}Uhxi6|mL!mpVV#n3c*sQZ1CG$q&@aMyz< zCd9c%cM3rZq$JK?-mjUga|Rb-f@;$pm+nvYCcb#RHd94(czCujNd&30Ql$3XpVK(9 z=sF`6Ft@Avq^)YkW}sC&!1FPTrKCil9G))VNr7Wse_)yv=prAz#b4^Zwpx`|=cZ-{ z67Jay_mfVwu>yFrB+CTsHNrxbJA@b(rb(GrzKn`V^w&(5AWh3&PxquWyjJI#p&RBa zQ(7J)fWkrmPUk2(lwNek%eNYJdy^?5CMik>)eZcz84X^_^8-eRC8`@kfOi390W7U~ zQvDgLj48ue4ngV7J+0vi1iKB<`f=rvg8RDy9gH z>@t&{k&yoHR{9k$S7O|z%xgCEe`}dYE)-h7tqvR<0lbIvY0WLJqcW2km*}~398UUM zgGg2FE`L-NMoyuYLztx?jMH(K*>Z3@9p37nAX4M$P`?6 zMC`l6jvqBIQFfH4t4@{7Ql1i`JNwtp!a9+NL(-|)Wq;hX&)%6lct5kLC);*pvSJz= zI2;w38@JRr2D@iD>@!oNg=iPxU1?13pB|3pSSSks+YZEC>_jc%CBKQl4k7s6?(eiPx=Tdw@#`ig@>4qnGL zwBkO|ZA(;!}=b|Hwe`C?%{m zX3p06Q1Y%Z3XAz=gZRlj_;LxkU=ex7w21rn68Fb%h8?&sF0=A!tD{V9|MdlB=*OKv z{i2RidCh{g2a|{X5g`kcw%6e3n&+@`-?39s|DXb!0IR#On}d&XF7Wa>zP+<5o|*9P z4Ot@Xe2X%|DcbHMC>eat6BbrDt-nTLcUc%DNw+6`EZ;{1@B%_Sc8T6`KKkNW?FMy+b!m!b& z{Sg^#!Na=`Z2#^)FhD9q5uHAVS`a%tX4Cv8vVq?5s()4tlLU}OT~&JR(79hXEAd}b zXo03Iw~1lq4Q0&uf*c|3v4<4Dwf+Hr)#@16Jg0KO=6}6jFkis;(l==bCbuci-rL^{ zL9DQ}DgzG1d?v$|ZTX9FQAFZoN2S(p5pGnuNYygy>7K4{>Fq-A2Fs|x)&Qjs{EcSy z<)b0SsdqL=*=L|K{OykUCa=Ziyk{%#$T)QWwemOfDC`IUTUUtx*YA&m*LP8Gfa92w z2j5u}i}@9?$ePSI=(G&sRyj@KgNJzzApG^zbLuM=TIo`YnZnyjgLBhQ z&0Yb8)M#Lqf6QP&ayh0d6S6)>t<6MV#M3q$rYKWP1nE{lAv zk6+$i77h-nII_ltfQfk1Lap5U%^Wy15`3$-!(?XnT{yewRdTr6*Oa88 zR?a@zZ_&@0bj3(s0b%CWA0w}FkDhF{)=hRiEvb*8mu>%J4}wpDQmmGrCHs2Cww!9Eyn!KAz_V((7A<}7$kLSL7e~kq#FRKExYB3A1&bfK* z6l7d82X`-ZqT7f4Im$XZv)o)L?Lx~tHc{&^2V&8TT`oet7?ba_-`5W>eQ?vXrWkFj zb)YJ2gq8brr-X2YOTb!C)-amH<0iGmE5llrZ)|MN?)twj|9^AqH~>$dNqy4+A~sAe}Y`DNE1Ygrydm-F$vqaJG8>#%<@A~tCkV9g>+|0uiU z`q76mV<0QiUVa4)^{oKS2sEyH$kbD`MheC!n(TxP5Y&T^}p#CRTK(( zMNTiwa=5nX8Ux16M?E)!PYjcbHd5`FFS&;CdULT;DN(xr5oc}d19ef{`$q`#X z#H+PAEcXVbGTfVT>|6&`z&rne*sIhvE1DTXf1b+--9Jfe*C+u$l1j`7bUDuW<-fr+ zh)tf3Cya5U2GrQ$TvBE>u_;Yyrk+BUHc zS?aRex$B0W<#kZEU=sfEAGMTbap=Y)m3 z*eK0C)9Uo2n;>O|LGQKp{Fk$qB4o9D5*DFT-hIr+J?8{1htHmtLr(lU3WF%Vw-jsO z+qH2OUXRZns+#ec8MqHC@<5lBcoJ0hP?ZFjZ); zOQ~{QE9sI6Exk_&04{Zg3v+WqK?s%>5jjLSR#kT@)N@sL8WeBz@TvZP#P{8Qhr%)G08~|V{efB%7_5-Sbu(h&~hSbMFm4ghW z6|G2*cuaMp4Jfcjc>rQ4*;0hT+H;wY;jy9|s2k zKqXy#0=$K2rNyI7vphvv{EhIzEb)}fdh^V{m0^9s|1gGr)^WO;bkhlhRZ5yV@+?jP z#&34@j9ST`cen6nBWXz|x_~5Lek{T7-={y?c=8Hy;0oIRcIXDOsNdK->SU0SVIEM$ zN>ZN^*2MUQ0Ic0$Xx4vou}S5N{2_4)pW@H7!w=094jHcan?hjig?SA`fnnnrjH*|r z(M_SGB?%b79v5KyUS|mMmHZ0ZgOW_5HIK$e!2cZbgv-aK8;7rM9%R>rEGiy#^k2~H zcF#(h`Ei8>0%6)FA08Hhqb%;hT<@dhC~7Qe7Ko89kR}B;bnqCtC{|pti7a@VWd-{P zDTfe#f%L*sdCK?xn_)-NhxefSl^w5+Mtqw+`O63+*_~0svGki)I z*m7(s4ZS7K`V;QQobk+RpQ9>+67m1djNU-+TiE{|dE7*4o?nc_ukrsS9Aff8;FEGS z(MIMB)Y2?NUjmdF7`1YWp)ifrwl@F~X;|)-a)Rs#5z>-K$j%44sY45rO}*#i2kn?M zaGvJuiHbH7VMvo>p-!78E`3Sr0QOYAn=}|Ih%k~;9=^0DR_H@W6Q2qGkCEv5h*}{% zqlji_L(oxyT>$zo&d>xmX@!SmsN?8PC1~(u$~&K#vOrX|KIVsg^&x9jTidvZYmHB_ z^`zZd{6tD13LhY_X9&o0rGif8xH)`9ek8FVLG&E?-%HBZIblJp6epfp*yQA9m2M3$ zkRW|$&Tn(!QCq?Cq>Y!H!o-$+_Fsx$ciI+YSQVB&zQ4l%txlWC-lyM5ge>@6tka=7 z!XP-9uW0!^iAy#yBbh{|ee@##k;>`xi6s!^?B`S%_%8pHcyo&0g!bjsm=Kgs!J9x| z7`L=BK1c&GKn`5&n5_poDD}51%p!6KTU!cE@A+t`u4i2~Mfd*$QdK`1^mUHg%8MC4jW^zS;Vt~}m_4%}y3&D8Gay!}F?w|hWy{ZH2{ zLA}NKgyIb6l{V!UH72f2$T7iz!&!zj2dR?eACGr}HHR+3TxA{}3cXXg&EKF45%vu_ zKV`Ppomk)GkF#@sEFg=6joL`KMr38P1OF1##(*mDFq5@C(bZik;lm6+Fm@~+Hfy#` zeABt;L+|LHtNM)xP^V}<;RY6!fUkhSZhHw4=@v3#u9PDVUuquDh;Z6CrdGy`^5Vm` zZY8_z{5FrNsa}&=?0G{*pV!1y;0opDAyl3nl#QW^KI=J`rHjUHsno+untFE7W`iV+3nef(HF4YcVkrf@pY?6bl zY%>f4CVt|uj=m}O^{loI<6uKg=?@3@g_CXH!L`f$pNf%I$cpq8V%Gr%DjZXr^^>M`S0spMIn+g?i%sS9b^*`ui?)M!+~n&U6(n!q*r|kK zINoxwFTC2gGidU&(S=J7e6}|2H&!YAwS%59Np#W_mgFxc5)o)xP{AeEoq$csrAV0_{xhEX! zyY@?l17y|{OL_JL;I#7?h%14fc~NN5Fs7MkE1MCwf{=_zE_LnD5|4M&`5cdDBk*zU zhM<%|3sfq#i5^oS8k=Y$RR9PhjEW<1&0si}if=zTa9RL)-1+8eG)ioipk_?mN1k(P zw3Y24aH1bfx|DdoG0{!q^X{6BfV)Y`MC6I(FxwD{l*$tC%9vWM0HLlR{BI;*f3uW$ z9grBpH2;j>4*z(}dJJ25SSU4bRz3`9*RUbXU`Al|?4DZ!I zrr3&*oe=}(5o+X4bzOrZWkl|)oUj&w>XI`R<(;UAjC>vtoWal+OFTI`UX)ri_f^r+Kzpl5iSH# zEqg8G-(NSlph`X>annEjxznU($;h38^#fB(Gje2a4g?;Ru608$%De(@zsLr*D$t_^ zy>=iO!TYYYe}0>9EwS@9c8Y0hvw1*E%`I#;z2obX#Jyza=Sz0s4TH)1)eEL&$ekfP z5fD&#&)qt6$3=YzN2(<(Qfxce*p=?+`TUQ$Alkv&F*Q%u)=<%QZoUMm`cWgGt8XnhkUPks}gJ{s*0Nh@GFs6E*gnLUDN%E?>Y3W(WFb`;?#Y+DeH=^dXvI zCk{ycTb__YoaNW^>oxc#)w^qY({sV+f#NX(zd8@kdpJqfTKD(@YhQ#lg#Nxv`Uej# z#5w(o;4t`eY2}xUndIGD-wG@wH*f1+IR^ z<3{@ho_1Eqzm2l-^Gf@qsJ8LS#NeJ8ziDilw~y&GD{$S*Y{|Im8eMz%Gpo5OWM#fo zcEV!QB$dRpgg`BOR0W~p__WU|GdHTY&iv}Us)KUey|+!WYqmPzWa#TV`~)H^Emn@9 z2Y1Mhw%yGBoXZLASoywgt@%yaWlN>`^~D6ffYy>h)X-N(x;yrkOj=Q1E0fl$t$UeU zHE%4Uedr@RpV!bwe5@|o(2LjeS2>v(k#Ujtrx_>4G2SJ3OZzKj@d`v1*kv@wzjVoA z#8YK$H~p1#TGvH+(>ibB7u*wgWzliWMU(CV$8o%-lDdhyU1{{DLmV#GvuQ~DAn4_% z4CmOCC$$3C@fV+^unllYYP5HOXUU*Gc|7=JNn^vqd!^`pka)4HQfU>~ZTd*X5j>4iuw;jN2t!F`qHt#>(g9F zHXtZr__>(a#ot%o>i)x65_-CKgp!K(&pCi-Tpx7aK34UMrO&I6e8kgK!0#Ob#h4vl zJXXC+v7P!hQ1p&N6kGQypWy-*9K5k-t?}HEq__u<8|O|UjdhT}=-l+bZiJjE+d z=rK#uEHjRCCna;@G?dcy4!0o{KGQ|p;sOwnq&{wgNHZdDE&o~)#tbJ&gZ48YSjLC zpewbV7kxl~aqC~zehZnPBCH7=IOQS0xW}sNwzAJqz;2_)u@*912%G>*a*qP9`!(~9 zJC*Ag!`{8lTOI_6C%@l?7{N%|L=GZuF;iJY ziy;LMWft98k?S-0ZfMpbUXGNL+>|EMx98_L@;TKV%k&hJd%J~#Bx#B!=`1AowTPNe0+B5|Gv z=s0{7RK48r9BmEiXtYFj`JQP%b#s3hXwk)pr(DrK-;p~$-vhHi@~0glro?-vmO*Gc zThReGy9{J!2p0cJe^U%8cGjn7_5v(&HCK%*a-JK}zMKZF?>=@`m+3vRQ7wkZ&dmOW zXTB)Sq*3Ci!iyFfj4dVCB^jqZqy%+*j&(>&dfA5ve)V2LumJgn-x<7-?t!-ZW*Xyj zYWQnB!J#SBLR9i*F)Yh1QGGC2aGMBeGV4 zDY>1&E)=d3u19{<^}(nay7HyO#qa2enwqn-ms3`xy&)N6+VITP`rxApZ>v9MR*Gp> zDLTA9dw^G@sNa)C2@Vl~OGZPl4Mh0be4jW^FUdWaR<(#6uOS-G-Q+QaQ`?tF3ZqY# zJRtx$^{dlwb?K;?@NzZZJ-}O<|E=wrn+g9h6zLfRlo1@p*LXj%n8=0QvY?!!Z)KtS z;%7Oif9yTGvSql zz|-5N`<-d(`PPI`ZjJTMWgX{_XaD%&mwd*gPuaORq)2n5WGrcUZJU{Ayd;}bbuy=i znY&g8+Nu-h`Bp)UXmkB z%gMh{*k9fpv6|ngI_lzf(5fJ6U6OF-sm*J?{X4GbSXruUGMuFD*eNAZOAj1(?iio? z9=Y#+oNZ(Ehd^=cfy?AT0V3OfCv{&mwHn-E0Z&yEYFrpB3jDJ9?a)l%)Ey?w##WNX z5-@G;2+qUU7+R6ypQ(+S@Ob@GwX53jpNfwcWG#dbisvO?Xtwogx76;x$w}a%T4Fb9 zY#qhDrRfy3lW5~ZF6>#(&!&UZwiVf@JN?TRlE?)*Z%1mkU~dA^th|9qa~T0#yzsdx5P8s(&%TjQF37RRl2-;aOM_C=?)CdifXkd9i$cjvsC40 zr^eAIBaC;D5R(SbYJ^7udNTJUh?gL9GRLrT&4a%e~D;$T`X2}GvTba63 zq#4SA*o4~dlxeROnOewQ_&2p8`ENTbX{-Gh3+CL$xW*u^H@-Ae%&H370T8(R z8$02V1uDzz>tbT}Vod+2!T!x}glq*Q)EE4)!mDdV7W5imeIs$-=tYHsS3F-oh3RHo36u4qyFLAxTvVz zu|i&csJJBad8;gEj1qeRNP5q=LDEckvJ6Lck;yP^fA8cihN3EvM6fP&6lmM&_pWiBA+IzBEZK0b;( z@gO2~l{4;%&(ZZEesW6%iJsf1ZU5Wz+`E7c@B9n#U!uPY?V)sq3TyJGKpqv;i<4e7 z&16nn__*Pz?pfHF*nrZf!r%ZC%ksP6uHj!*P22<92|^VVCP_Z5c?_``HbN8ObbEGN zV(5Ig&zLLouBA@ciDjm&AwKJ&=-SY57I?V>bO#3V3H5!+Lii06^B@sdly5X&6GpDQ zC*&q#Hfj2*rde0rv- zfMwl$+3M?EW%~oaKiH5lS6uCQ zD$uQR3EAMM>d}8*{T#wl-VMkZ7t=|eSlj952XE)u*8E_VJN{T*1$jj-BLDs}K66$$ zV@HgU`iSl0l)(q-|IR0hh;81J4E=cH@pI5Yb=7MN*vE^Cd(86jp{hP#W~i|`D7N5P zV$lf6gl^FX!AKvXG1(($=;M5=qlFdj{&IV=3o1@YLs?^`o6ujHYIZz6KZB5`J!f;B ziSvK1mAHDcEMto|>LiJGo8S(DHno<{`gFeo#~*ObQ%C!8yEK0I`O4emn&$sZ@BaaY CK*A0H literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.cmp2.ddb b/38_decoder/db/38_decoder.cmp2.ddb new file mode 100644 index 0000000000000000000000000000000000000000..1a4c4badeeb169013c594fdd70124568ed90e186 GIT binary patch literal 40688 zcmX_n1yozj^EQP71&Tu{ZpE#*2e;tv(gMYbTLT1WaSDYL*Pz86N^yz?hhW8>;2PXM z-rxV6@8o1>bIxY(-kH6#GtV;@9|Z-a0_O#a%kxL-xo=?W;pyP&LMO`oo=(==;j;}L zAHN{odm$cPVIIEs??vf!Z9RM(tZnJEK3F@^@zLo5=?rZ@(ka+Dcs>6uvG(Qj94IJn zv;HSga?t)yo@D=@{PNEmSrx#{h9h+t!%x_%lW(xh+ zuba@GZndbO*HcL^`>O)(gJa#z4xw=rX0E64qAR@@+#J+3uYW8Ny}eCITt)#UuZ~6R zxE2O1(WK)dmpgVeLByW%^lKI%yj05#vmey=_`wVH!frzNC25snA|W6kIG{*x6X^wP zV-W{Vn??QxctHL|!-J!*5`+m6t5t-lMfj@+I> zI9lRgsD&#(b20q|--;z(lI1VMUnXZ-p1-eFW`E{C26jtf2s-*d5i!!f%s5q2H(iV< z&y1lFtY)5d<>}Zjd?D!RAG8~yj#6EpHTQ{JCU$B0N!)9?KK-$I-k2nu`wLc!)W*Gj zoJj{UfZ^@6rUChg#%<#Q-bVj0U~N3MUo#Utd|(|%CFL@6TK7ZrzNb4*>chrqR-7bq z$B@eB8f&A!b$y4}j@B1h)*qMZy%Xj6L+ZnQkLU&qmGAXhL@ce;-JgvgfPjET>cr{y z^w3AWR}nfvQ!C#|EVhJLKgF-1Yo2f6dMOVMN5nnlNa26u!v{(T+$Q4l4`ZNP_t~lH z*ysHDV#9b#cmw-8nvS9GEU)PP;_vsC=$C)cUdWG9BUr^p*l!!}elJkh-vzcD?k6F5 zJ@Lfv?ZfbiDRzFM6*o9QFr3ATuNGZBK9Wr8l!rDGynuCOU!Xwx8j(=;KnCf|8LXxEHSjai0e}@f-2~syn8!Xi*j8iB=W^u z3OWH!d-?3#S-l7pl*3hrX5(#r2h{M8(AWKcedwCeEN8-ARsOiXQwwGlX~H2_{9{kh z=FC?BhgUSm=e+Ov9GB6uv*J?)K<@YyKjo%aQhxBOun){kl}KU)8Y%Y~ z`uPm<%deRSVuxJGpT$Eu`rU!fW;^u?<~owCn4E6ZU7 z);0#T1#EZ)wDy~t>U2v#hsN(Qe%`ue>_mmMNaR*epzaz>e`b!)iz^a|znxOPi!)=y zWi2XGq<9Z4fz*HLeQQi22+#Oct|;bEQvq4#(`EFQUm%%PCcAi55GV-mrT#iqq)5@^ zuy4+|%U_W8E4H-a`D*I^FLgRbFF#R#70Ag{niTS&!%e+%m=}U#73@|)XvA#4)-Qgf zR2~|82}feWHJN`oPWi-n=ztOa*HRCHa83QXG;PxZh^G7GWg#0*!4TPkbn{2%_+K50 zepiX;@U-vFbXgbD_yrz)wVt*0@;jLX3c9ih(q zQJU{Yejrrswd~Xuvc(}Q$#i0%aY8!piAeGbD?&9M%WhL%+<;gk9d6I_+DWb|7EJr4 zFgyCONyaxJd*6OI585%GQaSlzl!f0%saxR7sQ&1@UmU)dlT35fdrzz8t=301q;bC+ z>lBINm|YxHI_J}J7|pf?Kr>@{J4~E-fcJu9k(C%F;SFVnr~{sB*_2$e1;gJ!xB~Ck zQC{b|r#yGerALgXecTpzOUNtLE}wswq8lw6u6$(4ILY^4--<{ylawJsUIuM2%Zr|I zkONCk(a2!AxvDIF}}awE?A~YB(pCif5?3Bp;~dOYwK&IEzg`An#FBV6zPk` zvL#v$VG$=qnaFV@pH1Mj?qBj*cFL#rU8vLjiLf649nDwSX5!BWMlAgX|CL!dY`Jm) zmuuyHkY&Q+SUpxjBmTFr>stL&g^O*=`KcA$=RGlDA%0LZ_WSOr6+yFsX5QDh;INQ& zg_1={imvWxWY--#9Gaf><1!b`B^Z}1O&?GE>a#TU5j4KKhbC>%v5t;Vto zGCXf218D`XC^u6!-dOss@IVK#n@i&cDYLeS_VDcV&6X_c*mJ0mBD3Sf&t8QF^-txX zfDPk6eA!RblJ5H3f|RSbucM$=Jz`gNvw?Rs^$Xb_6u%n&YL|z)|8P;~8EoqFL#U_9 z<+CDlTJoIY&vQ;f>G?(-Sic5dn+ak}laQIF%o?i?Uoa=8ePZ!q7t~~lQEd2q7S^Wk zftgu4uHxzw9;th2xV?=Y?NzLvd)iiFcLTP?GM+TIYkv9#YihJ@z~uHrF_djA%W7lK z6|a>mCerD5V`~*40VG1QHKwF(EhFHU5;v5sL{Pd39-GvmlG$)VAT3 zrkhFQKNm2mBo>W4ZiCUhmG;o^Sjpl5tI|ok$7p~&R{HZ5nlD>?hJ!a9bH?Yx$QRRG zy8EGzqz=Zuv5F0_vXulfR=7B2q3*Bj!j7({(2EzRI{V`a_^W``T}Qhrz$*D1z3vod zz$~gly5VFNlW(Q4xYN4tm3LPfICH#Y>V2}?`fhm_)3}d=56gLO7E5z~BUGc1E`>d};y;`CDatg!IC4p`ZMUUp#oNwKO6;2QYD3GJ%8C4J z4DP;KU{&P#T$Y9!jQpnnd%^#&faJxdWqDQ|rg}e17K!F(GbepBD-ESF70H#F-oP$Z zu)umSuoU))7z+aU_-*;>j-6c%64fR@t9H!z5YvwdN!Czj$b_6dh-WJeef)Kxh;LDJ zz}e*47Nu1H+&Knkox}k&cJ#14N{G@~GsmGoOHImV7l@(k3RmKPrr3Vonv%9rR7PFw zxu$jV_(;)k7KdWqmKbyW;-8Mvwm60oCYn z3nEWR<2t1go!qxy)#-wEwWl#p$Q zt8eb#YLk{wzLYNe-AawHb!g9-FYnY->Y4826Y?uFp(nkx^3uoD+lx&pIYx9}T%t%n zd|HSy0^C|IG zU@P`3#BJN6fg6UB2;idFADhIyk(zKs=u zBkkLQFp34&gH|Pk(%a_i(WRK(i8l*GxQkDjC0cJjpz}zY#B~!)p39`~t6Qmk5MOEW z6fBlj>kz7QZ(F5e7Az9pSLybJ-@3V5Ety7?-W{T&wpTT;JR7`u1+T{)esooko!&*M zua4uqT=^hJ#X*i@Kk;^vj%-cQa0P1A;e2a!XR_xoPQlSpG(caK4VZZn0+(9~K7U2Q z<#w91`}x1(E+CWrw~@Qn58rmJW4E*>^(gRD0W(=nUB7RMwKP0ZJd`DkO4bN)~ zeS3W|u$QbN=LP>b4zkAnJ}*#X0;k+%8S_0nj~zH$%)n)*4Yd9RS+?PGU3tHuCjd&{ z?tmP5?UB6kwGTV&b}RUoU7u$v;W{h;PYlVwileQLMXr;#oL5bEeO`Q1WwldIto=21 zd7P6TV_C>pRm|afcZ9AE0nJnui2bmjlcOe12ndS=_z zk(zIp_zC^$0xjmEt2p?R&FfoV+eqI^{RvUjzZ&IPuH0+P%!Fc=Qe7i=O21w%OxXO; zxOtz{^gFHKN#PrtmG~}MBJzBJ(ecjelz?VQGadS8j$95FQVLx^ji!1rT`(7xku@jC zHZFr(Hp>ko->n9(s|ge^GThN{)n2^!O!AB0PJM+2AT1>$`6$fEw5;81%Y;@}rl|`eI_>lp*JV?d$`k zH$Q7X6`$p|@-kYlcEFj=kIQa&w9hrQlj7Kh&*5ib-1#IHy)F(`NwizBfi>c|e4Ruc zmb*NKx_U)KaM#OhcmsyytjBL|B>YVeNJ_N0(wc2!hP=K3-4Xv%Sr%2^H`#%>g;sCJ5WG#&ZI-e+(Sa_T6$NV*%`Hyq4Io%nVjxXH+yI&KOcGH4&Tfm2qfm~D-oG94 zq&JEuP}Odcl0q-TB7KtcTRpe{xg9j~`+ESbzZAkIKxk@-3)mtbn3EWcGID)iHpuQy zVQhY!L||>K3&InlB^>FDE+zcT_5J1GO!Ut&`DV%e4H1So-MA#ZIZ||52ozACoCL24 zib}Rn%eGATcrZXQ7QYDW;Oub(2I)(UsX}YE@&Nw#AV-m)G!ZC?ND*?{sst8ov+HNG zJ2n9K_cOXRJi9*+0Wjp>oCwt->C6MQ5E@g)n-@*?U+{#^T>n-^d0Db1#RiyFoY*k+ zdj7kKyf@)6h%iC&A*AAZng;Z^%Ty8mitDXF{88c9PSHX%!4y?jucW~(Hq1t--Dfvv zeqN&=I@=nIhFa#0dXe3V7L@Zi5LLN%JLlHX#Rzl&+u>Q9*dE1N@(!+vT_VLEMRaEq zq{4)3EB$f+SuA6-9{Oy5MLRu>uJ1|8S5_U>+>LjXfOW= zj;?axkR}}shb}F6@)))ow}-FpH*EpP@(;pXbEf4SEH6 ze;p~e8ekf!21&tG1_t3U6_S7cX6Bd*KPosks^%!Hy0FIq5O~edP&DwJyM!qm!T$w! z#wfzf^s@KKOYBD^Tj2FLDh|-aRB(VxT zav;}rOt|W=VMsv=~B8kPX>k;}8rA}1PYj*f9YcJ1Pt zS={)E>qrUzu=F~ZSS}fhhY@QLLlv%p*9H5P7Q1FLSyk@xY{Go`*aPiSqGzf!lZU1K z3T2v{UX@|`{8$HGMF?!g`%_NOgavoQ6oL7=Kwg)eMR@^SH8b%DkBj1pUExt*6{x~= z_QNU#R=(wwnTS`EeIkDnAhkP&ZBT%O7EQI8L9#3iuROe8`@>t^FGtV0s&Q|oVHdeQ z7yDeUl_R|StKJROhpjO;?q}~ zlx(=g3pk7i^&%Em(>q!v;kE!tV7=Ujz_Y=_jJ za2k^7Mpd1lBMGNBEqo>Pstlw0HYG021P8aY!n$#v?tDp#o>%Ym>a2PEinuAB&dTiE zK~_T81I-=4hfHRH$AUId1AnbKP^A5lMJ@RIJ6{GNs0?kd(cW(@9Au?8P)H;IQ@mxU zBZQs3#MN(+Ai|s~{}P)0HBL?<-2KqUA&mOKq$A>m@c{!* zKy7zF*Ol|^-t11s_55Bns_xw0-C)wMJ9isb{u|4A-^E~2_+pu05Uw%T)EGktU1rj& ze$c9Yt%X_e>u;A!UolQOsBmjlw-@IxUQZ6V$AO&MIwpE*Pk{GG7yO4&T^K0#X%A30l0_NRKOK@+mjyMc@O+yTlAJ;PV zB-QbFlh%6Vd~rj#Tc?2!=KfWrS`>O)L^%AKuAvq{6JUS4-o6o;DFZrNGVFL2! z+i~Us9q<>93tPXajl1cA9~EyoC*`27VNJ}CB3&+Clg~zv4Wg{wO~A#Po!GGwN>R2c zEN4AG?neDsIr)H3wOawu%W=0Yk$jI!5G?t;Lbqqmj@oo|Hn!)6De;(gA974g67j_6 zLDkiNgh))rdx+(gsWV&O@%7;EafZGAql6RMNND#8g!t}i- z+qdOzWV+B*+M?W|vVg3zpi2r}Gj%H#oAaU&-Y8oIuM9*2EdX|6ysz8}Fm z{Fag&CH2spaf-9?apIY##AhQMIf*=z{I0N10IOj+}F_oOjB6Rj=XN!%7cHRUrvj9 zddCUoQ3@G~uzg6bN`Ay^<2(fJX3~{GD){w>ZoXvUp4&jG1!FVr0Y5(NVu3<;0t5nO z)#0!WzO)Si594^3R}G^HSH)RzIu6`++oFDVF{qXK$|8JID6ug@*hVaFE}OdZM^ipa z6zfWMQFWvh<{aVa2K_OTXX{H-Lg1upBstq6ak;WX6M+bE#Y zylS-Nn!T-^+}U}po)1mhfC)$Ife3Aa?w&*=Vf;CvXxg9#RC^)9e#*yZr!Yp$(Uks6 zJ_JW@E={?Wg1*Hr-Y)rg2jutcA#wc@vl=g1#lea`jisc@M+im0=it8`yPl45|Nezt zo5}iIkk5TU$I~er!!vG{lYf*%-sqaJPbugB$OtgU7I?pK4EL#?iP#{xf|zyxj&_Tz zOk~V5Ol8Odk=zWi!RKZ>JB7~s9(q9a5S}>AAn8n{^SY`+2k%F6cN%p&ni~t%%)6cY zAu}BlT-xdU)}U%7&@unFb{^%~tKed<^WmV0=|Sfg43ux^=!Lvqe4fKqL{LhbD+MN;4!W2t|I91&>m z3LC@j`KUJ0e@+u27J3O7YBO|1$Isf{Gc+39iGQQPWzoDO2^ggVG`<8+VRzkBcocK> z29W&wee*c_`+I7|7s9nn;qjiwVVwsf#cHR?&VGYq@!UD@63e{_x<+Cs?yFif?Y20& zDh3#4)R^ZhM2pFJ%A><3B)4@Rn|n)lLmEm17o@zbmQI4Ve;r)ZKi?I%Hqa6DkgsMa z%=lKG_(%@)WvqEr*=?4d9`)Ld&9t1wc+M4+8b$^kO-k0}{Y2kxh6cE90v<3?zF^#Z z3P-_K2By4>tX%9K$qZ)nXtPMFy&ZY;EpIdbxM6F^0IH7qqaBlkj-lu$QkRXV^UqW@ zBn9KCz{@*_2t?T6XZVtxFnee#tx!((DDs|Zhw;ql8!`*behAmMc(*TFaE?ol*RYaj z!F8jiMfJN*@uaY~FX$yZL_Y+d5+oZBfc!uW$>iKS8V1d8-^J3W=-#RYN8XR8?M$q@ z;Y)H8m9q_`Xi_I>Kr;JJSgZGRt8A8rhojUbapxxu|{g_$VZ>Y z$KH$XEW(aa5s3g9xTY?ys%wE4-x{a7h|yOwo!!@N&+*cy86|DZY^d@vzMl2jpxX3$ zYpmNR;!)NB0ZlYJh`9!Oka9*m4~P4>Ph)}&`g*8`Qh z_V1XO!W z7P#aGGqzg3*!j+ysUm_b+o*#wE(ptHzhUAF$M_V-tYD7WB0^IA>CbFn6@-r?hYxxb za}hYNbYwT-53u|9$97a1b#WhD!5}jfGX|EU32Vh_Oq{6Rc&c(UvD)*~C{o=)_^% z*jDSUFwkdy_pn(H*Zy$Pz!Gvz`U68We0#3XD^|<7S4cEl(ysxb;#5*3~r70pu~^C8LUw+qtxi(T(-{w&=)8*@Im{WG9 z2EyL#-pOm+0(AVPU!(odC8YZ{#d8szo?Q&?@3_yzqMJ2~^Hq0ahp``UmyB7br17s9FIG{&QY4E+DM@}KFW*@64S+&AEx-dl=t1F z11w1jum}K-FNWx$RlCLxEwH}7K439&52ekx=hPtRIe*o}84H%DdE@3K=z8L4=<4Qq zSl2ZF=?)YqT8|n$kFE4#>hqJ)j&UK9h~p!g-o|2trkQgp+{vB?bvSSKc2UE7h*T&Hy=(-L zczW%~bM!6?n#m+?M?HZ#dMLqFA4)3r1IJqrh=8-M)_skGJ-t-!$bLWblo+VtAigK} zV}{tpZm#?$*+0Uc@Rc9?jodrG(uJUCeeU%(S6bQ`OvO{m)aiRJdJ+$%UWYog;#% z$iFW1vg_k>-cp(Ny2*^BGShNColN?>T%w33#!bVvA?{7c^MT@asTC(WP8S%q@!H1y zywBP*rlG0(rX72FY^RE$FVnG>+^X@-O*UNL#4ed2!!9ETfFOh_l#M~Vl+A3Ob8Cgl zLF*4jPKB%VQ*S!4HMeV4SnV_wN$Hq-sJ5HU)SXu@n7{ta+xVWU#`Dl~sYA<0r#+)xWR``|2lB+w;(6TtdK?y8N--Z|(~iBvAy zFx?MSP;iX*@WAJ6gdug2(=6W!o41RNarE+a0EnU2+b@56RY<0}U6Q)>#e*X*+0o~* zIz8ISzovWNHBOu&?)S>Yvb|kzX!vD=6nY`V9$HZJabnuxr$iAk9Bq=9TD#o0iI(7k zy8x0u8OTC^AWy!+X3lugVLI3k{lX)N!q4yakt`{ZvEl7hZJ>0(39_JPSNMB_rO_91{MDPzcE{;nZiW{#J_8@P?P4k|j zG0x=U-HIMjJ`gvaX0$3Ui%x)3_oX(cyVoxqPS4uZAj%}1j=21_%nX@TTkmZLY&KEN zX|Z_j%D-wGNemQP(_4I@Okg|a}Hmw+?-oYGlIg9GmBU!;Z#6Tcp2R7j}tQ&2# zm|w3ZaE1pE56HkYjtvO^RNPLvcM~Qxxpnh_Fsx{kN#1`b*Q-c?BXLpj2fheH2D<8k zyKTm?Qn=ZSuMuhiME4tjp$JpCqlssw$vn!_VV%5H4iypggC*`5pJFfCpn7;iI@$L;JDLN)ZQ(dX+0*v zBr(By=(t(=97QRi%3BLcBll5ylv=Dw5s5TJ#S~3q(nu$|ucd_4DEeH1z<&+}GdI#< zowLesq}nMvp4{lT#bfYbQOVXd0>TZfbLQrouUqVJX5XvzM!*UGwHb zWw2^rwq(k_;Ga=A-HKuNkOZkH#7%<^hW_$d(-flS^x2%g0M#*(+v^*!9=A}D2caR| zeran?+@(T^*@@rd0&ENcf#Nf9(2xcEgKfXaYdd=HLjcM%(Y{r>`o@Sm%K!)(BG5`V zv^Jip`uY;kyy0HFj~ub*V)JhA(3<^12Qr>9&OgcIExa%&FQEXH;1Vc}2`()9p zLi58$N)xG#vJPdu30EGB79BrOrNdXdTPxqIpLLZfut1laR)MK{hPo0VfcWZ;Awsc} z@CB`AvZbMxbGZv1@9_!%t#Ga(cHZKQuu0f0`R`qb;OG{Kbs(+MMoc4}BzaGjn*i*R zd=oJBP?O}jYj-GWgG+6v-RO7BELAr6H$tj#CV>CU(=4OZ(lh6`hvm9w?t0?mL~XeU z)4M|IsWK*c`+BCl=>Uc4SJ4jYVZ&_n#42)vTDhpK?mE#8HKcGN%m63$z?EE_h?1GZ zzY(V75?Bh~G@jA+YG3t|T)^GX6}1u+2a^iYfMIqpwtmwe9pY5sQp+((GrMA z8s;+^Fxxn>pmnFtuWZH_BP0D49X9I7*3cA`Z=|5vmtfos)tMpa=$ddF7XtAqUlG^L zSK<`gq0A={zmm2;#}YHjSqNPh);RnT2z#p?^7WlUXm)S<^F?&HuZqZy(w|; zxOIEpxa?{<+}aM{mCb((r^95^cb*lw$OpX1Q}8u-SK1i*7=BiC@$QOfS~uz(SAeli z#$eu4$!TI-iAaV^+m!H5%A{^0(%L$&8G;01@17?eAPk^ay4Do6SIlb6TCT82A&z|p61ws7nkZo!IonQUGRsHW`2Y7*j^c0`eE4&Uc ztln=V{JaW%Avj7&09E=#Gpb}goc6lp2Mc>na90V%UyE-{@(8FyK{a%{gkKokP9SoA z)uKDkIfTko-0Y)jlxkhC6ai7~nApk6eeDBQb*i7(VHYJ@lwx3sM?h7*hr9(8{q60e zkmeYB-z}%dbk)v#7iMoeNEhoXV znrGIF*t02EBJsOoAdy$L@)&ONkAV$Ys%R>TYc;KoLWt_X<)2diLKb=y&sz9HQ7c8= z^hy4Y93_sSB5mB8Z93JCA@bsbp*TDN<9G`{i=Gu4$HEOZeHB~lG$MvtnPj|The!%I zG2QL-7f0VChN^FQQ%b&g2ikHp%7UBI#?YB)5$y4#6XQ2F>7(vj-CkpdQ^|oDj!}R* zqkogN+vnG--HD1Bz3DlR|ENmop>l$ov&G?N*^V$o_~L}Hw4CTPk6oA!TbrZQrtL1S znXk-imzzq2$-)$YgzZV_KY}LkiR=3iHQLchzhS{8X-N*;P%HE}O;V=S>-UXZZ2wdm z>Lr;DP}c@N2VtEWfAO?z2C__z-3BPdMub<8ULiOlb~3B?VmMZfL6*XnqNAx_ET`xh zTnF^<9JWN{H%r7E!k&i+N6Hz`Q1I0cu}Q`NT>@5gJF>}5j0juh30Cn7bb>|&_0k(rWzdr}o`;OEWI7V@b(M>Wd2d72sBa#0uY$S&A4Gyi{yIQJ`*|+x3CcM=tb#JjGHqapz1P~) z=flBrZfKd_n0lo(WWNF9qk>cVZlHoy0&{e-JcU`-@W5ZNUV*!ZruT6LuBUdQqsBIN z>-P#24dCd2e|AEFu5g6Ao@i=LZj{7oS{$S!g?(Ixj@EKH;$95_gus7WowN+wF| znUzC&_}=*8oadcWP;W68_$t0{zeZFZJu!Wcy0lJ?z6^3Mdn`~`_uBTv_4c!L=FJVd z&(n#G-U=i8e?gv=p^ur2sx)$h&20N+1RAa)m#m%iR#z!Gp3{cd?bdQ=(NkcExqpSt24Fo+o4}v zzz2HPwP|PlNpd=V{=qvbxcqj?@4@S)_pO0)_Vpc6x;f4I9lNB?7eBRrR1vsiW}WxVrIDdhix0RN}NNw4)Dqa)#i%a-8AeHS&wvt z+o8klvQ}$&=UD5-oA=FE^H*kZ4r{|@>^mst7-7KOAT-(~UbfX?i4?Z{l$C>2Whp!+ zmzbHh?^JIFcjeQmi@mD+2XSx_S{hYY1duBZqQT-6+YCn3mjjOPTr!eJ0!*mt)w7?8 zE-@Lohg<%Jm>kvz##$?9;_I~0`%QbH0kMqM7k-+n)-Bv9@LL4OvwuqHb=F<<=PEpY z#>Wz=G1EDh7eGbNii`t6;Q9Q}H;9qHm4TY4**Ud?t@bbX4ONvX*|>+MINSv$(P;ZV zC`*klyc{(@N3p~gbBe-QUR^?IM#}t1^f@&(Ve6$<>fdxOpkK}{yBWq7VbC1Ej$$bcwo-Q2YL7h(hOhk8yyFV}G zgh2%vQK5By8)8_66VDA^pKs!g@^32gB2rlqcYE{KbS+v)*}!8$sjT9QOb#EIPrFjs z0=qUd2LIDfN%BplcQ_&q<<~vNn+|E%U!IvnUo`!FDHxgtaGcywLvSyl{CdP4a@e4k zoJr|0MPEp2t~p~s4;Fe!tX`RWbebn3NZYZpK76gJt@_y6`wJ;EC8IbJqP66 z$Y+nJ4RBEOSWuaWCUHLNVeXl3^!d!Ecai9-UD~* zSM|Y+F=y*s*lg!{BOgv`1Ejj<@aTag3@=<){Q20AawUaTF|*fpsvS~apV6jyI+2YA zZ^k!GTX&+-n)|0IrQ=QLe-nfEkP^9h8Tvf<9w|1IEpfYXoBl>m3-`ALRBH17JN;J^ zGp3`*-ce%RMxQY%EbN@jrk?5yb2wlj!8R;vpjo?*z7LW1lq*|8k@9@X=ByS*3!jz z_69z$WCwgnzpd_T`ulu8dMps6Cl=!Uw*X$9dbOqW4pHj>o*;3xk_A=z=07nr`BT^DOAIXZRU0161n z6d7ov!%faSYvDfN%Zofq9XtXq_fjo&^l4z^Z~p>K+ROzs+O|v~0-rbSLo17kBG zCBb%LE0jKOXRby_i?-{Yq6G^eqB3$>`A1XL+vhLfC!w86z8ABcoFzJbd^aqCmWsZN z^t;ClPoI_Gjd;mI>v|PI+>B2r!-wRXPHrDF?8nltnbcKf-Gxl{eR`{o|h2nCg|K6|ADybBos2)LA>$ACF ze#j`3>t~s!R-#@-v#sYEl;2&>WX8Ihxp8tj^E_Y5$pgA;W7N3xlsE%+Dy z!kbV@R-t;-;xn)sfXa;-zA+8gpe1A*FQi<5 zbHDGGJ9U2>HEI8bOkB_CXx>*HFM>FSlt+ao`45+(=t&XJoL?;hTS zO)c*{cfETM%T~L^nwm5Dmk&~C2yLh|h`Xg9nL#q=6R|^~Q9&$0zj7z}^_KnSqEQY*ptqSS=@oj=RUSOJ+UD0roNdII~a4J7kW8|HF&i=Qw{mG=ACE-5U?yy zFDnqx_u+`(*LvhfWuSlf>D};o)%;lcfWANP1{5y!kd2>LQC?zzYvEjgKbnFH1#ErP z%Ty03Pc%ZffS=*lw8qjxLHY0g!NU@?^?aWMH_C5X@|DAV~Qc^t<4Ct%0D5{wY)K^qg-nt71T^ z_04+LCNu#x2^PBvm17MQV!;)~gA!ctT=}BXg5{w1=U39xuc~sc8B}~#wL3Y9W>}sM zNI0E0!EeW@X#ohbrz%Hokx0Gd4^Jmk6|s zbK53(zS(vc^h@bWo7(Ch&EWybbW3Hady!%c{@sj&->Un*W)i`H*+Hd0V|CB zUMX`7(JN>Viu{@Ul^oZ-jZt!OCL zLzsr&xs7`W)VrzVunQNU;gWhK!eB&tFd(ubBa({Fbx34vvXaD2f4g)!-nHk8`CJ#& zz-?PK&NA_^tnW8sOu2@gw=(hSb|;1NTX__68pXk8WnBEwB*mjitR`_;D@e_7G#=TA zj;nj?7eC$L!V(zat2T;z(Rzdfl+5doFE24^f#tVTf^SA zdFGH)?r|=B9n;BosP%0^LQW*JG?zgTjh}n#CpM;Rr+JohH$ZccdAuOxh%xgCH(AI7 zG(#?y7NkMEKx1p#eTW6tl?Mob%Lj;39*nyvK-P3SCj#2`t(^p#y!u+krk(b zWlrWFUJ1{8HE<9AU}vI7?Y2E!>`EL1wgq^Buc58m4Jz=ku~X=1y0>-<{ica5USwPS8g# zRUCG$^v0@&TA%m?ycx_j&C80Yv&aj2+Fo=185rdRyF{+=OFbH7C*u5Fg1nHZc+KSV z2xqi4lb@pYojLyx$>O!3&muk>5Y<@(VY~E!>M)tdW(}|1hc}SN&>Qq*RA&+nIM>mF zy(mEiD5$KY)Hr_MaoRHBkUmtr*9Z8vsg@2nAgwHim@KC=Oa01m%4_#n32UipOBdc; zlkRY?3f0o%TvH)95O2IRS3epi`@w4V1isimL5$whY{qZ1bGfYjv@#@Ct}Xr;=hvk;HkYQ^VkV~sYipn zm>|a!`rdu5&b&6mXGI(*rC8z`xs1G_ z3%v*JX-oB1JuO>Uon6T|UJ7g;02B^s=$W0!y@4tX_E#@CgM+1(PZ6qv*s%F1V6`~P zco0zz(cKp_9~=--mK(f5h;F>r~abKMJ(cr6Ci4P z))`vDE`?~_QtGU*V5vgp$!9uo{5wYr>gTEQ&*8y8(5udOi<#1^T{uiNRS%%w zu!>e~B_EM-qWXx5gIc-PdaDjB2bV+0_x*ARxso7v5abO-#cK88jf8VehCoZJTW$_G zDgBQ0`9Z+3dC1z*?a0#8NT0O`XIJvZ(LJTRN@gj0s^LT5c=JRo~Vhf6dHHqqDM0F6^&XMqCH(ZZq*X;zkkUY=p2CvOjt?l0nd{Vli zr#`hewk1oyPWr4(IJ=WKHs~S!@}RQ_dt-T$j-APCoj1(VTW{Db=J^-+ zr5+8k9YJ_>%p>SSiE2-R988c4vKY3#bavr z7>`(ALVVbVtTX4=-o%F?TNBQX1bJ45wDGf5z|k*pQ$KURfFm+hGcX*7?4L_1et34} z2-5IKf^0(&j-Tff4$oaYop9ocm##gKA3QTKJg4{AVkmCq{Wg7s>25U0@}V9RxlQvO zaSA-(q@&wXEmUOvSgz(#@5m)TbGKNzkp( zub~C1iNyOK`rb#-z;fpeu1tnBgD)F8&~!$7QA!uNUM;O5522YQ>{L_Zyp(+SU7b3q zW&K%4(4I{C`XLfT?k1|8i$_OBg&2c$@G?v9%KiZqkghoNXC+QGk)9Otw2`%l^EZ_n zD3FXZR)%;oeSQ(7Ye^v=9zZ_4l;UtRqWU+Tw5nyz@=HCMbe@M?o1&L@HrtPIE)JHq zdt*YR$?7}9S?A8_`jSQ&ExZesi0^{svb1i|;*BdL^Za`rW>*do`CYc6&G*ao)UiO7 z(u*GF*KWnHbYST)v+J+qjg`q8XXWzV$~s1=aOL_;q;KY0)N#h-q7dOJ{XNudy8Bs} zr4iYRO4AFe?0jAQQ%bj8xu8oe9aj!X341!#w*Ouqc$YXjMx)iC$5HftKkMwar*u)! zu#xL@;;-b~2*1m@a`2A`>V%R?B~Zse~3-w4YZI*!QsitP$m9R5P{y`<9b+Ec#2g4zqr{DZ2sYdm1 zaBk#_RNL$q>=N0R^5Q*&vl>ML_x<+HRINV$2>lIxCLZ)r4TG$do=y6^h%z< zcW9*8a4TAU;%K6gHxr+$$cjfQgEB8f4hT9o@{bU`jlj>43`(nQZqJZbAB@& zwH2=le7fG!`dx_LMpn;k-7eNSr8u)~&q_*fh!mkQ&{=Cy(r|e8E$!3)Igr}HFR3?NoJse+ zLjg6&XwPAnrCR-$E@;U4Z;Dg<`6Mbk@6#vgz;S(2`eBH9-Q#7_K9W<3yqA24cd0y? zrEjLP{GP`+hI^xLKdRO_?n6E{_&#H8`_j1{1Jv|^?2^w!+CKZoamHk;kb}BsbynGN z8u^44Ib1m;rKgA8)J1+#Q~@Ua_)x2h+@f=gH6Pc|Ia{G9aZH&Sg8m^OxCdD&ogQX@ z7o@jbbH4u9CI?W+8k3)flH1i=-XiywovM+mL)p={t7t5IG_}IBRHAvn<7ed{-Fm_` zPM`hfW1fm|o}&K@3)~8kPtc6V*EPa%zs-wPb>_CDvOQ624g2(;KS`zOFIksXR&1?i zRwI<2Z(F_AW9q^xq85kM2z6{|L8&yfH|FXXo5^?cnbowYNS4HWFLl;EEpzDx)N1u# zQ~S=VNA}nHu;iojnb{lgkn!oW3T68EypQZ!H9&a3TwVJVaCB{R$mOm*Z0}u&IHRpX zVLwlme`Z#plr1%)zb+RHiEA?+Y^zY<5hSZ6wxj<1dUkt=Q(R^$`wD}@tv1$fcxb;c zW~_1eZLgo@-aGJ&53c~kJIW5~q<52gn0=m0*19 znfE2`w@Pr{e7g1uo~k{NH_cB*5HZNjQCDNexs*OScll?gex9kHt=2+BmUW9h!3=c^ z&jWO=66F10&!*8auMO+7O7P{h8p>xyyfleigVUVMu5bCJ9u4vtS`Bq0;arm;@Cc}M zkIjIS682u2zKFs~c0__`9SJuMOLRe7G%1|C%eKN7Lt8g0Q74kn~$f=QXnQ z)*D*~D^mLSU3J@}U$GB3`Yb8)fm){FFII^D!HUG%W1l0cv&nWmckpDKuk=ej8srXw zyp(Lejc_i@kkjr}Sh$3OM^`fVfK3pe2pW0Hay{sV*Td`HqbbbQiY)L+BNIH81HIgUe%r)fR~ayHq{ z^#<1o_XIvEUENck+N;rrA`gs}!>iItm350peU=wj(mZy2<6HNr*GPlM;BHXqSLgJs z^kE~uvuhifn7u5iCelyCxU!MY1{`hQLyR@Tdutq*sa%#mEmgZpeMEK*PZ{*UG{F}e-4UxZ+4;Q!9kGIjt7jCY-u^v68`X>2swAGIXhkVy> zzmiw~SCy$f|LCwH$lf-E&hGh?-W;rGEP&Nxmrk#-N#ZIwmM`BOm836apq z$(b{N78~DF2&i0^J~<*EUQa%JiN;t>^&N`CN73`{D|DTeCzC$2g0%Gf%nH)d8`HOf zbPXz%_@as@i_F(k^#02-j^SnJaSEtQ9Oeega?uRGUEg}9Qh6%14)Ob^BB!f9HJ_8Hb#Tczn)JSq+O9}dxMB)8msYf# z0W}{&dZ~}?4dqba6oHZTY4ZViX8UF>^9=b{+|0>v&Ivgv5?4&6v}_^HG+d5aJ>G}m zOD;%{Cd+5}a08H(Uax1hH+IkZwBEqbq4tJ{yyJ`w-q{CNB;)Kj4iUMWR{PwfR+OA| z-3}ge=46&)g)MMgRwJk6D~F`CMQHW*ng<_rbQ3XBvynLgK(=lxv|;2G5+ zdRE#cazW6!kxN5++Q_pN2Rz)a_N(838s~4TKDgiFJS1?|Zy}MNd9Ca|p}aS;4dq3A zI@!pEAumKeNYWocd4V?tYMu8F(nWmq=a%YMU`U_Q)=@t!lpPV?ksiCULoyQltg8+6 z!HiuyY900CGH1`Pls*wge~lbT z8lr|Q^-1Yaiq$_V%MwSwFg}O}iv^2~yQtpyH0kph4<0>&s*xRu4^}%v7ErBpU!Z3t zL}&+e*w_GR?Wtg$ak zQ(V0^v&P=at=ETlQaO!Z_nXc&_B$5cQ>#g0FE^$5oUxHxLygqPMru@9v!(Q0syAkZ zmPm$gH?;NGYN5>)@qJ(%C#4^$^xB6T`=uVu3V$D@r8+YBS;WVuUh_Bdkx;WW;yVmG z4(pr}&sAc#gwh)#?+iNY*MBljtKp{tP9xl-K00u2R8Ui%L8E{(d98wsSad5}%!m*_) zEj6kV0$fXgf@(6HlFIZcA*QTA+;>vIi#d1t#EkDhou7oJmAdDV=jw*$W$)Z zj;(Zu_lNw#N%Uw`n9Hqo-m6dvIE`#sSYlK=6V-odtd`!;{vnkMec@o>o7Q$-Gw{7o zN2Rof>RNJ^!9M?Pi0Sh)(h%!=fz!%z3WtakRd3EOo)uX+^JuC5mu&w-klqN^#UVLW zyGt{m&^e`IvN`9)&4G_d@v2b9!8`Kcfn>HvD@1sn?HD5{s6I}RLkY5$LSTVgq3^@y zE1;ScZ_4^O&X~*zeA*s&OSNIZ=_>EBmC-M-lkEkY?2V81z+tLBkhp3{WL80DdBN|? z@J=-cXCJ!9M1GPXU}s+4J#%)PF*!WQO6kc&h4$1F_~^T?Bn#17;^@2N1StkU*{gF1 z$9dprwvPt6g)|&3R&O9aJgU7TThV%zWA$^HkK2_}Y(1O7UY+3Kd_;bbIXjik`w@iw z)BV*9$6FAuqT^6&I9jYeG+WtPs;}aO_Tr;iVaMr;)nDgY9jLG?r8P2@LmI&ff)XI{n^Pj# zkI|FKI-UCbC`~mE*XlZb7;rF&RmP9*+CiMbr^fO10FY<;a4+RWpuXZaPW>yrBq_UqmTD;#2N~2;NUVWrp^&rb@W9O4n zl->-!Csk_*S#@lHs;+IKENf3|K3p!=aRfb@UFbDA&>%j(0MsB{PSlj);o*hZL*$6<9ECp=*e&%>z8^o$X&#F{YB2X&cRoxu5}LfdBd^qe%QTA9It(O=}mY?f*h8^<{;dy|k_Tck< z_4EA8^0{{}1?KsA@j;%>3kP}1IL6=e^oAa>;S@ObFFWG}S%0d6Xg*{iznpUZWx3~z zF1IoRAN@KBagOg&>FN;|ovCz%EkSr4{`o7kNTxIID1J?glD)ywSNUDE{p3fsWXAcA zYNEpoav@2-)e-b)I34d76fQEHhmiCyVmdV^!}+3L>d_#)Z~XZ`jv9WKsNxRiB3~vP z*7@sX>A7UZwZw<>^mqE8$kEJ-&yt_7BtQR*r1M)^92*}X4ZlXx?WeH9(( z)>b@~WbLN|gBs^%Dg_4+eS=RHZoVp&SI z0=p19nsL7Dj}hYcaWtHl7DFk66ak)b)+)#h=Ma+hVZy;49iEJe_Z;4Z^8N8nqDP}* z&lfK|O{(F+I^u9v#>cO4G|0yv+QJNiy>LAlHBZHi)6E`)^uW7y3CmcbKMztlC zueXtZW|3FdDze%5JY9$4&fFlEQvAGt^l=Itjp}^L_hl$Ac)#48spRsGwf?SIE9-u; z{qtHUpapgu-321(qtD-oYQKOZay8*RfmVz^ll%;+p3EDCo3nqeB0uxqbVsWNwH1$W zwt56--SdG){p*p2kEVRrWoRLn|D{mTbe^DmUxpx8lJqxGop2M$I)-xj8HDo=f_#mn zW4(kY)0x*N+@rZu`|!|^%Z+@MI3G=I3Z6vNveu(L;U7k&+bM7~4PQofy__J+kbh2B zoZ5;L3)bMQyXcejaeCL|G?InqXwJ$s+>WF_oN#U&YNKMgtTO-5J;sGNh4f$@ZP6;`d;XIStK2eA$_DsIhJqx@pK{!F=pePv;#TiUhj z=5Muh*EqN8!xBKC6ZFyD;Z(yC#{`uSv%QA6O@Nx8V?Tj+%(gEPIHh@Gs(N3aCm-@I zyWAhUf@(j`oj!dn>{IG#vRt0N-q)XJo;MAgZ=UB`>n9qcb?)%|a}hqdbg$tgdNj`b zY_u5aWgM*%f~=Y6dge&;VIM!^c>(Gb(1A+#X*U*we?S^pUjH3voX?}4FhAFOwv*`5 zsE#7YUBtQ1bG_;1T-UwVs?N24`aIX;9W~j{;N!{sJblmg`s!2K-t}B>apY6_P-IiT z)T2e+=rLA$L;HuyabZRR41qk0jTrMO?FfXN%u>I?aWY5=FVBtk!3LRmu2=SH5d(G1 zGX&3xYMhzpdh=U$#*JX`Kiwq``Q_r~UshZHI1e1bC#9Q-^C|_B$>JUeRuXzLoL%)2 zasV~XvmGHrW>yx}{^`>L>ARB0T@BB7tt|3AA3PGYg{9f%sniE@Tvk^Pq_15IlTYcx znUzJgH~b1mEARGi@bdh@37P4EwB_9*pe(svkeMFHf|rzWV=?%j?lL%iJ&-;ti@rvd zVjSnmY`@Vj^=Oc5XwAuJD~p8I_MBBL2ryZn(|~sc4Dtt(jy;(@8P2!-QjZ3Cw@oGw z;0E~~t$6t!t$4YH`0#3$yMG)tyqT!JPmn$`)!&cl(d`4RV_|otkU*wJSWDQ@!t@Y{N zcelnl-7ocMR&eh0Spj%HS$Ypat{@2Bpz&nVPa~WaDc^bj-d)M|?)`FWS)cYxJ(~3W zNcs*vp1-d{Pex`|KbmXJt80;;9~i42*D0pD%+exMb42Y#wr@l+#w)ZhAu8VI_Gc9H zTqDIbRJsEONnf30y@}S>6)4%RMGLv15O1E}lkDPW$1fl%uIKk6oXd#wS>Y+3?s7o$ zW9x_5XyhJVQ5JBzR%5PAd9fO4SO9YF{Cfd1|2&hd*s};$!}*HhU?dJ=KvIg&)*G3i zy6#R|CwxTa;e14RUDH&p#@vur%H2-VuOmxeL^#}9ZA9g32l8s%S45=Gy4(wC&F#_@ z3Ew1~^C=P*?Yi8XN#{?|8oWo4pZ|v-pCmpPQAzo4g6v3Tu%VWkS6WWrx?HSZ_3*IL zbQskM_Z3wVa2nZ@V(d&Bx$I7yzf9}&&LwZSE^Qg)bvqv=&U2`wV5gC~PKbMtG_qc? z8I#$5FO^Ep_wSJOhf$yQJ%n>6MZ!}^`k%-@UnD;3ldMM)&Tk0vLaoT$vu3GZwSImj z)sPRK724;}I=!Q)RGvm&y@ep7tj$8s>%2`X3KdhAjcKrjPrq%U)#GNJsQsHgi{p3 zjKlqwPY|CED4)_B`UXV6X=GKB#XTz+QSy<&E``ntI(O|XbciG9(d^<8EB9o0=dR<3 z&ua<7<4X3%ZDeWDIn5gGL!5aeei!j6I#!v_%7nwu6nHM~=R{S6Pv(3KX?P}4#h$Q; zqJ&!FH3VTF^1I1DBr5jdg{0veqQahpj%Kk@3_-G`dlL@(@IMKMZP%epkae|-)}u+^ zgK&5)1n0$riaVawFVx9Y ztTW@>Re;Qj0+9LKMLPFc;UC{Y5E*Sxusf&~=Dx&Ah0wp>1* zMx%QaMR&4zE`nu&YMZ;MTrg*>toGDjpYQkJ>|Al|&zY&X{$ZS{f^h3FRh&0081?tY z4L$t)BASKZ+~M}LP$%ckA8BroaXw75SZ^*G1GB5oeiv&IpJzP2m1cZCr4#E8GYIc) zu{5>R{8q2?z|r`uNTrhB_dM}$QTn~~Rwi@q)1nooPg*Ye@R`1rF}?>Ra#Ufe`LIv> z^-gLTwMKG?~W^D4aMM zPM>;=OYT(lmS@HeWe!fCpO-|=YfvxcqcdZNLAXp-mW$$^Ytfk+vMgWhpWSKf!1K>M za(N4lN^~i4=&Q3Vo*QJGm8d_@I4|xY>zTB6h50aqXUrG}Jy^`zV_x$f{b2#aL8{T1 zpm5@c8EVX&8_p(rGzvgY);}B{u6F8ITJ;Qko|KQb>Cx^3=W4|yWFDd8?$S-uHh~wr8Xa*2mVV2vzf)2dPG7g@QnWcbgwhSn3vA%QvZ@tq} zK0D*!$!F`MA1aRf+O&Y@@Xm@+;_Ayvfg}9b%EVXeA<3TAxee>+3Ff%}Z!qe0O|XAi z%WpHKbeU%7ykt6`EmRZZ0|>s);&)nqy;<*=X1MoZ#c#0`pvh@2Q$5-k`iz31`QZZ( zJI;LyX(is9an>K;z-HKic}a{uZFK2SKo(CZq6C`RGb+~?P@K0`;L5GAng|g5Fz*Tv z>CnCK?!gLaeRV;`dG+Av{``^pyv-fGr3oD2$BXoi>t%5UXdk_t`=N?I_1qtvR!_B0 zQMQn~R$n-GJmHuu$AHr}9%i^tT}k;^E{9sl<(2~F5HE|NBv|q?fvYxG9XhY}2>hO! zrD%7&kOE%d0@-$kD^wb|gNSIM3LLaR^VjM@wnvsuq-809x@>#u=C(j0TZos}z{S=P z0T)+zUk%602YhjcAHJE`72}}VLrnt?`V(y%wxZr@Yf|)MfOGKx*Jb@lL5TY8)KzvL zI5+8EOZj<)0Oy6n_6j&EDgnB!{#r`Z*DWPhQ94emSBnFGJzsI)nVa?4*4G;=1UlOK z0i5B71YBJ*ROBlpK%=$~0bKX3K_Ll0LcR;hZqMB4(U9We+4gHSR*JmhBYttJG zoYtlm2U2cSA43YBi?=xEDWv797n&dyKU*s4SGZ#q1M=9c%Z-C0Yy%TVa^ zIK_cJbzA)mg@BtqRmK^9NWiVzYIqZO57u8R?NU95E*7b{4`~~9O6$`CyAJc;GjQ2& z&z`R>oqpNy0%|-QZ68_J=!pyD4fqq@;N0tL6Es>+|8TrTb!l^NeTDRaLWdPQt(b|R zuCg*(^dcudoFnLK!+e787j*JXue0qNbkDtDZf#-V%x#{>bv|&YGs;Ch7c^BkUgF?o_#ORoizkNw1Klix;!2zD zK`#Y5w78fAT`&&lTYso2)AbL>i-#8DY6tz{h<7c`Vq85hL)%^zpgR&2 zK08<`akrjHTt;uT5r?Pvz?oamAG}~G63)@Gw>hJ)9}aTnrlFp|0bd-!yT!%TRBD8G zF_C+ZfRF)aaKA(US__f0sHaxb5Ni9|zn=?^>y4NL<+l23xwj(}$ePzEW-4*6P-f8Q zMOrl4amjhAu0ze!$g6Gi2hU*S)I$4?oWKFNqj3N=e)@9VAb0BVl;8b`S^@s$-B#0o z&9oRLSzdx}b^U?H))XV30KGsn3ea|55l}!D4C-9kn#dsw#&^LrTRb@+*4NTM9OpIi z1P+f!rv~~o-`c_58v6VU?Gsz;Wj~D8cR?;-cPjW}bPni;!Ryvr0Wa{yTSqk%&nmCU zcaPS))+z$};S4=w0EI{J`>6gbs&Mrd{0-osxKP{1_R5z0LMrb4mvX@o&tgIJ8@(PI z=maQc<8ed{iMyBU&+u^d4&^&Bfa7Xk+#2w}Ro&lQH22_L=yG?~ej^36eWvdXdQkd= z0BA$KZ7c>V{06s%rQnO10xMXI5AI`6Yv8u62cWnDw4UY1lT1Kutpd*QyH0-w$JMg> zGs)nyRi-e0fZ&I@J>dRL@3xVFb^-Z1uXsU@epi1c6ITl!6Rz2r8WYeAK5FY4_Yr!7 zrY~^Dl?c7od(^Kj^zj)wHjG-}zFwiNk6>kM_qvMdc3Zx{CN3w_WjFMDtNw6=9%eVL zRI!0K;2paU=$G}^;=EsR;Dz@Oq6?V7`9Su<5tVPcq1aCd95xu@LJJ=kD=>Q(Q2bES z)KKI;&zU(4sM2%P~O_vT%Hf*b7m zq*5U#;R7oRfR641NXHKx76*M`Cw?<|viRi5^v1}}U_Z!nL^Ad>L;ino&^mZDAmZ_> zpGl(k0_c5O7FrIzj;gzsn2|PBo}B;sc0uC^J5(XR+qH*?7|-u|W(d_QqQ`b~#Tjrt zo^ZFlLZ1-2&3|7GvfIepFzbie$hK}iPcfkNi-t0xiEGnp0toP+V`~ep(1!V)R%^X6 zz{jzLg5QGL0-0N6KJ)6ALTk+Jg9dF#>kWsZ)o%5}IrOW0)7;+$&SUfs$9kR#Z6%4z&MNW+Mth4P33xIT|OFI?z=HA2v#E!BV?s-gh>P?d+PDRbCAw~-3uL(d8Q>w^nv zyJTtgT=rx14s_7XvDISm#P3}FwNZecYJ1Q6?T%^pT%XmH3+~)LI68FeO#Rh?42Q0h zp}=h;WWjJ@=PJq%7WHX!zo4FnJ{YZ;4&bm+KL6HGGvFYBFKW~_Hio@jf`aBy5Dja3 zKgTGnwRkmk7<_hh1;zeVfSxymLNm-NO>W!#w}Q|SzlGVug*@2uX7&98!4~8aX5Vqd zn!Pq>pQjK&QJ)(WcCR%Jc?iDV_vnuM(P9JIucLoB)-B&23IX)(;r3*(?5F!X?W%WX^T<^=mDS1 zYc>mbDA#>(k>{)EAC6ZmOh@ zh^y}oeGlkU^#^D@=diItT!x+b6^{6|P|Ptc&OU;5_|>tDs09>jfh_-E!}s;C&BJ;Y z^7TrAIj_~LJoNY2S5;`s=e`Bx0}n$VWjdUbG>-%N!wfw{&s&*OF`EhQqs@aZ80FN?;ip^O}Q& zv~neJt1r*j0{1ZW8Tig^UKKtAZuffPdJficeU&lV!d3^&R6-io^|es&2DHZQhIVu4 zhIVsUa41Vd+^(*FI8Nn^F!-&bza@z;=AWVO)x$i-)!bX>dEp|@=04L@kB=7hEE@Dh zLR5FpXf9s*B8Pk?zwZ6)qjzxk3sr7zkfEdFF1#67Xg?h@yQA{0W4-PLdh4`p_V2uK zXUc`OBJdj$%3C|)0o3PDem#s|hvIms{%Q_@c0b{&V}0~d0rCt#Jkj-553%%C{cG2_ zzg|0Sxa%w6-wBif9cWL?chO=xZF_hAXiup)zahvyt-AYfL2e&fcoaIHptLy0Iubhr z6cGIMX3--+Z^v=%{=&tD(GS-@9IqZ~hN}f@$G~A*{oz>0(}LBr@DARJfX^1yC=NPc zzlKGv!}+QMO3vIyYS0n-qE_gGiyq%qnP#@qSPlaEJ^fi3#1;6q_aGr&0S3&^DHk05 zZ36Va+qVgJ%y$td_+6nt(-<_*%Xe?rbKJdCuiLw@8(14C6nC%HUt7*j(}D&lN|@yp zbViv3v|axPDCXb+ZP%g$>MKXELciq;9N@My7QjVa3~0Sl-^cnGm9-qOG6T4_CIe^q zeM5f+#})F*;FDcJDt=pLZl;$*UH7(6hC9A~0CO9yKF}Fb6qup#>R0uLJbds)fvw(l zPp+&!fOpy`z?Hv|aJx24=1D-Gs6@!?dn@Rw8Tz*hiubL7yPU?Uae&wVQ-4dg*Wriz zbJ<%;FRbhV`WF4+Xt53d;!2$|C=IZ>^(0yec;}qTXGdk9eV~HAKSPh~gko34mhT5U zalfCT8xC=y4RRj3*6R+t%aB96YY>!p(?JCd-x4rf_y9j}Xv;r#;%v44M)v2wDXg6j zeS7xYD}g$w3&7{p485?}avQX**WQ=!VkBU-251yG=xN!`&maJlR|*3Pp1=UTo#D#YYA?(d8+Ezq z1D){pYHQ~Qa;ZB|wQSdXLw{)7uHyy-d;qQI5z%u1w5?Zw`>+g!@9jQt@I#K&KJnaJ z9DD)|msN$}q^a!1z$a+6!M~l4#ubuFYdy7KeJ1c*LH}^9XGS;A(ry{%3vjl{(90_5 zo*DXILn!opzFvXmk_yUiKLdCF%;D1&?*FrQCeVIY)x9qYgeir9G%^`Tm=Xx%dk&~g zNWiE80c}wfThi9mLEh?8+wv5BJOyVEap-gEi`0p>4`c}PfF##dZEb5IjA0a{3DW}v z7RU^J`}040|M&go9xnfX?!CFW$;n#3{O4M(l=zr~?L11dw`>8fs6|$p>TZ{<=08}K=bS_O3l2={^PB?Gv*rtx=iBM zeeH`p?&5A-a)$RrOH3ZZt{)p~pB(dy*C=O0Dj|IQlGP#alp}t<+8*9{GAO^Io#MeP z(gzxL$M?G^va#n7*#I3nK7>N-yi?ofAPXT>bp@VV&?~yB@#|Pe)gofe4xtl)F5KCn z%Cm{4L5A9v)WIUJi^bh~8!rt)3noX;`+MyD1!J!>#Kv;z-YdGb$FEomn0^h= z&=NnAxSU-<=s$GPezZhgcl3*H?0y)e1fDfM6g~{?jo?s>Y5E!I)P0zbb!8p8YctZ> z(C8w4U$Y0R5g%6CvxLBvNmF8xd7jL`#pNEEF(#s1^17W|QhfL__< zB)?8@lsVqC(iSs@`}-4j&F!N*D0v&7FOjY zlG=2SJDPOi_PZ<<@`9J6@Cnt?6jm*#{)5aj~p^oP07nJb{asN#y;2R*6 zkfe)?2b3pKI1j>THBoW~N@${tWI>vAM13J0|6yE#)7#rqcm;ZbF$%Qwo^Xu^pK9SD zzxwyS?rfEqvzPZ85&UWRWJ}6Wz2DKP9TbG;KKpOorX2EP?%oOG;e}IQo?!Vs%ovRn zyhr@%;e%Iidz@hNPKg_+QaE>%>C!5A;4v+u7msv&gZ3o_eC> z=f_5$_eAiqlFy0c6P}3q4ez?zne&bFlxFQb#eDfjr!bmyk@eZ$KGrXvJ2@LVwgg=t ze0lXfICXDp_;TvD`9;S4?0@(4I#%0!-O}Aqy~DJjiR8^5%lyu8IqOB23?0Fdvqer} zvB+;fI;vN?O-JR(pr0Abx{g{K|G^KXj`#MOR~_s4yl^}$Emj5~y9N&J-Mlpmwrn{iOF{%MEF>Qn365Kc6t(f^KC`$}zagR&NiAJBU-sM=?4o5O> zSO=`ZPL#ffJe1lZuRQdJpruFRFP`h{$uhm#<2=@R$CoktNGZx!V*g{N?Mnz06HSZNhd_yju3(K`g4?&v*&?(FEV1l``zCj{Nf(H8`LnWLMVBxfF; zv5b(j-|V2|ikiILo>s5MgpN$Z6}wuh({eVkA6c)TvJgUzwf;YU{ipFARChzrK0#@( z}$-o-{Utl>VK+;7RnI z)IstOs>FbQiDJWmrWP4Yq4dr{7jE)5sU-#U1!%TED16`16T5MV6Y{np*9+yGWCf+R zhO>Ey*NJQIh+7a)wU0oEv%UStdsUaK{F>&WHwqeFq-zA7<8gl?=#h^8qoDH~T`uUG z9aT@(+a0~E8<#x4-F}h7qyReK<1Ul9M>x7v&^I}HzMw-_Z$kH-9{2Ag?z-to$b7DHFX`7$xsP+s|wkssH=tV9N zwB@((bu9Rc2A^u&M%!)dy-19Cb1Xf$4SiQ+vh^ZGCYvX^@L^m^|=Il>8z))9gRq+WXHj1XRzUnCEEvJ&E%cN7F|N z8ve=ix-|qkGK(Jyu@e|x!^kQr ztnj#+8e>N&uzxn=MxXVxg%*@J^kDQ`>74;Z6M$YNaT!T~vI{sVywTB|`+@@gciyaJ z$hgs)vO6wOJj}#poyeHY0#e)bHzM>_7PPeDf z2F11qZ8KdP=FA**JdtJ+$?u^ivdEM;`p6%nPjx*X!Ug1@nc`STr@-Q*wfzbzTUcD*n z=lm)83~$Or$S+nL^SxMQe{4_Dsi5C<^nHTHh%M*9h&vWD=f%D(`B}emMjgkTzODzs zY9&-XP-~-iavTS}@K9eQyl{t^2z9k0>lL6hQSuv}L-m-=@KUsS*;1Qr9=Y(L z$-~Ab4>1?GZu;2zTwtsyd8yfO^zOQ~2}YJ*dfsLe6mM)l>-^$IQSGy)d7q^=!C1{o zE%4N?6iXpcolRUHW~Cx$FO^?pa*~w^zd4@6Qahk{6+!W#)6!Pkll#+H3r1+{BGdh8 zU$u`2ea^ovCzU{zhm$o3ebz&{!8g-g^A_GONNC)o%ML06R`F?vDwJnPplED-+t6XqsE4>&vI{a)MuQBGj{cn7y_!R2d;P|Yjm}vQ(C}YEk zG?qnY9q27U=d;`Fd1;^HJ$p_Kp+nG7t%gdStUv1;s2$dLa8C>GIP2$L`<-X+%=ge( zh4v+jS!^l3ndhN#63~72`^7^al+eTdTY4Iv%k9Z;5+u zfHOR-^dS$0Zq&_h8A7gFi-0#1vqg~e&)GwL-yo|1_P2@$y;;zG9lc%9Jstg}pu0P| zTF_k`y-(1%J@i3AU*T~d5p+989~X2RN1qaO3rC+5bW=w;;-g47d_dGG@uq@4XF;Ic zl$>#&a&#L(A9r-S4jSzQZQt6-#P2!&+-em$L7x^$FoEgb*hycJDE$a=(bI_{1)wI3 zgHYrI)WAV##4WugDe+(zy?7pUMJ7@^G!L=+gZ?UWMxR4mdWA%%I9mK&k%zL1Zt%H( ze975hy#KpBg#y1mYxH=Z0@x|RVDwZ$WB1a>1U2>5^B5-@M>B57 zK(ok;oFU`MonHBigvL#Gw{`goy0<;N`&q|$lTe6NCAsDXdaSwOt*OPl(tuEy=W*2| z87rukOWY$o?ovS)IJ&Hg>bjI;J?@nf_f3x0;`+ zj#eh_)!suTUC`KLc8J7X;c+h&x(h8n(GHfl*Ld748+4;=R|tjR+2w-1+3Dum4JZut zP!Gu=|+Cfm$JR1TDG?siatHWRAc60g>eV; zC_#VZ=)Qv9;;5d9c7vmPO59&Kx}Tsc9X(ReWsdG4=v|IZ6Lhtsy9#=bqi+!OXO8Y8 zXq@c!8bN>Vad!~(SB~x^=>3jvD`>2d*VXWWzE|SL>1(~LdyiH2^_$XqS&?7Q1AZN9 zK6?fvpPm6U{W_rdi$Hg=CuQeuNB0!;c1QOU^hQSy7IcN9==Y@i?~cA+(0_7to}kMe zMbjh&Rs@h=v`3<73()rn`aMVgNYHON`hG#b>gWdr{eq)IM;@fs_*=L>+Wfu5^;z>U zjjo5ulv}3{e5CQW!v~D7PCJ%9cI`cQ!C%IM=vK9gXFAI2fYe;iS=s?1f4o^| zku8?9azWY0lIUDVe=O+GF&STCDe=ZeN(!8-3;F{=v7bP(oHFhoIQqW@rPn7e_Ed>W z`B{exiZ3ovd~u*p3c97E&k7ozY%{9|QGjnyFmMnWahun>aJ_lGiM3w@99>$apqz}JVL;+8a0=%O`a6zZCIJ*3 z>*8pvy>I+2_15@1apV`^zMxCG+(G}IXTQ+DCkpyqLllMXZuTE<%~^dU9Qjq-Xs&$? z1sxyHcXU}d55x(ZOUoAis2@t);DcIB^-=Thd1`+v6nfRwV8G^2!c^`G5BZ-*dS4%fW5_Lz7&C0l z>mQKcS3A$@5~X9Z#^0i`j&+`CyNHo+TVGPRl-!~Bi1BguS)k!*XzNQ{vP4f_j?O|0$ z+8Usw!h36b%3u8AAMeMEexjsC-?c>0wq~zzsOi+AOD{GFK}vKjVAH9EE9=9Wy%((g zcGh-SEfv|NzG&T`+XHr5Kj^O<-9^y59orMB5s;A`gwfg%4kK zDfysC$rl_wRnSj5N^h1D)#SHu@>|ZG_X~F#e+ze*xkDS#Tc_+?;3yuFM44M9?jH#{ za{eAq%+<~Hn%7ymm_@{DNj_k^(6X>v5*>?GtE3V$Z4`;aB?oK&E zKS`8sXxvs3p=WnOAA_3<}Xyox3r>u8gta(4jS@Lb%ICWH*B8Amu#byZ8Fb^_+tbT9A@JG#ucoAV|yn!G~LnE6(3X;@$C&12OFHKlv;$9j*`O3Cl=dqnD9SoM~MwRpSG z9ezf#?pa+b6xtj>k&cPaNvz8Qt!~j*x)#2z$1i;+wglYaDgVQ>u~?4}UGC9obuqqs zBd7<)Ngwj}{`RIXIH&WD(D_zV#EQ@_+uucEKo9rOmG(E^-fzEOJe1q^GIY)w-##Rv zZ}ZSwo${j+inNk`+!wUe{!Yp}+24ahmrLl>H9~*f2}K(DhWi0|A7&{O8Rgeajvi@P zX&s&T_KQ+)VNaq@JIXrAM8lTdT+kSwl19c2bZbFlG(M$+M*UoFzxX=pr`29BwMVUS z`ZjfRy&3d{_n@<_VnJ^dbU#OL5_G1cw+OnAqqhmVm!rQBbcUmM3c81*cL_Sp(N%)( z=IGsm?&9dZg6`z#{en()^Z`M4bo3!XcX0G!L8mzSsG!?A`k0`T9sRYSTRZxspj$fn zw4jq5eOA!T9DQEUmpl5Of^OpICN_l3nx`pK^Dh_lc}F)B^jSwI3Hr37TMGK5qgxC5 zYey#w`k15J3i_y{Q#xq0{Ie~ut>s%)_N}+4x`uv2v^l#BjnTjHl%VKf(8mSc#!;oP zm80nI)aF)conAuYj&^ED1rNQ?U{BfVPM#ukx`#$@L}=#p6`oW2v$O`+O@Br`@;o>w z51F%dmj~*48~cy9&K<WC1=E$ZV&Gp1dZNU*ZRabO2?^tIR!moCRP^RQl+aD z_HhcmjEW;Q%~^dFN1QvOe5jxNF~{@pPm*8uGs7MAhrH3zr4si@M|EUA*HK-u6zlMQ zBoqQwf5-x-Fd%UwwMzv(%H!54sP7~^D!14-DGy*jg0Ev6O$E}yGPWM77ah&n7ztFwW z>DFs#KZ(22gICcQJ{M*9HtXtBALIC`+4*El*;(3>1xC}`Yqa-g8sd0f3SByK)=i^ToE z9(T5&aUY6OxYgt8Eh)D<`X-@prK7V1jZ#b(^bU`EgrISwO8x#8%~{-svYSwddsZ~R zajVO3OWdD&9(ES=UPpHq^gkT^EkPe}w4MjO>*a2b`wF4(prfxAG;VdN=WKh48+X0@ z7eTLbx~~*8Zh%n=*l9?red7Nk)z$zy=$3*$>F8uZIb{M0I|zy=0~9|*_6+wrx|^V! zK}Xyfg8sr$dh4Wco1=Kl6J6;jHby3Kr+E^bZC78%N(K z=r1h3*kf zH+>bP68;@UW9+Y>MXAkJ7ljWSbGtxK?dzK0iEe8F9qpM5 zX!0=brj3q$YNS&4@iVd}bVaws{5sgtTLs<6(W?bL#8LH`&vsOG^#P7@N@wa+#zCaY zSUpk3@t{hfuI=#}5ce5-lENgT2U_m~bp9e%)aly9Sh1)*L-bB{3IkG#@R6$LKl%XG z>!Izn7g=Z}pcLsNIczeiUeI`ib28DiX0S{XgNy3WaZ?^L&-m6OcTA${swnd4~P zVyc%|TX$H+OQqhJxg#~T_#-v7xngYxvvXo$1E z*=Uc{VoathmO^&=8L6qH#%NI`HQGgeOs4*aEsQJhPHR5KWNK{(&jy5(QE!SK?p*(g z#EqKoMRvlbRVj(_TOIv7NiD{2mkJs_Je87=9ksT{XZ%*LP4(W!*k9#gc)LXPL)2zH zwVQ=!p(m9>=ye@4#7M0l4WsR%t>i0ObF9Z?^_p*TR_h_>x<0Gx9UWnZj#7`n0;ez_ zEh~CR)lqeyLQv4zhj%#LTkM_vW?L~@coDr*TQOSt`L<%TtVn1pMhhR>iqXP{@mewZ za?{zny543yP_z~(qXI_o%#kOGoeqkPl;}_Uh>JxI1?=mjfS(UE*Km>tMi8J_dKs57 zDJY}dL>U2tVzng7D$o~?tEoNhaT$*!g{}SXXDl?)$&NlED5FqP%ife>ZAV1Ww7T!0?T9GS(RM@> zK0ImqW|Clz8CTPMi1_{74U@*x|S+d z$)x`QUd7yjqA~7HzXovwJ>TjtYcp1;d|l93DcS0x)djK>v$Rj!-zht7mrjw6O|0#} zrR${)#eBEUY|piL#Jy4C#*BGCtD{?86izlB)pS(TQK^-OUk6fOKY6ogqxy=*SSO}h zZno<)UDXr1uf7&=-RiypDcj+mUtMWdUp*Ej*0RlX3XPLR?~I(2cS;RScmC>X!dRyq;__S@_#zayU6_`|1jJnw~6tSRxv{zAiJ?jO6+UG*{>-)m#f* zpQ$e>td+X%Ycknn5{U|rVUx)s4{Aq+-Pi2N!kuPM7CzKLHnGPi?WJ7Io1is?>?{A^b)=b@`CMy9uip?n*m zdJ}HkIUAv$mAsznd5uuLPdaX@ezux!+yoP$<=ZG{+%U{ejx6WqmQV5uN=8=OljRJG z5n4D0*LWhY5n68kj?h!QBoSKfcaGfrsfXHYP;Lk%H=yLENeEnM5|Z+|?j%HSw~qU( zLx+?*;zOE0;?g{JIz%;E>+U51ueWfGRUD_VSnp4p+iW;too zSttGJ5G_gEM;=;2OA@*=LQ6}C+WhW%R+Kh9ta}PIO zz>ks7IJdd`-Z+U4-peKNb@q3$i9vtnXxndGc!I`H?o4)0z0`hVILT>O^bn1|sqvDN zTRSJ$j=yM&74{!%{I|E>x6lQ>%O2i!O`vNEpSLfGtK;n1PN6>csh*}dDNM)YbBCpH zTUVk;dvA|hKc%Zaw{fdb2*1{HLBn&S6vD%z>&jzw#RHa?2>5^A(`6OF;M5$32Kz;>bfiwO;7PEr#q8 zO`frX6eiYBl(|&m#;mvAVi-3mD$k-6DSebUZi!qXbYnhV>BjAdd1fFKj`#fLZVAvh zJ*i&D^_vv)lsPE8)9Kc^Q?JcgB7HZx^qnVVi}v;pg8r_jR+rS7Lic8;o1T918~*=# zDe9@!>v$hY?G{f>^AI;bYMbYdH9oHAVGp7EOQ*Y7_^`++{H>tB=V+ai(}eC_PB&8{ z_!#?-zC~|cTxpC0)jJ-qcl7l(Bv0-6&yLn_pWI*K#x0SD3Hmdqp!YW3>}dU7%-0Ep zfAP3_)a?dpmEP+y=?VUc0)F`ZYo4I@)+vxYKx6_%PaX7JFZ=5$TIv zdv%|fw%Gk$QtRtyZx_0IdR+CYZEA670lG)A@gwXc*N|;d#>3!Xwbn%BOk_Dc6Tz30L=JUMuw$ zUci2QnRU&jwHfCIXuSohIg8ay1Cq1DjmPjAYbd28yo)~;G~%X*h%bZdOC@f2L)A|^ zXV}!VZwYxA5W4f7!ZJale@kx%IXlVY)+I`%Z-K|{ML%F0LO*?1{0g)eO&eOHNnevb zWT#1Ak%PI$3;5A=U*W@ssQX^yb=;2?r1nnCBK9(07?#+-OMel4Vcq7^`NBBE;i@Wy zdjHl>&&%j8d)}#*3N1kUteBP4KC5ntMGsleS-qdH_uVRe^DI~7tZs?b`{SHv;LCSA z1ua`xcv`m5h&lp{*(kLXV!T#JeYlTO^26TVC+#GFrCZCp6nRvQ;SwAIFi4{fz^u??D)*{n=@ny{7IYU3ggI+6$r zx~(=Y+^LVgbd79VZCo^LtfXE$E0ebQgjsfz?9JcBvg9uVJ1DkgqHVQt;S)0|@T{#i zE_~Qgq~rnT&d!3iRpLc=|G3m;en60j_r`466lPwR>)j9W=xzbt0wqn23LE~BBLzA<@hqU#5{xc zrq8`ldfxRfXU}@h>g(m&PL?96Z6{0NgX*)`vy$^~SeJqJqUDBP_1C1YNgomweL$1G z(u=fRe5GB~cMatFRAlEQdwBP=YoHgqB(z-9eMKhK)6n*i7O88}SNIUSGWyv)QMcEd z?rXXaeIEX(`re(kf4)dbKfCyHj{^K%Xb*24-OhJ(L+*KXj2^p1>vC4#;Z@gi_5FHv z3ZuP8Y^K-SRpP6NeXKg85MC7ZU`(|yhuTqL1&w@HQLe)ymFO?(UWryirEQK!4Y9g{ zXNt+gruH`XQAaMyJvXesCG-qic0uUo_T+sJgn+UpgIeZRhP*Q-?!t)Bvxw56#&>ZG zO@x+PVF=;*kYxkP%t7+mX=J4P?OC3i=REWbp&YmTM5v}4x6wqXraSmH8i>M$;teNP zjSIbV;WNg*l!dqCw@7j{L}d@P|A70i&PuO$RxuJ;GR|hIGBx(x#lFxw`?n zkB$Ld>f$PUc=t1sQVZcwr;ww2%73tlICOQdYuEzmr6X>jx%(gV7<-_QUK!A^IcF2p z-8B;DnD=wuczvJ9lCJEKTAUoAyKp15^Z^k!Jdo!Lg*b)0UgGo&LE&h7D3+d09%r6w ziQ|OzJogL=aS}uB>jsT8EwnCT-?5%pzS8ThzTdW=64z@}_piqJ=vv~)L)v?k;&^-D zPQAqS+T2&9BzDVciQ^pe`g!A=s+w}fiK~!2Pte$Xy1S$nr<+d~^iJn*o>M{De&6Y) zUlKIVQm^m3NV+6&g8j$JDm@iB&UV&wf8*rfgRFB*J$bDq358b)8s{qOO58X(_&|w! z-LMquJLh&43agz$y%c&zdz`RdKXtlZiu%doJ4+t!@jTSe!`3s}E zXuru*d!^(d&aJMOP0whL)8p$a$?Ij)Guq?y_&NpUWSk3LKcTu_iu%3)J)!zh=Y#GR z*w&;P>DIn`M{9kcI<~3LpN~B5=AK$FUd7!#uKG#hEQelB(3ofCqHL-A_;rG#sZ&8? zRi3WZd$Y&Ava6$r8|V3C?*tmXQ=M*VBjScO$~}{y(TgmW)Lv&HgpQ_F0lnd^wt5XU zYo)Z7e){fatrYof)=H6`W~~(4sNSPDYo%~!W42bzeEE$dyw}rlR*Y`zIyHMjd=^t+L*W4a^pFc?q`g?HeC`WK3ZP!e=Znb)jDz zAHGgVk&=7^TulKKI9GqChI6DQ6=Bp3%XJv%fn_ku3Jlk-$e~ZN&F3^w=|v1Ohy>d>cO~}n{(G8& zK1`osP`^p6<<9N(>{_?1P3F_+kts`G1jdKX(NF}*ij%YIt01XDOiZOa0n7aE-p3< zyGm>zCPqCiAN@?{pnt>E+wf7FP`%N-LzeiP9$QRo$m zMO0Q=NmN)TneT^7_2+~m`wUBY=!)n1ZEJd + + + + + + + + + diff --git a/38_decoder/db/38_decoder.db_info b/38_decoder/db/38_decoder.db_info new file mode 100644 index 0000000..8c38eaa --- /dev/null +++ b/38_decoder/db/38_decoder.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +Version_Index = 167832322 +Creation_Time = Mon Mar 07 09:11:53 2022 diff --git a/38_decoder/db/38_decoder.eco.cdb b/38_decoder/db/38_decoder.eco.cdb new file mode 100644 index 0000000000000000000000000000000000000000..6612017e10ee479d46d8c5c373ee2759c1d1f0db GIT binary patch literal 175 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXAn<&r(5U7Pv43 inst3.IN0 +I2 => inst.IN0 +I2 => inst6.IN0 +I2 => inst5.IN0 +I2 => inst4.IN0 +I1 => inst3.IN1 +I1 => inst1.IN0 +I1 => inst8.IN1 +I1 => inst7.IN1 +I1 => inst4.IN1 +I0 => inst3.IN2 +I0 => inst2.IN0 +I0 => inst9.IN2 +I0 => inst7.IN2 +I0 => inst5.IN2 +Y0 <= inst10.DB_MAX_OUTPUT_PORT_TYPE +Y1 <= inst9.DB_MAX_OUTPUT_PORT_TYPE +Y2 <= inst8.DB_MAX_OUTPUT_PORT_TYPE +Y3 <= inst7.DB_MAX_OUTPUT_PORT_TYPE +Y4 <= inst6.DB_MAX_OUTPUT_PORT_TYPE +Y5 <= inst5.DB_MAX_OUTPUT_PORT_TYPE +Y6 <= inst4.DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/38_decoder/db/38_decoder.hif b/38_decoder/db/38_decoder.hif new file mode 100644 index 0000000..2a7a787 --- /dev/null +++ b/38_decoder/db/38_decoder.hif @@ -0,0 +1,42 @@ +Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +11 +936 +OFF +OFF +OFF +ON +ON +ON +FV_OFF +Level2 +0 +0 +VRSM_ON +VHSM_ON +0 +-- Start Library Paths -- +-- End Library Paths -- +-- Start VHDL Libraries -- +-- End VHDL Libraries -- +# entity +38_decoder +# storage +db|38_decoder.(0).cnf +db|38_decoder.(0).cnf +# case_insensitive +# source_file +38_decoder.bdf +ce95eaa7a0801a2b705ca5ea74e4b7a +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +| +} +# macro_sequence + +# end +# complete + \ No newline at end of file diff --git a/38_decoder/db/38_decoder.lpc.html b/38_decoder/db/38_decoder.lpc.html new file mode 100644 index 0000000..fd4875d --- /dev/null +++ b/38_decoder/db/38_decoder.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/38_decoder/db/38_decoder.lpc.rdb b/38_decoder/db/38_decoder.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..8bd163af4afb4f0b0723e98d8decd3aac45d3a3b GIT binary patch literal 399 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXATNxwJ2q2J#(kmfchJGl&f^kmYd9FhSJng%? zn;zU>yxgvvIZ)usBW9N9w1g##PxDn*W?MyT%JHh0$iF?mFI}n#-$sq zdH0&mJhD3Zb;HD2XPzBe$Lv4NH}j-Ho8PRSM|ZDJPV^~uX#S_}o8&snW!tC3u2r&I z7yFf{e_5>aL7IW#|Nja`p@d`xCN%{yrbi0m%YO*CtIJ=n2$v6!58prGeEq*UDQqhf z9xzPi;rZh*OS;3+>+jq>F>{?w&acZ$W;}haaTTK^`=Ln=JqAV=DkmHh}~bWdy))Y#0}0Scl2{{giDmUsXF literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.lpc.txt b/38_decoder/db/38_decoder.lpc.txt new file mode 100644 index 0000000..a463804 --- /dev/null +++ b/38_decoder/db/38_decoder.lpc.txt @@ -0,0 +1,5 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/38_decoder/db/38_decoder.map.bpm b/38_decoder/db/38_decoder.map.bpm new file mode 100644 index 0000000000000000000000000000000000000000..ec26f3858ee27bca884af4f3320a779acbd8056b GIT binary patch literal 517 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXA8!s!+2q5TYgwTs26ay<0gi*oxB*@nPuz>(? zypWdkAO092J1*%UaW#n>5^E+^aAZAxu*+V$tmVKRX8XsCE45Y~IpDec^WN&Z>DKBk z0(@PIDyHwyyDzYJ`-TKIPy&k42OuPlF z7RxBEml3?%zh{%uC#}o%tL6)N{+$%|XwHPqzH^P0X1jPPy}V{9Sdj3dAp5s9=VR`x z*1r?7?8E!JJ3n{tT;;aQaBFT)aBjG@vGLsbKBdL$v#ej=UYS{k^&;&u7k9tIyPLaZia**;a6i3J_TZ;K65BN>d19OIprwze4?gfW7$f z1t)$m{9iDkBHrEJz5M!u3i0Ct?&`-A!q5Md)ap^t<>5@2)Y!=B@tM)kBflXzpd-Cw z`TqIddjiEy1hBP<8E^)wai%m+sjS$wW5=(Bx4wLgE@VBS!Nw+QaPoix<5EyO{r?XD D-;2^- literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.map.cdb b/38_decoder/db/38_decoder.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..453776c90fcd9fc5e37247053417f0dc015f4c8c GIT binary patch literal 1325 zcmV+|1=9Kt000233jqLb0B!(300011WpZ@6CZr&RJ000000I>rA000000K5VK z0000004)Uo000000C)lHncI%jFc5~b3kwKtkT~2So?)fkic3EhckLx_!fWs-EA9}8 zgT!f%;s0z;I&qUGm0GEy8OfRP*&93PdsG~9#XHD4@yP<(hcwU>7G~l0Ti0m8) z4MUH}iH2!4EDy|SHB75vPgcWKechbq9BCYwR^lY-!ozL#ec+pr{;~ISdF}^VYLUN2 z=>_<{v_7Gl*M4r}E2R&&SR^E0U5mLclCrIx$CkXE{N2fOg8TQ0GWi_+LfR7b12A8P zMPCxd0Q@~ zx5hk-!_^S;CGK4&80Ozb-Y4cWC8&W7Ynu@0E}6IGVtQ|v4TOABd6AZ5;MW`p%Kyp{ zooT@)v-)tCHoO9qJ0D`dDCWx?lfg?+*^Kpc3Ca;h(>DOE4v5}j)I^xJ_95US8c=w8Zh&Y~A5TO^SfW8!S{34F+Bxqv<>NYph z*rklZ5kqq{zgE!?+JPYSxcY#sVm$pbG1ucO;@0&`OdSTjIQr!r0cZW*s^8)vN;(mz ze*qfYv7D4bco_|h!}#mUkABrIXnf5$`uZg|#%uZY^(%iMzoGGt?U#7$B^{{b8t0a= z6cXnRoXtm9etx{hOW%0uckFmQu8(J1SH{b_e*OCEil?y$%Wr7B^h+_m`uc?rVj8Zm zU)Cw>#c*mggJs6RlhTQJ?@-!vv&Z=6ZO#1~~>fdql!?M9$S#Fk}X+ z~`qQMea?R{MAchw$7q*vAGA z?;N14G_neiC*z$XoqhcMTs=MGJ^dG$)J)^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.map.hdb b/38_decoder/db/38_decoder.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..b3363bc09c9f55ed06992ab9d8ebc5ad7bf66a24 GIT binary patch literal 6580 zcmZWtWmFVUx21%kdvJh(fdT0nr6i?QI;EvUx&$Re8ip9@ZbTY{p+S%yLO@zTx@&NN zLE`z=_vd@-ox9fA=iYP9Ui;6zYu!VMgM(8|ii_j+Z^`|O4eh+Vo!s3Zl7hkz1z#r@ zTL|p2C`4FHNJLx+CM+xo(X;dNbF#66Xj|F5fxsYoFCa#C)(~Y|C!c?9S-bxz{+Sc- zKbT7RA8Z8u2mNsmbBTSJ`!fesk;IRBaBW;%>W0JL+wi~fK{01OV|@OVWh{DfNX1Mc zy*|uUGA&2q{ReTjQ1YaQieker)x?>&5A1f!44)}mYS z*6J?rPmZU2CVXb*poz8%J~9}6-;1~&%1X+krDpe43zrkP61(r%?RThR@pq`{ zYJ6uil~g8qluFv`?08qQrR*epA(rbxaIc@R_jM1y{s7Z%_Ae^9zSVgGUM;lr3Gmg; z>Ci7gqS3KI(n+tT6@e9r%`%-|EpKU(V$KsiNqY&waetOESB*oDn+tnGhlV$g3Cg+k zgZqa=M|lkOInc0mi{1hA$kd6t_ud{TZ|_)ftdK&QlU_q&taOB~XQCf`G)C#-_t2`O ziO8tqbwATas<$_sw84^pqO*20GT1Tn2VUU=1=4UJK!C~w(kTDm2g0I237!VP0ghSM zLl(1ED;LMxp|a45n3>R>T>8+xKbb}?5n_NP`FX-#F)C!JVm-O~SgUjjJ-e=Iu<6A0{!u`jLd4IP z&d2zmi!SHh(Y5u!Ch{z91h48fYqnfvB;MJ0q=AWp@dJ?&ijlYB#+(gXXU*R#m6`gp zZ<`k{$7NT5zdPo8FLzu9bM)Q%3o~g#nsjH+tYj;JsllJ=N=@5ItP4{D(%2(vaWJAX zd(m}?KApf#sxKx&g&cHO`lBzo?aY+omHvQ;FB-_R2M26aMtHRlf)xRDK6|vNX``JP zWMf|thJ6x36aQ#EI)=v5*yf-nxO1_kC4=2%)s$4QMhs%akW@)IBucQ!i0`ElY_>;= zj5UGdxDM(#wOJ;WQZPf4mz%1`cIIZEG>bicAt?%Lz-?!Axgqw^Fx&vm%MvY1;hxF^ zi?!(GG_7TjZ$zG+d>assNvUv*YatUEF^~M9T>i+0nxWkGip(_JIS|;BdlbDA8gE4t z#Pac^%RJ6i1X+x@rf~5aRQ@pj=_}KhrTTL^-ULg)G^^R@`)eqBi;&E5=G5{<1$+33u?ArB{$+8s5YP74AP3Bj5@wB5vVmVGyTvP zH5<9>l&O5(x-nm!L1{c&5`JC)etY~r5?Nrga70zccn%eB*OF;`pry@U3pV5kl2RGw zDVY}uKC2?_zB=-TPQdaoh8_lpHlJ8sh$=?kM-t%CYPexa*-^L7VZxnDyIO5+Nm+ zlv0s+zJX`|9lNlC%4d8L2cJm(O%x102Sd|?S`Ad(@IT6hZAB*SJJ1__WuAoy;wKIb z(Zd?3qm7pY8j?J9hHM&=WQvj)Y}vdg8y2{i-cLLEcO7%LVuVr|3*z$Su@Edmy93^{Q)om-LDM^!*jY3h{4c|H@C!8gYt-#JliLev}2r7@1|qS zo|J-}^80WH=_z){?WDY7YHIY$po_Uv32Rzw+!pSCHfb}FN;TxqEH8cJkDGr>EbnXn z`BO5>Q7kiT##?w4onz^dkncE#64tuwQI<1G*xf>A1c&HZ8Kx_1dfSHL?2q3%ON+43zJ;R&fnV{b3Fi(OlPGWw+^m?6cBj~=)W7hR43 zYH3mXi_D0Trv(+i@a@-dENFWmU&xLS6Qzc))rYv6kQwG~EZ!p%8+Bgi{;JpjW{ZDY zPkAispCqjOO4tiEyW-`TKnE>4MK3yh|BA|HzN{0suQul9BP6oNX3We`hdP{S zY?U`Z!0AQz4=WSeR@-`*a|rdrn&3tU?`nyV(&@=S-rU2O8jUTf;-eV-tRR8>h6znW zhTB@)lA@YZZ!eD4BD({m(O(41h-a)bXq>(dUGCe5IEL*)Q!OsS4qwL;XGX^Hr z7#k6!j@eMQ1_27C^6rjJ@Tv9}me^IV2~{S{tPCR$O(^avOH-btagbt@3Wv zH&5HR86|R)kcV`<>LHJoC~!g|4Z0H{#&zrY(F%8T;Z0pLHI{9+kA?XqsZeaY2Y1qt zw|4RgtQCEhCC}T-JD>$6-8fts`^7M{2&k12a2M#TF;*#;VC(eaCI)9z6gQ)r>{}oV z$J-od`xSp!d^DY37p8Gyj88?Nf)QzMwoBw&! z*NjrI@C<+A7@F&wfwXrn|=~1QT0>!cUP7A-vOBFa06DN^Q! z)7^v@^?`Z#;J4I)-b|ej349)durweLPVc0h?ccP?>W$m)ixd+CZ0)`YRT#zF;-!IR zmuX5s1Aon;2R2WfRBCGB+~X~hD|5mI(L_|=<;G>*O0t-|+u$bbhbgOXoSdsT=@$Pe z#uSjQ_KSl?Jg(0{9)P@Uga6{(K6h_OYPZ{D0ks+>md7Rid-^U!j&8Qu5Ld0q8m{q% zL*FT^Um@>O;nKX&e|2;6H`&>+5qDd*!~<&1t>=&^tH%PyvXu;Bbg0fq%Nq8a+@1oz z8nDr|6saO37v38WQ|a8JT~%|(^nslZrG28gu6t>1y2gH^#04Cf^pDi$-4l`GY2|e?<5W4Chz>1agPF>B z3W6S{D*)vSRjY0wgtrd&Z^HL&ODsk|KjUCjE_!ZQvhZxGGhpUR>@5(&%zFAq)6|{M z?K_vZc|Uy7k~2=~rOJ33!rOM!43fiYy^R=Z+;{)oB?n=k9OA_D+Ig^Pg74+}+dsgr z!!(zSy9%5$W9$+2+`z4VmHNXT*|#b}n`0kyc7$j2yOp&|U*o9qN{ohzZL?aA(DoOxEWc6p9#rF8{FiyDVmbRUt@ zItgMPpzu`Eg{Bn#k?N7CsS+D&N`y-)LMs|;1|@CPRH;cv*GZTcZYnnaW(N&PSE!M4-JM ztn$NhG|UT^$~*JgmW^R#NEzluO!eiF5c#)1M6U&tXFvdmJr#W6og%vkKMfQTo@K!W zO-BvA11&(HOraU^4bOoM#&l>Q_On`c&vdm8VuiX}3y?9{dw{MczBO{u%UDg6Dxk^H z4pQ-mik?(yhOlTKPX`lP9w|>}nUiwlOT=Axafd??5@}jg8`sCgF2ZDTYLHq(x6-pl zs(ZTtzl&LfKGWm6)hJP>DD3_yp~*4s1GuF@lSKVkRjMTl)hy{YS;YTvfRo9wV(`L# zk?N1Hx96R((RXV0Gfjj)1AyDMZO^*|K1zEY(5%MHNuwIq7l@Eh;Q&VW)w9=Ya{y!d zJlX4Caio2qa=!G}86sw{*XKwZj?LjFiHYrdue{EIkN}0h{yMWO+#$ZAYaW&^(qSZ7 zll?X4$vJ&RR+>Tmd_`oNV;{AGxDI~J3~#KI zql6&B)W2SA-(c0M2WFAZTWQtBtPeqqtftr=SA5gK=J;yLR zoIDxY!QsBQ8LsBlme6JLSgyqC;^K{4(}K~+GxFkW9zro5&qPVs`-V>cnaE*(R>yT< zTW<(??1ooW{g?W#vS&ewN}O6(iD7&KVRrUW0eA%NqC20K_mtkyOfc9kPV;nhXYOSr z>C*W(+$iRu=e~1ZIU)QB!+oQc0-Bn-r4Xpk>a~0!0Ce%Z^W=x@14e5N0gUh1n7~Z^ z!1chuD@8@`psKRgmFX3h9#u0l6HwTVS;kVzqj_tM4}7i_#wXK^$;+nBqLyN7_dd7h zZ~cB(B%4R*Jey^f+Zdl60%{Ca&D@t5{gNiQ3OT~w(cE83zaJ+(O^oa&x(mFznLrPI z+qpk|R-B<7K0@bS`(f=$Y3H__k$wG!j4o0elPjSx(hrKZ2;7GRZ7al+gaNvre&yGV z$R_+5p6#VWkYxjnCl6B;XsU1PDJ)#u9!N_odo|rw7@9WV8+O2`O4t4uX}N+&e?{nI z%O(~`xtiMlQMTB+B*dBJo6hL{Gv<77?|g9T(-Nu)Z4O!5b=I$cZUpJklU(g{ zaS7g2ov>vvXY}Q3J`C>oVxb;z zH4Hlo_7hn!8cff2Z^cxgjOOxuZq(!E7LKU%yDBPG^-M)dHOlf(P5Cu}TO-5)e_=6x zZ~wEf~ZA){bw*3Y>lN1ICq-3oubT^#xu7D7IT%&%9lKf@OJ!Po|8qZnUd zM5$|0Mhvyd|2jU|bnYa5hiN_$_iFtbdG2jy-%0td9@au1GEA#8OQIg^LmK9BwuN*T z_+6mxIdD`vQQ7HQ!zau6V`QId-b!-a(CVQ_Rp|vv^9*o$sBLRDECb=mQ|D{fTj+i8 zQzPF=9@kw@-CaCrWNA>pkUTc>nbyofb+OhNAR`StKJp8S?WsQ}&9QSxn$_;lvhc*b ziojZm>1Sv)RSM5d8DucLhH6u5*;8B?^qtk;-fm{V-M_#@N!eY7F0U8n#%I1_@(+?r;HHNNnE zy24ZO?^nFj?-?!_Z82L!_Da@N+o_WxrNjEY$4yB)%~I$T&*)ow%vPQ33JXR@8t8GS zG}!v%4jF2_kdrv6G5Bx-%L2}aEv|Z%Z^WU#2XKHV%wvi78Bn@fuRZP_>JNl&zam7Y zBi2IidgAeIHf|4)X0jguZ7uJdBfi>|I(dG{RB^DD_$%nQd89mn=xiE#S<0|3Fr0U_ z-mlG~$P2Hn0>=W2o$OKLdf?j1L9g?fVM+ZB--~+G8<{LnGw-BcVqnqe(uvQ-e@V9VddaKGA%4T{*7c4Fo)x__32&aBMPqHX811lo{TsbckksxWh34}s zhhlHVFFG#xOHx@bxf3>kG5)NYWuppNdo5FqiiHUzN9UxR|FYG5PVEs-WU(jJQF};~ z&zxo9VU&G#hRZE@-%lh}Nd26(mJ-;mjvUkSJCvkL$gUcrNZU|%y?o81C@dpMnsx2n z@?~s-Lx!}?pVjSis=8~hzO0@JTQ_>Yr{v```#om6w#3JKUUemzE@I10L~&zfrdoP3 z>dk^>H|p=Qrqp4iPP3-to)o)2hqvnA(`lvWJm3x%7w23N_1#n_U~v+!r#xcgtZfP% zzLuhH%1_UbfhQQYfWOz~T$Y2Y7De3xJI?l?9m?G2U4pBbK=-*h{xnWE`VpRVaP3!9 zC+P{vV2qTc?@NAyu zXj@t872A%3HP>OhGL)a3&V;M+**t|~XdO6QtS;-B#G}Zd9%q1OyJWb7#v)seOoUhl z(oZ{3V8bbp{#RyAVC-M5LI9Cc*oeJ}vC}&}@Dm=EFn_-R?MQR?g?w5a;^Z?2D}LSV zrxr);L8;q22NDB+&r+lH0t^*^cIpu|Tf0}HKX*hESoT|9{PlMq<#j0C8n+Ed|LvAG zb;4(mDsV5E6eMmt<-j6G!X5J9%JPGOY+|+VUW^0o`qiqRp03enDeO(+%V65|WA7ur z_mf%@HHtrBgX4McD0wz?Wm<8eqBS zmV5SCZBg|7zuE8oy+3ZCzJ{8<4qaDPR@S!uwpOM_sc3AW3L*Z-u7GpW7`w3l!x8x#jc+&%K&v07}b^YZi#~z@CTId_!{bt{wlWi zSo8NwA+@J+uBquw}T7*b@5H6Vbu6tO>06fZ?c=`%CO>qA-(E4s~ z6G&)qW(3f7k1qgu!(nCm;n8t2%(}t_QqYW(O_{c=<5x8{OvsY%fsZN4f^fk*2_sYt z89r@KsTMR>(wKtPt6)w(faF}Y&KOW1npWxtTJfVnaFgl zezU`edf_75}fw3A5lIaPFx3j}^K0&p#dddUwuON`9Q#?m}c|b0I z0%z$WUSPA7kk#!mKq`BT-b?EAmv~SRdT?NV*%1G#n?swf)yTZAu0;z~!} zrKw?X{K{V#XE8~Dir{Tz4+vfHAcc+y0$w}p!t2pVQL(t%9kQ2y^Ewt+@vF+W+{=M< z_$}r0CvRk8%;DN49w6tczEjfOl~2;Zl?g$2yG4l}{kg>ihw8ztYX^HqC8$-wSx6q0 zS&j9Lu`3jXtE*3LvL`t`)|}7izBVLtEtJzsjavRK%J?v|vKmmoDZa(lq6m}?dPO73 zEcKkZ<*|Q5Uh>i_@% literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.map.kpt b/38_decoder/db/38_decoder.map.kpt new file mode 100644 index 0000000..6ff2b4b --- /dev/null +++ b/38_decoder/db/38_decoder.map.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/38_decoder/db/38_decoder.map.logdb b/38_decoder/db/38_decoder.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/38_decoder/db/38_decoder.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/38_decoder/db/38_decoder.map.qmsg b/38_decoder/db/38_decoder.map.qmsg new file mode 100644 index 0000000..bba0833 --- /dev/null +++ b/38_decoder/db/38_decoder.map.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 09:13:04 2022 " "Info: Processing started: Mon Mar 07 09:13:04 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off 38_decoder -c 38_decoder " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off 38_decoder -c 38_decoder" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "38_decoder.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file 38_decoder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 38_decoder " "Info: Found entity 1: 38_decoder" { } { { "38_decoder.bdf" "" { Schematic "D:/projects/quartus/38_decoder/38_decoder.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "38_decoder " "Info: Elaborating entity \"38_decoder\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_TM_SUMMARY" "19 " "Info: Implemented 19 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "3 " "Info: Implemented 3 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Info: Implemented 8 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "8 " "Info: Implemented 8 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "248 " "Info: Peak virtual memory: 248 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 09:13:04 2022 " "Info: Processing ended: Mon Mar 07 09:13:04 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/38_decoder/db/38_decoder.map_bb.cdb b/38_decoder/db/38_decoder.map_bb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..f5593b635749e83d0861746fff95ec344e605d18 GIT binary patch literal 660 zcmV;F0&D#b000233jqLb0B!(300011WpZ@6CZUF=U00000096410000004V|h z000000F(j%000000C)k+Qb`WNFboV!B?LG42!%Fq%#|nfHGK|0WD^i(+&XF^wUir{ zyiLZAt;BopC&rj}>tFN)o(Rkofl6i`dZtkDOGMQ3EI@IPA^k;Jt(S9}Z-UAE0Efq% zFSmuYd08HdZd1nhr~wd`q#e244Y=w8`4#z)Y7g%>2)UDXzO*;M)ykq@HJlnlI6O;q z*5zqTepm2JQp@^Ov1Uy^=QwS}=3;lWl^~TMRfFsWFT|E&t=I$T4jP0ggl-PiC5a`> z1`^9J5sQn{kd0@ygM`Zd+y9=q#uC&-T$1;tqj(ggJs6RlhTQJ?@-!vv&Z z=6ZO#1~~>fdql!?M9$S#Fk}X+MOMZORp#d!67T2l;u`n<85cL$7y~egY8XhBe~4?4@8SMGtvp}_ z5>REJV1n5RQsxO##wL`R0fC4xW<_a)F;AJ5|=p{OX+|*|9pSG`^=nY{>;7S%$#}VoJ&naL{xL{7Ln^eDEy0!Y@wbGZmt|sflD-Jaq2d{r=udx5F z_;+mR|I4m-{>%A{|7E{hJGp^gEXE)DjT2t5)E}Y_8&h}`Aupb7UkSaKj&Dv*tM)<8 zBg2wu3ev3~%+e-PmeMP0z)4MqhnEm$sG|aX<-1s+d8|T*17(VZY;?;EE zPzen;Rdh|%0gShFW#9y|!c`qft2GnOC!(B*F_ou}zWs^YET$Ko|0Ml1z=;@x4>4S^Ai|LOR41zH)6e2*( zpZhh!4sFBoJtnypNF5j|c;T!8q=|O!w}|ZdpltX1Cx=+|Iw}#&X&~OJ1flyQmY9y<>+(ua8IMuTRXvJs`(GPq8;|u7jZ!Uwh(@b=(g+`h z^(QA}Q)&thP~&FE^3fxeu#i@^HCM00b?ODwhX!@N?2BDGJuF=Mz#@qF~(4^d5^ zVyAs-l~DV+9S5^G8P%GUUD`!F&A%u&-H(z?hVzH7?$onLngreSdC;$X-9IQZ?@n*&{n}7X zUlqd&v)NJaq%T*@t>h6>;Q{^CWDdo$ASa?EKIlZ3b>RxQK{%XtCObh(0U4n^qu=!r6+fmZv4wcKb$@g@WMIHK-*_ip0R#l0(RG$w&j-D|{E&gGj0N1)ai){}$9=}Y z%!%Y3fwfMk8|*$4XOS)5wzIK~plB61hg+1EefJzX?3;Cm8cPd%%K`$q2rjF@5s8pvHPu zePz=N5aCoWEpIxeE6d>BT;&{qHsrlHtr8oQo$D3(0|K%WS=Gi@+3-jVpnZE`*AGcQ zln55&6{Zy~lDLZ&VobltWJb5*+5`V&+6dRMdk1mO9`gg*?vaB`N?`UGIEq~#h6$r8 z{~k&_8(>*1Md#Pn8OS)&QdFLnLMI}@OK>6OnGeItfOBA{Os=-?sZW2b++UuG#dh`i z*gZYTw;M2Z65-ZNYemS9E5~#P%{BcX>+u6-p&WT1EZ(KUPb4LpaV*n-GbpHinqd!8 z`h3%wSM`Z$+1p7g!3E^*P7Gtfs|ufzzHWtwjwWE0cdTSRrhThQs!j>KV0r5rr}6^S z)ByU0;;?P>kcJKdF$UjH3u7d>dJT&kWcWa#V0u3}i_6||(mC^jhEbs5`xav~8Yu!r z#=(@pU!DLvY_R-_!^Z(#)z~`#f_#$7d_cT!aqRQXJ&J28mcS3%u#4gZLZA@d;+(qn z@tD^E8D~Z?DNr<)eGr{!gMA1f0B8hRaQXvU?I8;Vp1-??+OJi>L4v^6AvCK9v@GsY z865N!*qw~{_mV51O&z?8L|lYq1g`5hCYRY_nQW{^*8LEYO5A2%(cr(sSf2FqDcV3) zD=F!!U*_31+&sWNBtj2BXpY+*X3_L_{RvusD#eimP`YkdziOFlkTk9hp-`>(`&^T^Ev&+ z+U$e-l!=kpYoY|Fe7ON-&aS5npklnkhr>YP=I3=T{Db=`2m=KMwc{~_!HqEOl@`59 zUVQO+8|3H^vBAjo8x#ue7dqa*NkOFBU>N}ZhF~+2@8%y>`Uj661_s0)oWKI;_Pk{d z+ZL)(jGcm2K`P)g$;Ypz)>z*Z(^VGc)l>4a=tCjWn!)sko5xIaG3Rfu!V1?KWCPgT zVzT+r&toyI8tcrdN8uqajFr(nEGa<=^)yc`8`$oZ} z^rjW&W7Btug70K2#Mpd`4Ho1hFp)2`_;UOk>uX|4O`Ql%n$Z1K&Nkg}>{(ORS*)0o z=cNrqJ3Wlf^f+Av{}e#r=Qz7pF4pq>+<|*+xwJQaG~7b|Q7FNbeC?1kgI`(5IKrZq z;v4LiRz}}RZ_-?C(%b}Ro7303+71#lm$yZU$#dOns z8A#-h|Bl)oC#L(rv14t@jk6}18@oR(2D<9ByPx@pZG`Z_4L&;22-9__t-L{;(UN~- zSVSV;{u5S1BfKY|?Hzb`9O$Uw#Yls?!t*DU$t=XHwtrFU_~KiqIi9w?e^h=EdKY4N zrmMPf-kt^J;bXnH@p$lq4zq|x@7Z8)0sP4!?tunDSO4_LQm(LBmL%U@IZfNr0aRk{ z>qj3a+93C!ih{Pu$SmhI8TaRn`fqo6qk9IR;vO8$s0Q#N*TPn1#h3!Klv*>+rBT4m z*F|_@$GWBXV_7E$w8C z_|?{OpfVIDEEo612C|!zWcn6T%R2Y%N!>wBxdFtMbtz{gR02Tk#Qc4PhSK~v{V3;N z-`r-q8rL@MeKR9%r3PVdwdb>d~bjd&8elnQowUsqLV^x@W5Jo2M< zZA^qn#rhJ=_~SKIHoIMhQ;9COAWif=H7f&d`6smLF+D~!e|jym%WWycg=*LtkuZpn z2q!TA!6Bi#8mj@o@Bod6&|)HuvucjVP0en^!V(y_Ap%St?3#w?Mj+nWVEg~ogfLpm z9AtK0&W_J_FvS zoKo%v4Wj?)`_MQqf14s$Fcoo1f^fvI&}apduaPuLk#LSvh6)F|LAWE-tVqLOj%C|o zX#p56V9|fJ|I=9;o>?^>g$L33F+DY02h8N(PTkOGkNwC`I0Fv5x?Dm2win>Mo_+3& zM#?a1w=d$$xDu_}CiW_$nbXzu4&Xv*?TMCCmwlu0!!BjE5!Y12UWdQ!tL#^RzpBS% zpEl52_iZ2hQMn?UW=L{K2$?3ZY;V;1T;qE&Jfh-$L3!3o1D7Y2g7k*$F^=SFViAQ| z%6g!%@A=DZ6Z~8Um5^#xUJJoy=%gC6XY_$~u4vXl`w%-~66P`8C3<=RKCi^Or{^~(iOO;s=X)}n@zHbGS?K9`!3JR9f zN+&1RX4ZbHkkn{rlSxR#KRJOv+U=(5JLuY)c62_Ia?yRL5++mS>pI(xvT2a+uP@7r z{FDGB>Z=%{mlm+EHZTRJg>|DnOY zsHSm!!zJ5uFqEls)N2H1@`vImXsqm+Lu4w$$oW@MzwNFf-KU;=m+bqr=Hh*z7!oGH zg}`IH>8kz#ovcT#8J`s6o?*@?-Vj#8JCe_)2d(w1JbREQ$ykTX*-qgbVR*@~Zq~bq zi_TAwd`Q#aXF$>2*As#Q{qPY+5w350WasNM-rbJ;co5bK`b6NJ_61C{UwW_DV_E@c z7&?d_0^jm&VfDW;{OS?46SJYenc<$h>rJ_IakojtaUN!*>KfTgr)s zALh5AZg;zmd}CU(j$3C*gzKW#-%xpf>6Xor5!s(9$*2$P7Cd&&Iqa{Oewbwqm^K4P zke!pYQfcWNyRmiK5D`a^zNI0t9~pcVT#?zgWa~G4%8d6D-hj8W85a?U8GcE zbR8sYsdzZ&=!KK6uTvtONqFLUVm0qYqzHEgBxOAH9W;78B>;KjKah{at7Lqf6FO!v zOdPrX*U(M#9cXT_7p{`NZmcL}d*`>@(ysyU^P)lTb4CreiRuUvj*ueo(Bh~D@g z{!J&;c9T@>9R79E57L|mmEtj?wV7$=zEUW`hus-7El*ug)}yZ^N3rQ8Np_AMngy`K zcX#x&^WEOsW#?CDXAIhF%(A00ys`%C6-J(Knm%)Qod45z#_9kAn{}v+B%pdz^9OYF zvtE=5#y=M+^>AJ5iY&_UC#*X^Zu_&^wRpI7I^=yGw!5euKu`S`E}NrhHt;}OQu(rp z9y>UCjpu9Y?v$3QlTtdaTJ?tJ=9NQBf@^WF0=}Ostx$^w@)-(N_0L^j9oJ5VUO^{< zE}`>hrDH{_-d7OZcAa`ITdrxDI`8S0Kh@sz8*Kb0I|SmFYeDUfYoKb7x1etMyY%(= z*7oDiK}Syy4a)-V2~nA&Mz>NlQ|3sAp>|)ydjleU6%QAwRu5Mi}O5elCPKl zO71cXKfaX>cOjc3L$Q9|Zt{@vtY3w*Qt$ZHC#@R?9^f>F1T zKbsq8j%weCKDDKKD)V_UkoU_zE;HnAhdD@q>WNIzPI>{bQaiUSp(_yiuzAWOmFxhS zR3Xb>5G#EWS)=FD3GgNePd)T@Ejc|~b}y?&P6l#p&xVpY4SoR`8=@}X{ffWI7yKr7 zcvhBl{`Tu?x1VOY+^F1;HE$4D{$MPr-2n4_cY6-Dd$6HE);KHMZ}tw5-hEsAz|-S$ zw5#AkOyP}r*rENHF5!nxlhkM)-IpM*FUnVYhjPKH%KZe~!r_^U{DMz&_sXSk?p4X` zR?Uu|i9>L^0-HTcVqvrSb;!qVZ8Fvlg(Sv|x{IO!xPwwV7dq8tvIMvpMEPfW!tqS zQH#FIN?`pgBIIK3h;k(@#TjXU$`)K{y-Cl*d6*^9k(bHZXN7cUf9_Fajkk|d&CPr- zpCo^)+wuLvwm$`4V**w*4!v>P^;BUzECb4l3xx#VmVbU~10@M#_G2I(&*pmnyE>^K z8$;tjLGk!DNjq;SL1&`qmsdZOb+(@8s*=>dOh{h(W>qVc6>vwM`Na$dvCkwZC5zv& z1ZI~3X}-|cTbw<1c-5j!;AuK?Wmid1Rg|0n)5RqW1+YIq%ES_8>%+OHTgMDM8eT_L zEnWt=@mD+dQB~?>iBZ)?IlV8a^OcJE`}2D#+~P`%+e^IEK#$TYA5Sj37}5Sv@aL zvu>{l$CfUOnfVEIhW+JZa({~X0DNXXxHhr1y6H`65T4YOYqzlk9Z$X#E&d(YYWwKN z57WDFua*31by^6snci4DCM6bg6=!6|QUXeq{so;=vjoYTm%CX;8&EHW52lv1!S%TZ z%ZHLIez6g~Z?jt_6|p6hd|KIHW{j;%zpr_b*CCs9%ZS_W{zRx1QGd+U7pPF!FY8wZ z0BH5hZy#Bw5+DwqnQ4?jwsN)Z5;Em&txNYvYD5%{po;pGuH8E0Z}qGly!C+9L6{yh!RjB$hGMGM&jETbhx1LA+orjm y*q(-8fx*awmKf1rKgWW1%^mIa-0#QS<1rSYsB-cuKj)wLHqe8-`S)XfbMrrDfaJgc literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.map_bb.logdb b/38_decoder/db/38_decoder.map_bb.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/38_decoder/db/38_decoder.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/38_decoder/db/38_decoder.pre_map.cdb b/38_decoder/db/38_decoder.pre_map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..a1e0f2b5b8b19ccdccf31306038386a0c313f533 GIT binary patch literal 1051 zcmV+$1myb<000233jqLb0B!(300011WpZ@6CZl?|a0000000aX7000000PO() z0000002>4V000000C)k+mrHIGF%X8`2@qZa2`MPYU|?nlyWVny9DxlR*oWJ2isUS? zK_G+>i1#DS|2eLXyT;DYbcEu_Q?~2>>*dExx~}V*5W-QCza>M%$}f#JB(42Sh&11n z{Glc5m)+dfb9q~s?_IaA-hGyoo0cv&Eo*uIl5l@38v<(dxARN`HFEftV*V$58T&$gNweinujo&eGebjMziO}M2==JCb5?}`BPn-jjA(#tJnOM`i>r9YIn$%oisa2 zlI@!ec7li_58F=G9L(NRtT|OawHtIcFe}{}Yv&opJ41m;;iYy#!5hhjF3&!{LQGX1*lWqw7@mChHht}|^FjOYQQhAM zbaXC(zfz{-9$gV8&kr4O>f3!qXR)BW>3HZqX?>kdz4QZr#;f_eAv`v{KjPFsBm3}V z!?zd<7@xa&zxK^ZwvjES_gJiN))%$sVCA<&I7L%T&#Q9DQ;Yr#}w~7xSyx z#Gmo>+*TVp`t$598Gw1-$8^ZqLpiWjn(%X=w4T;b6W?q(UoK~h#qL~H34Z_p0RR7Z z0TN(i00K@R76)Qy7pHh<56^&jAnocG5)>Kl=^Nm4q2s?L7Xu?uegTMp0)8M3QV&(? z=^C69ty}<6pa50F1f*f+dU(19IR-g0mOqT4R&`7agFzL4e{{|4yk;j-ogr2)PtlH=31yye;3yYs~0ce2CMLZs*?cH z0zeG19iq-Z#5Krwlm2cNP6jccgb7ZCo*;!bTNfE~FsK0)DL@rk18I;Q&hC!!{!U)5 z&LQiPKAZA@WsyAs3I!36I=Id7(0V#|?`9To;OhVt@S-U4^uN`;_YND_iWr~_swbWO VeVt~wEMj2?%liQ30RR91|Nq&u;urt` literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.pre_map.hdb b/38_decoder/db/38_decoder.pre_map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..755d0df9873cf1faae193d3112797b043cc7f9c9 GIT binary patch literal 6736 zcmZvBcQ71)vp*3vdhcBjo#-{nsX?NgUQX}5bD{@_=!7GJi!M&fAw)klI;WS@JBdVp zdG9;(o8OyxyMKIkcV<7cJF~n0EC~h%M(s0949|b6_|G%Z{QsqaPX1B+6Iz=8 zpe4?KP@n!k7>s!Y4GCu4ju-?Eyz7mij}bwU*5UBG1tjw|YVf&j&DNRJ5^j>WaN6N6 zz2%n{@t|;4VI}(DU9MRaU$%jqWb~L)*q@Hc;fzyyr*zvC`O*U~OO`PTBg%W>9_+L; zJAO2N`{0P4wG}%pMTP7}D4w3)fA9K}7qlwfWH*?~wA65%sloYiF#!Nb7z6-X8Q+x# zk;*`cG%3_UOIS-!TUyz0W%*VI1K%%o-|gc*)2H()@$!w4e3LMyNYIg(cc5=Gx0!@-#XijMhB^_c|V_($=VNM13Bt zo>=X64EnoaIknVaE{@f~|IHdW_vGiyeTQJfho2%g0XAcOTm?RqJeee76W`zC6Wt%2 zN7H!AWfnkP?kN7RQvP3Uqm|e>oH^^~Pl7OQFF(F69dE>b2$J|#cGl1!kP8}=vDwk!L7zAFvPXasF+dH-k~?XbPtRJYum ze?hi+r&gkTfc?@-`R2YpUD!`5xxSXokL&F=@}8T-$|m;=Re7|mYA}3aHkhrg&XeO| zX_U0(oTT-Im0r{6aq`_&j|4nm8a8r=Pq`U;u~OLG;$u1&fS`adLKdsnX&=aFNun{#;Um$J4u|mIybBlFA`4dJmv4|Atv6G}k zQ&5YThO{^_{Akr@%jK8D)q3XF2r}q*Tnq~M8cEAW!Z>?(>!L!62YyS8cTJlb1-=x+ zB7o(>EbZ7LnD_Y-Qc0@d+rpKM;;$vuVWK8{n1QDjAcg@%A-5183$!UM7R8BBEr(Yr z8z{U;{LJ5Th0`RD7e*hyg&F7{byM{}9o3_gS$!FE!ts|FkYjU;+_1N9T(Dl_L8HAl zoaOn&-GRB}TO%6WFiXmt5Z!wKsmk1T9bP{kA~(^u(?64i?E8aNsyRj^}qY zsF_REpqSxDQSYY5(z^bp%4Z2f4ERs7?XK@VUxA8aD1Z~G!_qpIAO^w{qM*$~a^R-r z<|pp~b$llwlFfi?Kz99>V(p}L?rD396Z9)lMcYPEfH=bCj`>LEr1d@L$8nNS5wGen zF52_W`e9_GGOwfI-pLj0*Nu=;o!kiZQSQulk>N2bqAuG z5f^R*cz6rwe9qB2jPy`CbNae042r4QtlT3b+7vmffLOfXV2H|VX3CAzNm6FcjO*Ye z2r;FG;|Ua`z{6N`dj%($cv>HN9nkD_bQ$T%QF&vLP<}Kq-E~gh&(>F8F{`9aviCc& z*7aj|p7pQ#3!+T-jz@N;%4@)}-}L4V!E_-QctSqV@ah>~EM|Y~@`KssZ%KVBe1WZE ztR$EvWKE(Ez1g<3(#0Rrxuf#j?s-WQl+vRfbVOH*`5ldk(Nq2Q#+ondHw0EQ#o&1)AxYvll6el)>usb%9l;$YeUFG z(F+))gNwrptNYdi-@m3usnY9H*3k<`U}JR+KQ+$9qSaX{e`q_z`--K~%}+Hc>yw~s zG`7YMHziumf-mw~{YSnK{Bp<~b(rdyo$W8VE6B$|tI5j+Sy$%d53Dyz?TT;l<)4n+ zB>wqK4v(|9Zkw4d?)y;Khf#FQwD&sarmaw4FBkq@50P7ufTUw2=nJ2dCX9VquDI+V zGu^LKE_x6wLMu4!Pm=_dirn@cdMlB;uUC{Q@SC%J8pU{P#(P)@J%Z;D3>6&>7uiQz z1J-s@-h(;4`tm)|xY!id#pkyFNxHldTIdmoY16K5gx z+#gyYyoOy-WqhKS_(TX7(iLmQ{EGm7U;L_OLZB`^-OT|lLw8*R3D*VG<)#Z^h|C|> z!apq8quZ6F5L?)xa9Q&zcqhY|y!X*>qMWae3y4_AK9(@vJ?YfRb_)4N*8 z9Tak>4*(O!2KgoD`wK3mt(J&Q>e-t7|lFRV<1k&App z32)_Giq)dzRM2sp+0}FJSX%GP1-0oyY{g_5zdavmDi{^cYcP5!q^wAg%3)r`<}z_( z_etOOMhqo^@mH+o#xmZyJ1LTf;F^Q<>c+SgynmI}{S~d`j_dX5Hdy0?f=wEHNg0_a zas2s$ijC_YU#i)TZ`bAnYksXy~5yO=qYSpsD2(S~%=uK~Ka(IAG!-*oT~Vh-pSQP7YE{d?1;Mte3( zB`MQlv#w~x2?u*qmW*^TYj_<9w1u3wsddBhQ?|ihcyMq>UV7^qWf1w+6=$R$Mjc_J zDhFpuC;a{|7=G8VCSkIi`BSETcT^J37%E3#1JO!uxj-g`LZ)GGYHw9N7 zkpyy2V!5w7l_On{Uo*}+_+2Awz?aG;m+*}gy8`u06hHAWu^ww>jEW`vjwdsz;g1|{ z+e!G*n8&Xtq!VNI=w}Z0M5L*LrAq^YEjq+0&a!eGu}5#EHus>Ej@QA=0DN^j{r!q)U^R*{M*>Io_x&H72}h1K z9&*XVzA^Um5YlM*PA-n1r0ct6ezYeYLLG22%W=StW@Bx-pYba`yItv(dP(s}93AN9 zKB1fOFt0{9GS`)rZasu76}^!9u)YI>T*UIDHRV5-$r0J>xx=_dc7KCN?b;>JMvP*o zFKK7S{d=k+X>2sMRBgmPlXnUD67*>n%g^I%czpu&fOVynW;qma%B-@7Nt;;aMna9R z%|-)*@B6~G-7}ObJhWpv$vS^hO;;43u<#vRfK79^EG%`XBBS#r6WM(-YKB?fD=V-* zlQsqDrl)Ucb|D+5v!mU{@evvHuZ_skn#q7~Ktc5I~o%Hdb1Fvl5Y5sjblf9o|g9Xbehz=Lr=Z6tsorhg}?u5x{xO{Ucvs*LF7`bhsJ`h{Es zyH87=_9WM0(`7z5ohNENF4lMw6R4{79sK4EX<@M?*_e@t=MU*RynFfu1iJ_i`EFw0 zHG9J=P6dAQ+@wAL>C}*ICXWMQtZ*eAO%+UjRargND|cp zj>q*R5yNi9VI&kT8iXkVM5o!aDdMna?=hQ>>Z!a(&_GPrilb~^UpcnHu@>p(7~nEp zx#{3S>)5C&PLACtBDpU5)V@WKLLm;CC+V&ipGimc@peF_P;s`t8f?3k)vp?m_kBEg zC9wG+B$p`M3Lt?*0$3eO-816cspIZ4HSQWUmU+p&Vo2S)ECD$`n4_~O-sRN>!uiXX z-*=cbu~W{HCTYcerdN6Mx};)9-%y*UN}MtPm#5?kO!71`-u$yyQlB|{18&p0x$t+> zj+kDWvUq}!dy3}UD46a|fkv{WawN7-tVZD``3)C(Td<@nah321R3oza7db{97FsMA z$eVc@Ejc03bKPq}bV`;Op$f7l)kpeIJ1NqktVee-(xvn{iOCaV)HX@er3^WVDH40t zKn)sC~lFkL}SeTiL3Qf+_Yu#FOJfS9UIuDP|=h7gTogSZ- z@Oq8YTyhdtaC$ny{Qh-Q_2~)(N!)1yc6OO6Tl$W@==g>)N~{7y#Gpm~eH>`K&NYm-k{+JKt5B_wcN&WnjD|G*1+@Hpk z&w@P6rTt~zh`vlbL^Y_b>!2uZN-u~Ir;HN%)S$!^1(x3P-^|`fQU&8vFJeJRcd*h)B-nw#4jB43~L5wDM zv+Pm2A0|*grRpU8?gQ}tj#BjoKufRaQl+>*Vax}orN*1bS(Pl`Or*uz6{Qg z-8Vbn&9F>fPmKTb`o@&Z({rPXnBdCr=k0r&73MQ=+;do{$Tk`0NFOe$-`<*uMSQK| zchv}RzR3SB{MkGzg$29jsJbomWiyq*vj2f})xH0A@(b=AX!X;@65|%{gLnZ>+w+9+ zndkli`@gnBpSS*1QI~F0sC!myg}8DGq>kLvxskmH>1RltPZ4PIhCax&@BjUDhOPf` z$Cn=rr$n2E<@fMx`?U}%Wt}!scG~l zS*>O)mcfSCR2^!v*|*bw4!{n@neI!d4XUf+O_l~ko2p6YXUo?^KEFzEbc>cRHFE0( zEx6Tbtk?#vP`scBK~u)>eU^n=4s*Dl2>4>mx4*cO*$dTX4-D+bHR=XlT@5p8*^0P3 z{V@T43$NZy(!+0RTqGhkAs!JVl&@=^@j=rTW2|ASnQ5IA_Wc#DJ$~i!jOMLm1dI*4 zLtEtg$}f>qW`ll-3R;{9qx#lVg#m6GV`3@3%T#pxK(~t2$T&xBNs|2c&4Y#umhJP) zqEv$2W3}zw{)~&u)r=5kT-N?x#nGpgQybih@nY z0(L^Uk`SB|bHeT}_FD)WglHM7T_C<3Aztl#x8v2oGA;1K*c6z}e=E&&>SF|#4YSCn z86;~H4n-x4+FVZ{#}7oTr+|wwTih$$U&m;e?BsWVYpI8Je`?Z(8{S=V%Z$ebwv6*R z_$dG+8SLbC!~DZ9Y@9|)rhqvKml`rf?UWRO*1X#CQQg;eCUkyd4TSDOr5tYYbDkDr zBGOHscNyY%pBfjU_oU2?KN{zJ^znZl-{{r0Z-Ua?M+Q-As<&?awyu_0p6jErLIe-L zEZaO=Tr2^de{^g)mYd#a3x#~F*Bw8huWL**u)3wQ9H~>amcQuMitL(3sdFp3{u_o9 z*cU-L*G?HL?#Q~HfY7-Y;dR5!)o61**?FzhanCkz*|Yj=g;d5c%O9KTuLdu@Jhp+w ze|8JPp-||}VvIzCGlNVH0GOux*N?u)jqR0hVKp;gD*HIncQyw0=J@J;m>&~JU>L?X z^5rK>-4@fW;o<3?onnFe8(OAvnY-2y=ktWrO1hIiXzy;mK>V~wDm;3aW^n(w=W3@3 z(e48Hx6w_e(&N@YAzLbx<1v&E3HkRvF#lPfc9r|=rCsX@$iLNa&h=ext7$_q+pU&&q7Me3+1zq!ZI3eB-3~JB&$9!IBwZale)vnX}m_LxaBJbHIv1Bxr zzj#vjHr_k*!d>xl*V`a6PcJkl;9QaLw3xyuEdX|rzd3xZR-Lfl@)M;C6tr$03(S_v z9CMWQ1U8`D4_&GfP;>oR#hQ8sg_@4isefLL*hz;mZk^#1t%)=C=I8pA@9sjqBdrBS z_9v08r@dy7GQN>N)-0mS2=M&S{CO*I{}hSHK$+f^hz-qe%dYi6Z_IwcO#(}neWf>K z+Kmz}3n>h{rZY=3Srj^qx09;6`bwY5Iyh-6R0-u+`*lid zhjw9qqPD$}OIKIL(uw)+sDaE(TSC~Q8INU-gGR^s+3p%Wbs<*et3h1%*-ZwDm)&iuf>18 zl^-0oTK;1Bpnk>zkw_x;*f>5O;cK<)jyp~c2Qof3u)aLa9y#URkmVASs0+SKx(Caa z%5!GD{yUm+p&jNW16EAvXiXW5EnfSYkB?{=&985VHj8vgy`qB!(v{y|Ac!*gsRn}# zv%7px!Smx41`Z!T48G6{(_=a-hx`z^dBnuPczg`T3^#nOLG^~R^W)+)zjb+~i=*P4 zHlesE=~Jkr*J>D+7dQ_=LEo*~4uAhS1>tNEMx)j|oTSXdne+pX4bN;ArZ!^i!AbBc zNi}Ia$g%E{a=!;EdkXa$zds4;^qUrs9uWL^{4yEgUa>#re>9O@;&S`8F@WQxK&xS} z*tKmz1?vP*ZgWzHFA`1T-m?px$hFFwE}H{4{8=Ri%nmMSnVplIfhW)Ho!xTCcHsGl zT?%trLnE9OYL!dJL|T1UHW{@7C2DM}Hjemf-E}gfl?IDJ33pAea^XYt0AMH5QbXjN zZG9^pmYt|tY@2DW>E&;lYK~gLe`jfqCPjn8&XtTgAR8P=)V-No(1RALi- zSf!H=4U>&ywSK8!fJwFVwD2l24e0Y$3KL6;6pM%md#*$IUB|;+@Xny;z^^m0;RO2U z1j`|}FON2PIQxRtK@|~WjN2lYuni;Y5V@xZnx;{2;I{yK^{Sn*b=1sroT}Il6muzf zbwy_6dMfmmBnPCF>{Z#MJWdp2u^jlEL_+oZ14pQGOk~klDYetDf)l=@ac^?11PtdI zREMYkDj5w=El&&?41aUew<(h+oTzeo^<=R5PT9oQKV@w5P_1{08n^zB<2x!|Fd+UN zJqCBuJ;H}G=5=P7H=UVwbLnyLoEdxnYJPsG1a04~UVThJ|6cNpv4RtKl$(q4)9=o0 zuMYDkpQq|fcX_-3As8$eB6=Gulkl_wZ+DbX+Y7mR&pyZ7ec{EeiDe=vqWFe?szEi+ z6b^NCX6epa*h~10ocn_XkNWvOsamVPi?&Qb+GJ!NX{5|steIK&xguZ}PO&Q!qB4U| zvFxqlJ@Wk1y@er$A$2ct4V<58)40&~_iAR53(YWVSYIM7e35RZb%;4kEs_x!X3x~N zK(5sOP4yr;w#~$1tdu^Z{tJ*glG%oYqo#u~Xy#(&Br1Z;hv0>ZJ9I_NQZWIOCPxDcv?jz4XM(l4Xp>i1t~y2RZM| zjvtNRJ~*LgZN*MYQK7q$il?Xd-@E?g1+Pjs*$<{MEj1ixYI1&DOjK7-98_0tWqel} zOezB<(xT9?Tf$m;+S1B~E6cY!81#Or`)(iinE{=5iML;@G&)@WbuqC%G8mgc56l#9V+OvYUO(G>xvVk#>A$+2qUBz^M|*xYI|k2!kpB!tSg-ewB~lW+=D+SGE9>O)dINn4}85%qbp zdSSKOGZ^fK=hRYzxHwh^|2J#U+>@U(_Z@-_AAXA11lo-CaTWMd@??^TO?-ckPjr89 z9z)|JmstRHy`%WQO8I}ajaFjkaOSL^KMBUPz5MvPbi5J!Az0#D*;zw_K(5`OB+rZ0 zy|aNT$AsO68YMrsN=%SUf;?D~@f)sP*ZvQmV*9f1=eyE?j7GbSEuSB)qaC(an;KS| z^DoFY@6<|^53pZ)E8pDLLxlaMQtE5j{JGw4Bk#FMtZj17P?bl^s)oZS=7ZTf8az3k zR>sL&F3H+oSn0KlA1B{k^+>=2r(q*^_>`M*7b}I`EzZjkg~Hhd{;DDj68gFl`K-x9 z63MFCob;S_6PjOH-k`D|i__)0p_O(F#wH(3FS-rvWONBo;*O)5uG50aB6H>B_8wF% z5@RrMR-OrkLyD^inKqaV-cKv`*6xXM9mtrPs4s}N;8>ww#H9roSpI~OOe``Ldh9GI z(G=VwrYS8>3_n`+-E#fqc(tDSHIfYa9T$TFzDClrkvPuY-MXld>WSYH>r>OFMu9KI zu&B;*VV-_$8N&N~38^Gi@NMBrM)B8@>M&6gKFrWdTRoP+jzVrBAr4?uS}ckasag)N zQZ`h0k@T6r=L)Au9xt3eVGA=TAo`~2e>$p1r?C1l=0xBxF(Ak07P(<>-ML`BCWFR% zZ#c{Ii@Sqz$+t!{xnWk6Th%&HT3|Ka3=CBO(bKJ_A)uVz@?8PDEe)Q@a>6T=N&APHRQl?d>qg3 zXi&3|szEWskD}jAkEM70O_R?O1{?C9WZPfgd%dzNj%AXq@=2`J@rdnq1$I^ISSAH0 z#r6!T;X4bFYzAJdXV-5j)=mO*Puo+Spt?xNMj+2CmcvpvW z(VlPC4!KWk$bAFJ7B$x z_y}Y5hqvmT&pBF$k)BFt&R>^>?P6;-EBDBVHbu@Vz?LsK7^3r<2yJB zLd~e*cmf5f@Nm}LUcm__p4Nw6M>IPfT?Qm2I&Ulr%8w?dyUxk`+4|}$W|g#Q_I@YU zxz9bTl}i(536w$FZ5KKrhT0#;Aa9FM3grDvojznDk$(ds+iYldNJ)U zql>izOjbwqE`oDXNR{l~j&rgrN%c~cH-HY9#(C2U*#odo)~kO8Vlf3MUpAGm4IvLj zFJRyfE)H+3?psg%fSMkqO7BluM=zWJjny^$)HoN5)@Nz_VeMd_E0#)kf7RryPl9eS z*qT4wm1sE&zQ}9$ANhgt%fWNh;i_Zyw!h@Az#j*#CodOd-I!B8u-+)OE55~-e>!rL z^yf1;XWXF0&}O0{5sKecev1@NYq-!>o2h}CDAQ^t=rrIV(6ADP?}Zz=RV z09qluhFwu*a-yH~LX; z1>YH{g9zh-{ZsM-1eelROT;Gi9n2n|a|Dkd*8g%rZMG0sF<{-VgF=++uU!`|{MJsvWdVjhN(LAAGlLlQNbjc!BLzA;fr1u`ZPDwabx&C2i6COx2YrUzB9wy5o619R~DX6u&I02 z9YL*|H*kgcu>6}1!JzCX8AI`L>b24t%>or|$9 zRHEn}K{v@AU19yGxtoaYr8XQjcioM~eeAQ(BPq z?W&nMAu62IpLvvB%^l0E)MXsdMs(7z)%9>=>=+h*)4@N8Iih1l?S?ez-}6kK^k z639J?0cMVT-+JFDzwj zGt1_U3wdwqx>2g}o)y%CZe^U>+=CJXuY;KZ@alH@`xVi^YBXbx1di=N)L8qh43pU2zq`UdI)>Pjokb12}HS!EBCHgU|2gqmNQ zjRywb_l0kJWGGd5>cn=Eb^fH9t|&fX;XAkhndNR-TIo_n#pF#UvHND!470pfR$zT5 zZKkdVfozMu72@D~r&$Zf1S5vZ(cq5`WoDya)Hu!6KEF08TT%1FT9g%GQj$_w--p&k z>n$hzqzpI4tywO9ks|wV&~hPS4rpE0LKeFn$awI9_c}WeJ#fE59_Jgt^1bsR> zL|1Fa<0$8d#!vXa^%|KC9fUaI!ML9`5`YoYzmrv0xjxRO&}t7=Ms{<3r2i80LN1cs zw|s`1z;}38;O*3MA!e%<>UD2XyWX*NVawR$@J)kstt1^(a$7`MD>8< zaXneYs9SLu35AOWV~POK=?-j)IPBSb%x0tdD(?|AV6(O2Xq(qpPHk|kMY=f#xJ*|r z1XKu&i>~72*nJ|B>uNylR|GB;;-Gl~al80TI%~_`6KayGG4rUUKhPQjabx^_(BfFHQ*s3&c^Z{q@!31M&w{-Hw`tu%_`6w0 zY%fh&0zv3KMe}VmOz);ZGsQ|d3fnhMvv8CAh6}wdSkjfWO85k-8P)uY9HR~kEfxac z%{+~foDk@_?zJR3B}e1-V8_pQstcUX@J?5>l+m$VYyP%eLS_B|~_c8ayMp?nwA( zoVL5e&pj3qUsbX-V{|Z+19N~&S{)r}Ni;z-JUm=}eZl&|=-qPI$A@$K`=a9p(}oe; zRINzDo)N3tezkLldS5#@7kH3-E@02X%v4lpdJd^|Z_)LFn!f2gJUX9C2VZu2ep+iy)J@ex6bO>J(*^A9GgY<>oO;m-4P%s81xAQL%l!Lz%nr9+mkyGb zfzPZq(qlKj6}ox4dwRLNDOPwhX?V-sZJgUJ(KsT99n<ZHIgfWe|wO?Pt`pb9>Ea!rhC*@MB1rgyXq z3~jgHwf@2i)qocJq|bVV8Ubd#*kgeam$TofrQ{|k6l*go;RZu zK>&-KZ)=y`BYskm_$i73{&WxDYIjL0V$`hmhHofawkGUot)vS(eKsDQN9uQ=5vP+# z>D1n=eoYxzT8|Kh{;h1_`p@t--z;)YeHy{-OWI#sJ6Uy>Ca}`6n4{-IGuinvI79Z| z>;X5!GI>3*0nh6jQ!`J`jW1$DDkGk^?`>9C%)oKaVPPWMWSk>?xTt;yAQOxDTE*|G z5x{&=z+J?%c~mM3cFj?BTiDBHD#PV~1L>;!fbEnQ+&j?fr;8;fEj|Yc0-UzziQ_ZR z0|NJdZHGN?{i~uO-KJ3Ytk@cH%#*L8s@Uh%7@Z}(yhP^y?g%EXg;@R{jE&DeS2Jod^YqDRiRej^g7eh=})p+ z%~`BMjIOCV)MT@7r~e#)9E&qOmQWj1SH+tw4Tv^X)6UOUuZMhpmEhd4s;eI0Ei?!JP;zs5mRGU38upi&37j$(s%&2WE;^F+q z6!0ygdN)}gzo~JNh}e{PM37Lvu6f26OSG4x{mFF{>w~~=CHtY@^ zk?$+NL{6Cv`z0!9aUzZDThkN(Cq`=DpDik9JM9M^4~WP8ZKD2&o7J8 z2zHOvws-q8E-qIyF8s4Cu0vzBu1PNbhTdw&`)~iZ3%EuAK&!Z)9vy@0&;uw6HeE~D z3E@g&NKWhtyNB3sA#6KD%UJCK@#P5dYUjHh?*^7>fgdJjfNcI-X{J+OW4LU%Wj@Uy zS(|VeDn-=hdIC9qAOf5MEXHneuW)}IqhYd_-vO+p9oqk?Ngr-_cgZa?9v{>)&gbZ_ zpf1T^FSi>W5OHDSJW?_R$Vt4^lqqVbqzD4?>c~fTU)!6~`HwXadI*(rxF^hcS&E5B zH+kJSAK=n%<5Cw$5DQ>v9LGCSMS69nd}6HVSFQB zezMeUG2I#+p6=Nz7I?g&Wh$4sYYlZdPfV+%JL!Y=?&b?5OpBz!V}@x4_m6w7cA60F zuIm3by2(s>9QYHwr9wF#OZkwPfA0$mnDuQ}xzAqO1x|qft%ggk-*Q`3i$&U2!IOu8 zM$cTo6_a@guUA`G&WJU1Ex_@Fct0q(J?|g$d7By{u$;hiMZ(i!3gh%Z*hT*4@U>cX;(p6dlpa73*gO`LEtNUu zB6)^bHHOoTStKyc)5W4rkmt!zWr3XY9?-^)KJuh5AGR1xEHK zk*%k_=HN2Ekv~8d(Pac^erW!@6|jGbL}Z}M?n=al=C@_ndZ0JvKj5Z8CCh%&8#3+2 ziI;^GMqSgHrI{=W9VXk!Rb73hPh}mQwG^s^a)7>`NE5NvAPdvkuEJ)U$HhNS_O5k) zad_R)$G6u0-KyG6-VUhe@I(;(oksm6(rYG(TCPqAxSAKL0h|S#SuF)y5?{A8b`T8U zehu^Zuz<5i?<<=(C}G1wbn@jU4^?IO9Vc6a@twfK#PzT}?n1XO*fU{4M%BK}IQI+|^hOrizzR%Mykj-l`P9d(7PY(+=Td0-G_pOK?HC}nB{E4-x zo8kU!Lva0O%#}>q#;XW{9;2e>4{CH`CGM0=T}d|`i?b@_)xVifS5ezF&CA#Pr^?H& zhBuM5buHV9Sn$&X-IM%5edS>w@k7^-D*0)NuwQ+bl++Jz(BPTbBrk=>W5`;<*IW6) zVe92DRu39yEMSRbV$Y4^;}O1A`|kMTln4OhV*~5U)9jH`-VIqUF^Rg6%jA2IY^gkF z*6Y8c85cU?-ZCJ?#E#a~vAE*3ule|hhSB``c4)Imm((jdSP)(L{RM(3lb>oZ*eJWp z?-VpYUSa6?@x$N?{cwGzvvTkck()Wseq%2>=M`8w~c`uF9lkSLd33Z z3o2MA0CJm?x_nV+8jqe`=tQn{-gMaQaM_Ps*IM*bl$a5i$E>=3S%iQ%;Hb~)xKGCLs8Y4P3?y@X8qnssF+C}eg< zut0e5=cqp(SVn{tRuA4i_;7z_90+^w#5X1y<32vyHL=p1pKesAYJV5M6I+Q*^kJ1w zIxJi^o)!2~!4Q*b>1p9rR64--trRAf6e$)F5%ye%^1F_QyO5nhuYq4@V#A5_&k2@8 zZ(km5@^JQrXxLRmjxlbFT*5YtfuV9w546mp-@tFx9W<(T#@10Y&vB~aK2Xf1;?)(I zlk2O{Tag@)QnFWNlkzxIjKy)_a}o*F?++ZI$}y2eU!~MezY0$HjmE#pwH7d%Yfv4Y z{;Om>JhePAWH|iI-N2?yo^Ybd`PGxb<~wCmzkt-S%|o@`DQevMKThwcctPq3@8~hO zlkX9}oUyMn%Y5j}b(%|$L*~rc`&aYx!z5_?ZuRS91N-+poWm?!qZ{WkOYE@F|vk zRD4FBf4a9c!Z4!lC9Z+T}b+7`%_ z+P|qD#Kg6kT8@>{XViZIP)9M_kZ{y=Fb2~|=z%u@5R@6CZr2R}000000M!Bj000000HXl_ z000000LTLX000000C)k+m&;BSK@f)f;0@7eVj|%&K)mi|%OmgzZrH#cUkslGH%3E< z(Wvpt_lUUwkv7g&NR={#NO{h#{Ms@XAVf|nx;Rs z<$X1*eZL&nh56pLhd;#oqEYG^y394~mHlhR{q1ND&C)Dwypk0)e3dct`I|=eaQbE* zcOJ1bZ!3oO6=RLfK3aCRKzU=gY=i905=~~yb&U-U+q=&kJxgclhA;A1V}mpHg6+}l zg}A+T+=ZHLaAv#6c}k<%+ir((H2d)+_LE8MrzQJT=g5h_v%mAqP^sR@qE{?)@Ko)~ zz5RnO1@YiWuPcaUj}q{=vXZT?1dx=CbsyU`-~xE8jp4fcRz3epw&H;tkA5h2@b1A2 z&8>OdU2z!{d1(?65XbYlp={M+dV4k`GZC4q_J41p9;f+o~(}s0uW!`eMO@OeJ$QqC} z^}GGrN*Lb+4~AhM1gji7W!{`LNIGo2Ul{9)9Yl$RRxGh|PQf z6Jr<9@WUt8B|X?`};c0a9PB{2A1~$$^!rZ0RR8@m&|4W literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.rtlv_sg_swap.cdb b/38_decoder/db/38_decoder.rtlv_sg_swap.cdb new file mode 100644 index 0000000000000000000000000000000000000000..bccc94e74df8d25569cb9ee6fe9a3be95d91d85a GIT binary patch literal 192 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXAn+VVZ5NL!@3~o^R0F@6CZruz300000051Xn000000PO() z0000007nA=000000C)lHR!dIAFbqwHj}R=8xW`B{70ceN$Ke!kA_Ni({!Dl;6W4Ci zWT;@l&!Z?#{GQ`DPCDLu-#F(sv42DF8qT~zTc8(tiHJDfL;s8^X-COe=52op%#-&$ z+;^pRZ|uPOT5AsAu)$A++GC9K0X>Et17&bySvZ(!AEd-haU`U3bGXd(uqc!gH^*%p z_iLrZO>yL;b91=14(O}IO>xAgbHAN?f<4<4X8o}yrE&jKo7C@;dSJn0dXQcG0T>nf zwDQld=ilav`e*Y;A2`BrhJ1IZ-{4WZM#Jmc(|*N6Q4u(n?)K3Hrih?@5GD@?y(J#( zP~L;Dfypi3;q5!ZBqq6t+ycKsNLDR>gvH(dJ=mowU>3J6EUVYbVZw%~t~uKXi^6>GrncJYTM;{eZHd8cK`qY|NnRa5@BNi z0!|r10zs=0f>MCejp7}4^`^v8k`fY zTmVs^09C^Tq+#ZIc)A8T2042~!gNH=)mAWM2CL*iQZEE#W4L2S&r>guVGIl`V3L6W z#Dggfc6SVMjrVg6@$n1}seGf}!U|T@gQOJZTBuTg7uN}^7cbxjtMGuTlK|2JKn$`S zqRv0WHOP09{%#gd24SFt2~LHcAcZzt7a4OfC<7HKKozP1X^i`w-qA2q8ztz3>4jb5t7@!QQC!PI$oo2W! RVqs?h1+Nbf0{{R3|Nlhyb8!Fw literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.sgdiff.hdb b/38_decoder/db/38_decoder.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..f17bae67a7a63d7bd9ebe2d988b68677abec1dcc GIT binary patch literal 6758 zcmZu$XD}R4*G5DaB6?@_wroVS5UWRxMBhcQdP!KF5WTaB8ojsZELM%SdX3(DC#>k= z%RBFnZ@!uD+`03dbI;soo}Km= z$qIV@f>l@yDDn~r5*C(VeFt-Kx3z$=YJae>X9cmod&8;^GiOz_w1xk>W`6vS;-3l8 z{1>5k|3xeM|Dq@MVTM-%V~-!&Iz$+B$%_m2jBYX?v$HMg^W8omPra}u^@#|5v?bC5=RpAB+g-uMcEku z=VSQF72AQtM}Bf}mV4r^*AjO`-Vk&>Nk*LwT2@%u#Bn?gtY2R>~*9vV!;^#qZUO&mq<#=_O6&nnxike>+RTr-wM$d_IGJ(N1qtN7hW&o zxueChM=k{x;8%&!o-F^DbJmbp8hROp>ITc81E#*b*r0LleVeT=GxYirhfDOl3{9Mg zK2>*eFFe)ye}w$6GT|a>gRcgGXyV1k!Nc}qG2)}tS1WU?UptkR8z6y9E7`@~d0h-; zNpzWAH8}nK1$b9`v=`*dA6J>f?+YJw`NbkGdb6ydsw0shab4IeAWZ076pLHLO0hyX zh9-olgl4RTaPP8RsdH#epbZ&1l&F#jxXhBYk^731l{z${AzE@+;Rb1i2b<4L>lTP7 z6&AY_u&COMnl({&LQczwOd0WuFd-!?z;Ud+ z!*`-csYF^+B}tK^Tv}7;e)pA;Vz$`uax~oBs8u^Vg&LUiY$FJ#=L$3tQLQV3MW<(C zT5c-U;v@n#aIUI7G5{QsEEd z-?>(I#5TxGAii`rOYGbp=AN@bIe0qP?oo61xq-F%QZ8bUYE(R|M9m@SXX~0K3e`>J znJmtee)_g^ZZOCb+V*zq;A+(7d}ixbbHk9^;Zdnmqcv2+fnKT|dCwuFoZ!+P6lQ}G z&JJvR$S6;fIlz5G#O!Rxv9r`_1wUTpjuAvR5b1b>AJ}8@Ms7-fZ8qAVn_=Qk(VlU4S`@AwT$0*C~L4wJ2raH+8I>|Y>-Sl z987YtbyW7H76pPPQ)@_MX;jZKZx!0fRnMIP<1Ok$(B5SBh`&&dn=O@de#EZ69Dc@_ zwBz0-=ZKV21X08L|J_g^IMDo?W?#~dE2KIyIzM>FX9wc$o;aH z$V!5lUC84vvngD9ES*t{OUd2w>D^eF2YV-~Nj_2;+FHpqty(A=eEdN=Mx1WnX$i_; z(E`~a7-&xiX+-DNLQ9fti;65D88N-~tw|rWzWr*wGqBWO0T&u=(;Jbn=5GG6WnLrI zP$M-rlQz}R)Ww#hB%0olj3ttMNNiDRCX z`;l!Xh!BcLwcU)RJ2i0M|8{jN;51o#Fvvs>`A{29h3c6Cxx_?6_yJkp5Tk>AZlE(o z)@|=rMQWF_XkRh{ZjYANTJBo|FQz>nwUNcPwy`yNLC|+EXuT-@zO3Mw{MtbV7M8^Y zh(@(1BHF(pSo-_40)9I=@urRJ+>A-Vxo%1X4puBTh3J}$l|FL;F8lggL1(UXOf`T_;3CuyOsd>kGH1vXtsXIom+EuDS%qb45M*OxWeM+4hbdo503 zo6OTrg5^(mZ@9r9F7s63=tdb8e+XQq7x;EC0F(OW*OVBFz*vPpRln8Mr@5y;b*zck zhq4LIv$tKE2?}fz5$<$*@rZYpoA2o)NC_exZDxuF!6Weqvc7OmfGw+) z<7h$%9B0ka&*h%z@9X45n4h-NO~CJ_$kUjvpo4}A(jmexFtc0TD!I`vi5IG3eNCT@ zlqw!|hK1CcsBOtEu#_e;6eQOo3$NbqMubGqQ)$Q`qacwU%KO^A!6 zEbY3`(nBASG)XY`^|HCC*I3op-{}H&vOhj!z-+F2W4+_UfPTmme5x?+_+LNSgbckB zRC{K9G9N)bm@l@)yk!V>tw3jwK{a>;%E<^vi#%g4ys&zv%%@=Y1Bl^47@l&2X0+p$ z;Q8wY?3_S>iusS%nJ|-*Qd0;1QQd2rkLin7AqX@jn2^?jw7rE~~Uy?r= z?rsmtUZQ8H`(}c8a=${{ZGG7c-awX?QcrA36Ssl|9&?uX zQ_|+31s+j2I3}Ksv;QpO3UT=Qy4X=IsO@Rn4Ao?D)-elU|4ha(*#Zhxr}A$}87E}_ zl>1|VB?7z*B$iNB(o8~ZfpmZX{s5Ko8tmw%{({Zu@0R(7Lq&=lMvA!_dihI=XZQ0` zB22HvWmfkxM*fmGby=&uDt)APqJ`+m-~$x(_w9jP@W@BVL@+8epc{rp7J`05wkEjB z@lZU3i(`f`Hd(*7d1@}c6V)-7@z^7!l;4o4lH?~m%uMf#hzE)p!PBTv>-|)oSE31s zQh2vvshwD)&oM&b%G;!n<}NeIO#vfsi67&eKZ#T+nE{p(1!8LXbDOw&NyQhaa!emd zDRbZ{tV0muS{!2G-C+vr6o^>@u%v_oML~2RxfO#&Zamo_7}?jCXfC7Q53Wc;*jsK& z(lwbVImIIwpd1BReSW0jUO$>NMs^rLXBu?ZNzr$S|LJ_zo7ac?4kl9OcUY8B(01@k z);pDv{gW>$ew!>1kx;%XWx6JLy=_g_?Z~@oflcn$`4mfnQP+k9B9{Ro2e+=|Kl(Er ztSd~Vy1b!!J7l1VFie!Nb|P$(k#!qH+6Nv%%4)fSQ|irz zrWq(AJ|JkZ*?yh>Q)-|zJ=ljwH%HLzknpd24CluCQ$+YvM@T8G3z7#OH4OGq%*w~R zNR!u2X?_j)^$4LKr5Bt_4}M#s{oaD7l$UafG187uu|71{37_-VGE2bf=ts44QiSI_ zj*9?lU*baUFprN{fxpbEv;A}3O~-zz`aiR+R@<#)eL@rQ4GL}#Zdv3!e>t8em;G$7 zGRXwD!z}E@6ha2s)b2&BLd@BYI6DPDz9 zfFdlsJBR&Kii!YrM3p^C6jSodSaO)Ce7 zt+k%U-r%)FueA@HE~angr&emefTw?blQA)opnj3BmU?U{ztG>`8>-|l`ElUXs#&we zyvh_fT6Tip`%|U)27|>e(N|~hJdzbM>+O(H^n-Q*bd!U~i8!TVSw>k6c2A#DB{q*R zJKz7NJz2m*#RxkbrC52*IP$Tm5;hPkBH1%l*O(m+?a5Su zOdt<@%hl7rw#r54P2x_;u4?9!=`O$(9m113*e`6suimZdC6sSRy^`M__w3s&{dmAa znvsqp)3CFw$1_iAcBuBADxb2H1#z4}YVRHj*bLlq-G*`a%xkk4i;?~~R< z@K;-~RqrEPeV^__I0TaLjvm7bVS^R64eB*O_TT%uwr0YU86#AE^ZGS=VE?0U0_v}) zKznWKsAu(5LJ_TXQ06{sUM6{^T>d3}*HQ3ZmSFzqkUaeJ6zekHC^g7^?!#R+M(7!v z68s_zW)nnSaRU%7~tKDBIbZ|L!7XNope>+xjihsOHFTEkj-T^$VOjoLG}T@XGXJ$+%frAlj#bRX~$TA+dmnib}dbG-zZT~mXNq-Q_I?{ z3UY%L#>$=19?vGWMLsF~&6?4F;IOgj1Btm~HQ~$Z7ea~eQ`aBLwUn5sd*SwjPUYN1 z=Je6Z!!PYx_s^#4xtU~FI^Enil(EZhODmW)9D68VCVI!($wIGl2O_+7_`bNO2pNmJ zby0t6Z(Lq|Grr5oV}!EIglLsRZbhV`WZ_)*OxG>zr09cQYG|XTf5sBr zSNjTwXZUyVr#j_dcW!_3h{-1U-#@(lGk%KtSoE3tq=TwHWPKs_MtrT#vhiaPq`pN6 z!flFHCiNIFE{hYAF1_Ph&duPv-@A2dM~|Si9Ey1y_@$emL&=!9kpMO+?1L)GxnW9JnU)yP8Bz-Scb)=ulc&DU^-!TWZ`vU`fTNF>@B_)l1Q=305zLiYMW?HJM@ zQ_BrbX;fdPL(!ZH;4g*DTJ4(>b-OsG8CNrHCo{G9VGpmE%N803l%pz24KG|Jmp4kS zJT4j~fw2Jihw~a5SjSloB4f&;Ex!_pkJduPS)>6ad%cG$+n8q1$A&CZ7T7yl@O0uM zhYbC}ZQp1ov0l%S%iXK1*^wmqf=VH>9Ryt3gO}^D%_zwmCkTVC0&Rb{pqn*O3Y{UT zSZcKLHUGFY+hb4u+PeDj_$TBK(Q=^|8@uWx)+_LL)ivKH5GMj(V;#^3?Rt)l@g;`|oo|jC$C?zKC6$q(rlQzV!NEk>hlWJJ^NNG{Ewj+99)uS}Wy&X9DpKAu%#M3)S($9ExHvMo1R?Cvw$+VrHF z8aAoBIk`hNa~kVs_s8SBI!gn|6CJC=^jAu{?n)m0i^OAnWE(R?-L*%nY$&%CwQ=tL z!N+xe5h|M3!y-BVgJP%By7!cgXh)9dp=;=`_&d~m<5JFFz9S6KM(JN|)TwbgK{Xc2 zyH7Q+{ZVsBwE`#mgFecay07?QmBY@ZAdfJ3b2C)^AG4=skr38p>uJkbgv{x*QJ72S)RYct<#DDbTmsiPh<^Vn1C4hn~>Kt_=+~O<*vZ zjqR0sn{fNhh070uN)x)9x0Pgc$B_1e&4a52yK|3C$j?K8zhkMJ2WJ`1Ynpz7W`+XI z+D=XBA2XKhy1YgROIJ$z$NR}xWT0D-rS08$xKc1{Rhd#?lBt_xpWgEZcVmOe_VjwQ zhxtpK-E01HfYTj)NJIJ6hTTqNBD|8#v4Heya&DAV6G`U3$R7&##pC?Y8eJ50ve>o( zVjeRzdAt!c%lav=>Q-@4WE->EA;<5zI)NV2^E#)0kDi4N+dArQMOZM_8dSUSO=6iw ztu#?nG$=HG(8yC{113=~bQ~ugLfvm&{JF^1|7FOL5sggUV&Mzeg8#lYXYt&hhoie2 zfwQD+XHC^NM!no-^ki22S^MtVyq)Pb!mX*Am7=XB?y0m{a_9IDkuaYmLWaK!$jcuR z+#(xe>jMoLT8%sfMK$OpVl_v;R>_NFUC5XTT0}ZLD^@sh2i}((ojUd+GIj;*XR@`Q zGof+Ge6l>ZmG0DpF%DZI^Z@T`BL+2DGs}|l`b%6r;FMjcFYJy#8+~VR zrHpv4qtD%Veb=D;nW6I-!^rRRDa-wurOTguo=37Sq@e*=wj7I1g9M&IKi#1N$6EA- z+KYlu5{70Q*N6EpZjYib;#oU#Qomq{PJa_dM2;h=XP@UgHS9z3yq-)pE) zy`^mXK0hf?F8X5l5bYh2ql6cjjoBXdab6uJd+q@Sq}b?FzpWFYakM+hE!H!9OAw;) zl$H%wu57-}X09|wflURWGJZWW&%ck$#5RIKlW&IO|!#|fr?7mP}ihtPZsRW z=J3l;UKCgzDA^b}i9A^CcZt1~%dYh7&I{4|+c&xpJSvd`Y!xn3E#EL-X=REojjK+q zTW49l58UVx+JLlfUxrCN-4dRb7hSMPdJ1u^_|lVk zJnWnA!ufq2D9WNPXcKTgvOB)8z>9mGdzwmAJj=DJ^l+&_#U1iYIN*`U*qFB2@F0HQ z0Z={P|IkVuD4XY7{2{j^qd}|7DHaTl_TUJepBd6&er`=~@+T49m7SSDMa$Xjtl*w+ zw@i|$;cTA$hCt%OQn=aPudkl;6T6?a!?MZWmIuFd|u8HN;$?U~1)k)e8)r{5Yg$CgOjy?pu$$U)6ICF;iFh2f5EUJ=* zM9r8UwVaHnmw!b^hhvA8&U3NTix3sl_}U4x5uA!eZW!7}P~KQsAIzDNpUAd8nWnM; z>KI?ALvbq#2%nw@?%_FF_kNcE$v+^=!nd40I-bW374WeO(5a?Af+cU5$_Pf%$7U2V zR#CY3`Dm_kwE%P>9=mP;`CG!mZY9|t%E(rh1X5q6_Yht0`ySRmB{@cIN);4uuGPQ8 zsT9klvQnjIdKhvwQ&vM60*%G6k9Kl#vTJDF%@SdIlnv`71wLBdg&Dx0!>8QlDkaa0 zoxi=hi2Nd6anUCZujUMR@+soiV?wv;#_!56I7~->2;EC}Fe@2&NgNj^HopqGWnZU! rA3EFDmE)B8aJy?nY>!86BP9-Uwwy8cWHoTKgE5#7`u`jJJUsjl4Xrql literal 0 HcmV?d00001 diff --git a/38_decoder/db/38_decoder.sld_design_entry.sci b/38_decoder/db/38_decoder.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..904d0039f59656ccad3da4a679aaaea101b107b5 GIT binary patch literal 168 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXAn*h)R5U7Pv3`S6T1(dE}{Pq9;|9UnR5dZ)G t3dTw4$qY(QU>l_cxJE*v>PVwWsy1akCa-#P&h8P)q OXz*lXQ`6uDYX<<%9y(|M literal 0 HcmV?d00001 diff --git a/38_decoder/incremental_db/README b/38_decoder/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/38_decoder/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.atm b/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.atm new file mode 100644 index 0000000000000000000000000000000000000000..a62601d0dcaaf55e01793f782d6e9c6df67b8f21 GIT binary patch literal 5073 zcmV;?6E5tm4*>uG0001Zob6p(bKug!vss;=h){?PNK7nA$>bas!QzL?H#9@n?% z>+R%^>CHrVUd-3B7{6>jG@>!-XuflTu<$oqOD_p{Aj++IBds^b6Z|1YxX=G(^Yi2MX8h%G zB0OfI;}@~QT9>$he@|BrU~G_lnx=YRLW9RE;cGr9rb}dSti^H;Wj?QAXrzM$6+jw0 z?b5Utmcr9`w!RzRtkw%K?U%2)LdZZ+5Kh7>q{+zIFhs^{XwPE$AJiMu z%bx`yc$|!(+QsA!bj(bS`|m~FLQUM_yY&Lw<94zdPal^*pCjo%CbL_}`usRK zTj>4dW--Bo;9r8!5S5Rj{!tcXSrA*lNXjpw)*zHckAGgnI9@LW$7!6O|1nuCku&|@ z`Pmkcv%B@t|7Ducu%SS!oKQ_EAC=gRe%D1s=`8_~w5FS%`iWt|wmv zI~`b1U{+Q#TR(l7V2z+CNdJNgBT|dPi#((*%6X}bC~i8to%{*8lBOGqtjIDn9lVBU zx^n*4`T5=WX$o!trC48Y9_O=3YKWVM=`*sz!13awYxW&?lnm`Ka(oYD#Vn&^4;{-c zXS99aO*}hxohVMOe7}R*kR^B8?IaplmK{aiflFj`op^gj*YTXFXLl&&Lr(0NuJgOy zN!p_Xg(du~?G5@#+l=h2?VI|g0)xm7cM1e%7^56JkS*i0EE<+UW+k!Hcf2bk0hUOU z9B&Bi=`^y2LOxi@HAhimdY&Jfajr)chwv=e&H|yU(2T)?No;* z*-;F^7u6_fs-{WJrU4`RtaI&$o#fZpyh<#;W1|}WyvzQVH#qd6mOg55(oba?rWHFw zI{`C*5p&Hv%l1*GKZt_?bfMQNa;~Gq3*kR2N-Wg2#=r}0czS3TGGS-rwFl9Np4%~F zQ;&UVd#*^&iaNMWjt5ODy3d0S>?DEXMfCQf@^(}O4Uy@&UDu3y$Pn~wKkmW6F@x@w zvMu)$J!cR=y>?-K=u59-hA&D6PF8g;L3Wz&xuaat^1ZHeHONNI(6SsqaqXe);$9uZ ze$f`Yn?PP(6Uugnaa?pZ8h(!7HoZ@1$R$JHg)xMxzGx_kk_;P{!qz`t24+#zFogO7 zvqKbgegdP4RhtDvgj5Y0j-r

BC8xkoo z6anF&lSG#3ZAkhykr23Uve5<<@sf`3kz&~I+Yt((LEwjEOnmiU1U;VCqo ztQFW`rJzvQpwSKO&xtDbd%x$g&GyW;Yo~o~66KTWZ6Y1VW7HLq*|)netnJc6T|WdY zp^R*zZMZY9Lx_YfYGE9w;TQENE(PV|JQNvzjsO?Zhf#NXKDZIm$PaB|G)RD(slcxT z&q|}P-4ASN!5}0a@0-7ElQ5bHN#`k%v^wp?jQxJnb3h`rdL!XkLQv|rf3>YR>2=yp zC-Ff0#6kY}AQxHiPY{O)M{FcLp+`lTAMfPd{JCJamuVpLSkhS247ofMBv%dQI87Q~}ZPLK?Pu$qfJ6-HjyvCmXHJw{xe$`w{5eR#k*Ay*`q!Is9#{?y0|YwA1@jE# zbMQPK_?V1j8GqqmIV~wUO_g-KTs@>hUQk+J5|08@Hbfx^qA*xd7Wl0PRtGynO}G*m zghgNh<;^G!s+2skO=nSHTPX0DQ9p5FJM0%)(Cm!cnd*Uu&-EyBu18lyV8NBTmhHM^ z6pfO|xkAwZ5Vj2kI^L(vXMk3KIZL!N@UMaD0*sSsmXqW$3MWJ^^i1U1*9im&GQ>f& zX#3?xz!0@V+>CPAMq>c*p_&@Gv{c^;D5)5|#121Lz&Tr6z zm}M0%RnE&;RweUgpyTg&JS+P78Ni2`dE8(IKhdZ6v&rrG*=7_}vjCrqCxEH_GzvBd zE@KYdI7M^Q9bks!0ThBj;A2w~K^M0T$GWx?VOu!^JQ>smFD>x;rVJ^&zD6;JzwLV&prQ0o-gn1`N$nk?)eHhc9Z7$I^p@v+MX{n z>iIhNeD3*2@_c1~&zBkSd=(s9qj|nTc)nKK^I4tYGq~q-&p(pqtNVLC3p0F8q2W+x z_*yTX@4U?L-Hm7S^=b(vPgS)>C}4HQuf-z)j|4}G1kJr8!NC)LL(AGT4q&aehXD<| zocd~C5{WnIVXC??7jM$>d_ZN@Ub%>PGYL2M#k;6VytVJ6Q~< zRSJepMb-blT2`S}x|XBEfLf*A(nL-B`)YN*-zaaoIK-okDagv z5=(C69U8!!y8^hpD}YPoSGlf*w^sZnB_loY&~#2^if7j^yKHO5_Hd2I0p6~X8K!SW zpOU_bI^qK2&!qs~iau2M5I>L)6!t1TA|ko`zmmKkI#+n}&mOhpBG4!#(oh?h4MjFu zT7$^UyY`|XLbiyqO6Y@~6FC)>aw!CU6yvR|Nah3Hp@MemZ0xex1yynZL3H-2RG^B= zVHLd&R543po6JJh0Wtjrsx)Sys#OQ7bPiPcAAUldi@;6Q)|s!jw@1reu-B6o;w0 zFs1DJMI};TYS$Eb-CtC#3~(d^KIFIhMOCeZ22+xFN}N&){Go0FfFBf_IHgc=s#OQ4 znB561F^f|NM7{#2Wcik|&f>X^M zI7Rt6g<{v6!4#T{leHX0)Hi~uYAsZlQcn7MN-OY(y1`1)D>&7p;*?YerzBQ!N@o_Q z>a3)Y4Q6rbfFB+&IMw1f#c`@GPHDS-Pf>76dPAJjbMU|ua;-PQscJ3k{hZPZ_@V9w zl#B{YX;he!>%bJN`-2scRhTm58Zf0utiqIf0Qwd%rO2$ply<;uCWoohz?8mg6$K5a zcJ1b_iBk$f4@JsgM0ulWKT0hO{+==l0HPm6-KqeUP6aBZ4p1qq0+qrnP}Q0DQ&eVw zO05G_O=f|Lzn}VUfXdhbR0?h4PkBS2LT`?gW)2~5H1S8Ng&ClN0XUPTZPz5oq7axJ zkRfkLAW(@u$%h|#1(H}jU`09MscMqgJYY5U_q>r3-HHSL8`xfCmh0(7k%R8|*i7EG-D_V|kQ}nzDu^P^BHV6ijwqlsFKCdg-NBcmiDx` zr<}AY7h9CkR4FF3ueL}*FLyp~evitEbbJD5a9R&t9u)PQZu}a`NIQ41>bqb@E^mNBF#s*`!UDTHX_tsZh)}zRe3;rR zl-n}WB#;LEh@3(=6_Zz%M!Pf@{w`IsB`>dF+oNgG(Z~aQ+*QFV5@|KB3GKMHjpkjAwjcKr zyK`knL=pKFbUFUyhbyJm$PGf|!B7wUbA_JvFtupNYTqpCvf|=lzuVo;n*4&)WWy3C zbcNKQ4VrILUXGOHT!V9se?HgHw_WKCEF|X|)RSiD_aN-WHCWxhugWLn z8k%yz9zo7EIM?7@qrx@x3fGWDgGI6-bF#t7#*afb3?LKKbAy0}}l9hzTh z*(`2g!N=R9%PsK{jp_MU$_FY?QVxcaL|xHU$w-+$gz>VLM zYhfGgNwpLa1{L*i5y*L=TD0RuF0zZm@pY^%e2EbHXy%7t<5NcQ!EN=a78`46!6?Ov zD}+f7qFQWdM4?(uPPI7I;#BK9rdnue&gQJv9-ENfoocbYFql&xKhCgot`h<;G5SpEH)VtY{zHq_#jgHsMpISR^AJ=RWU zk#ewoT0f^8oN^qOax?*R-C-wcs?Q&1mc4~~7zI9W$kxGWRb&OHH(#pcHv|d){gZG% zyJi373g4c^->z`pLFFCQBkm+7w}Pu8)69eK&tmxn%im!jr`x9WFr6&Mi<^h9!hsZFw@k6W&d>iJ?21NpTI9`Y literal 0 HcmV?d00001 diff --git a/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.dfp b/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.dfp new file mode 100644 index 0000000000000000000000000000000000000000..b1c67d625638bb473b681fa4acb00be38889ece3 GIT binary patch literal 33 mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.hdbx b/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.hdbx new file mode 100644 index 0000000000000000000000000000000000000000..71a961211af1ed37d26c4d931477628a325a7471 GIT binary patch literal 1602 zcmV-I2EF;L4*>uG0001Zob8$2bK*7-z@Nikq47(T85;Zn1}<|C1e~0EfL(0Tp)Vd| z%S5feMz(X|ZZ3a&SMmphOVVq4ZKsz!FyPf{wfozZkgQGzjgxds$>uso$Kw+u4TsW? zvM3JGD9kZ+p_!%$b#s!$txgB6l7gZl!zc+J(D)%kKF-J{MtPEiSsR7PhIl9_VvjXv zh?#I>A0_!UrYK8-{DVs|7gasjPm*V7G&4<OHWH{PP8$kQT60SR%2 z0-8jnG(a#03KEJp35hqn_c4jRu<+TVk8g;FQA(2yb)$%h67YybMaV-b?Vap~;jU*{ z;t@B;KJpWj%Fva>FjiDPvY7B~N^zEjTa*>+->{c+3wgrb0&r2rO?ye~lM+tl za)s3_JwsD)GX~(Sw^gh&kCK!RGTKm#nG5Z@%M5Q^$Oc9i6{UNf_w>QtG6Qa!hQxE% zAx2@P>h9DUT5WFN1Ie!eHfTnqjJpjEyE)p{ML{wKoJWx*U^6YCG|FOO2KHf>DJ`>* zp~#Jk!1eNi0^0Sq4u}B)LtMl|D8-C6l!#k^Ka_lA*&ta?$-2lBnw=tKhS-H+DGngU z3OUc;F5D(e?r~61&cnyKOTz55#k6m5>_gjIB$VR{Oz1=Q9gkvO1aA=%M5QD4JF+Ot zNF2VAlsBR(v~DoX*o^tqI;w(3%+AjR6m*dxT^G(;M?n#WPNm6Oud1KJQxTmP1j3e; z9un1##O%YAv@qpGYr5X=NJiFA=oh?3T3J8LVr9&Ui)f9x=U|I9teLqzabteh$O20KLvgf~Y*80r3vClODVAO-Ja?-bI!4_T1NDvsA*o`o{ z9w0#%h1L-Wj`D5Fe3%=H*=PzQ90j4f$v|>EHfE+i)fUb~x5mbmw$LVRq|eQz-9{G+ zW9C@KaxvE0$XsZSwVK=SG)uSO(XediLbq*we%VITu{NDn!ab!c-O_Dl(3(yhdt{oU z^Qm^i#$W1luIcbG#>R4PgEt~;%DihFxlZGuU@r8TZtGW?W3Nn2Xd_`fvcMT_ZgDY_ zyw@~S;FdG$Ibq_J>jhv}29A%t#K-h>?FYw-+(wE#Xd_4j{OWd3ST;V_(E0#PdWH4? zG`TBQ(Bz(aADRl#sM`Z$msl00{2U(!Fj38wZ({|`I}{>PuLRv z4T@?b{byNJ)ckO-ejC{mSsCzUsY_ zs!1vJiZ1mIR&<%I=;|w}iqxQ9&?WI;L1&!$ube7Q-Fi7cd|9W!YJNAeCdS>LvZ`Lr z9c(7QcK7(Nu-IiEmfpMR)x_#`(@s3rz9PIj>;H@R6xaga#bfKcNn7Rr$aM6%WrM6S zwY0!7ZEiR3Ilt=V=abWQG`4kP?wAG(Xki%klT-JL*k+^g!njz@ODlDJmkVQQHcw4# zIL=AFb$HtDO-?oq*)h(IJ=`=4{Boz|X6$yCUz?pzF2M1rhCbc6VS&G#;KCWdLv;wd zC(ESjDIkecWSBY?SfRF4tMf*RH|%UyiM%9A;oIL6xv?8=KjQkwILq1S0|~3WwKtR{W$k(XKphVIQa|wAp&G0M71v(5QJR7uv)&75OnLdlu^+UX(f;9AjEtJwA#;e1t&nK>|-i*y6W# z5J8G#AIBcQyW7Q=Nzj*Rm3RJ55fdnjsWE;JlVEhY7(vyK-)Xavt&iD6(d`xb^iaK_ zkgTaow@m&ap}&I!2LbkSa)Wnu@}%J^iZ88KmAL;uB=FFxi^^RVtBcA%0Ft~@C3)Bx A1^@s6 literal 0 HcmV?d00001 diff --git a/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.kpt b/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.kpt new file mode 100644 index 0000000..c1e72d7 --- /dev/null +++ b/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.logdb b/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.rcf b/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.rcf new file mode 100644 index 0000000000000000000000000000000000000000..9615120d5946e70188e643afbf8b316b330f7b59 GIT binary patch literal 754 zcmVuG0001ZoV}JyZ<|06$Is?djN(fxMb18WI?BNzjzr~0V&~-(!kDC} z1R{(ZMOFIQy9>k#uy#@o>x(rzF#q4o&Me#Qc43xmvM_!CJBim>>Vd4anFBz>ZSg@(q-@8reT%^F(h%n!+zr=hOw6dG5}e9O#4U& zf(WTRyL`!?y$RAa3kOyY!RaQ9RzRo*NI$~H5kbg;d651N{QxdJ{}&LL|A5N+?AJk@JHz|O!v8vb(b%20tc5Hmd9odj#CvrI958V zAlEyA;RUhHOM*<>JXT&=^zb2OQDg6sdqY+#jQ%1nFdDk2*XQTMS^o|%j5%_M#sb-D z{|+rEH!(9uQ+qISoypa3W=|)Rp?$^O8gr|Nxt*zdHn9!VFFWsVKncHG+ zt(I)QT;C7UHd|HPF(om|)4|BtxgXq5t;T+sb6O*$Z3#6YA>B5NS@Q1YBf5aE-Y;rf zK3!bFDxkWxF#gytsAvIo2R|-a0M{EnU$0kKYny=~uJG=nZWF8))o2t||8FEGKOoAu z&V+|m%MC8-rIl9XErW=?FyjBNxOl~+9>PBFN8Yz{zMvimp$Er`(pjcn?EhrT?DP30 z27!tuMa7V!qDoPrQdEc(6)Z(X|3sxReW)>gMtM8=>bz0BZ{G4lWkuG0001Zob6p(Z{o-jeolTxicF4O_~ISgc!-v3^R0;PkWO+s= zv%7FaZr9ThikAet7*5Azwz>}%WI4NC{W)9&yeYl{{~NBBMC;i$nGYAMDJVwW;KgZI zk>+svNM`HRe7z#K;Urj++r{i5YP}^e2DzOrg1cEbz2jGZ38$mUdW>(!!5`r$AoIoS zZZUj#z@`~k6h5pc+?A-muTR5p)0fNHC>*YWF&WQB>xW>vij?8fa0+8RL~qfj;IH{2 zSS}}zWVycicQ9J<9#%7M76k5L$-5rSrsFUQPHb`zn0h5zhG_ z+T9`u(1f$(l3s8(gxLs4H;z*7;*36+8_mFQKA(i6;msrth9q}gaLez}Wh7=jD!Jb9KZ3;)!SvtLlPxMIx9iEokM&S^75}&OO(N}e2=P$V z8jYughq)49mak6)Ahqmz&(Plp#26r%e1DQr!sUG zB~OE8x(lb{;4hHM^+r=wR7C;P0W}%Z)zg1YPj810A;187(H}>X*))g(F}e@u7==DF zJ-@5bm$e+X(~TtjEj?)PP7tP0YLkdpecaP>@5E3~k{0nrT{|<|Tf(o&(oH>~v7N za5N7h=zE%TK|v*stP84NzNWe`9CbY?zBr;>uh#2wt=5E$KIvRrPRIZ5X&1h3btsPT zR}u!>v7q}NTI%;AVa0Y6KTMrk*wQy03gNh-om6t|`lbVab=TKjv5wOjnC-qh5KB9n zr!_oF-Y%8IBDb@}roIVt*70p-ZZQZuePKMXMiEQ9hUQ*kG{j=dyM$cP?CzGnb>qht zi)|Q}rh$j)Gdr5|&i);h1K!K7?xV2RKj-Pa9Ehk@7?b1esC zKP9l|0OZmPwza-z`3@#J2Vc_cP=g|%b@&IevdbJdD@HeT!^yOG&;@|5YUz|okQDNdU1u`B9B0HA)Q zF{pGM`m0}+dY8W^6@;2v+n~`TXqo=A?d?oEuX;EauGXVn06xuK)U_O_*n+gm*v4n` z+JSmwpoN|n6*-(z-V4gP3^Vnc3)MHe80XuxpwOU4mP0e?5KF)JO+6}fv}aQozVBqD zJ?*#c8o*VvXsM=m+P>ylJ^zw{f}>v!$XrIUvfAIN?)jIUHtYB%cR>8V9eGQV* zgp%Oq42U?z>aZ@07*Esba|Nmc@_#Vfzp|a@QiLgFU6<+6?8k-YNeia}u)}&-xGoLM zmR=iP`aEPmJsHc_08qRD5*m~|G{(0rmwCxz0KzhzjRVq#YoQDce2$x`L@I+u1;a9% zhtmlx4^L0v&B;tASfs7K7b%?Z))Tx4f`(NNk`X$Nl~4w?^CAw>$q7t+X4A%b*$@6Jre?lS%4)T(U%AiZg4%%dCvB+;Ga|c6Xu)@ zBPW@exQ8wz9Yy2$-oVDbp#VVvgo8 zHAi!MKJ%#4OT7@qncErp!L#Rj;Iiw%MW&|kK@FW6*>xL))MXb~2w?fqh7OteWAhpy zaR_H_U1wX@u$BbU%(m&wPs$T-a1EFl*Pz!vl!t6}gIdwH@4Z zT|?Ar>9(NNv+RhBQ~MAQ(V{TUIt)_>}1UJ&VHz z#G%D_>rdep81C!N22e{P)GHa(OZ%d}RZ!F`CF)DmzYpr=ol)QVeAFudYFUJOHG_J2 zU)0M*C404meF^*bfxWUb?BxQmy$Y~aM7XbJaIfr*`+7lfUoCN8;{JVbukMcf`sd@m z3b0m1xUXe!ukMZeWb_pH#C?hT_rZN*cib0XU$03jOt`Ptdcr+>+Sj`sPG{@Y5<3oCXC#yre5vCL zzExCwsp3lmUR3Gp9>t^nFiD6o zSwdhTrsNu83g0R+zVNqAgqX4q#0s8J?T9IT__9T6kqzv}$Qh8NgEU&vi zS*N^mpRlEV@~P+N2jW=tH1~(!(`=I7AoC?Wt}*al_(?yFQ0@v;PXFfgEr(t3gBY(5 zl4yWQs8Oj_&MK0p&Q0u6l$;7tD?x-s&8O*`1OrK7}iGe;iYJWi9?Du8`8r zRKDF){TQ+kj3Q) zHKy480bb>mtq>9#2QiURwR8dmJYgDoOGvCT9XFK0U70slG^M^A&Xa`C#N&%>%mQsN5F?Lv? zZc;^6L>09?s6v$rN)?KHlvJS{=eC)mmQ+zv#cNct`!NA5EALJf(PKqQT*(#nW2izf zijXSQ!+us#PdPw%^g(VsRWp4^7R% zUZaZLPa~!aoh%1`=aD`e>u27|; z930758DV7%oQlkK|q< z6}9P)gAvfjqVhAw4X`;KJ_O6CM&f^oX*oTpz4y)BA;Dz+z5ol@%s>P(SGS-r)6N;; z32{cc4jAZKeMhHf#D=2m4ovToy39Qz96Zo!;g@|sHD56oLI_>pF&a;^ZLIJ7@Dopy zje~={4X*b2NJ);xB;m#1%AS7NAu^19Nqd?fSD!Bx+kx!n--+@-{mAc?$RyH2(t=^`=x}YZhkOQi`oFJl5D1w~G#OOnOZU~MT z9Moq)Y4~WLn}UaB59+gkAwJsYmf)$cgZkV$xX-F2czofIKC6P#_R&7ef{)=2>a%=! zpB2G=@pphzCMafyU%XXwzb5ecL5Y5t z;IpGr&wbZ=0isffg?=;lP1FU5N)c|76)E>Uy`LWy<%N5p!$n2OeT!)Uq9UNz!$+l> z`;Nx~M5PGTwwn9#y3Y^F=fnj5T-us<8E^2WVc^^96gPY0y_20bR5n7QML!YAca43= lvb>j;Y0q5gX}V)|)8Cnl-MMhAzMZ~{UHRwq^#6^Ek}=ox%z6L- literal 0 HcmV?d00001 diff --git a/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.map.dpi b/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.map.dpi new file mode 100644 index 0000000000000000000000000000000000000000..b6b57a8b4cc35f1fe2faf2ecf6db8bb3b3117b43 GIT binary patch literal 688 zcmV;h0#E&{4*>uG0001ZoaI0chZc9t)m(joI|( zbEk2M&(Mkp$)w^$_zbAu2awtc_RK?v@DCsXUm3Hv@$qB&1l@BDT*r)iz-V3otp)Wq zG&HRX9;jF|mja8pFTel~x8MhLfaxWf5X{FaW?_LJX0CxX1WE~l1++LJT7*K{ixrUz z{0G6jStR;o$@m8M=l#+6-W(1Q+#*HV+kW7?Z-({##xk@{zk^@`?F3_-Mnx>c%W&cf z1$$-z;dZjNX2Rd%{ja;@h z8Q+@y>A{@Di9#OBZPdY6xDw${>dFYd#%crO2!5;s?k=e~xjF%7jv!)#e}&=&!FTJx z@~7t0;U&8Z>b^wr5_fdkx^UdCp*J1Z^*XI?x2?B*mvmdc-rnt3*WMRsaYwk8wdevP%{4~<&#S@6&5_5VS=e$wi-Q&vB( zr~X(E{=8o6AFcd)@4cgy-#l&kUrt;8#c9iL9i#jdulht*-}c-SG^mDmPgH)9O literal 0 HcmV?d00001 diff --git a/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.map.hdbx b/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.map.hdbx new file mode 100644 index 0000000000000000000000000000000000000000..748425179bb019ff1a75d26a0f0c57c66198314a GIT binary patch literal 1527 zcmVuG0001Zob8!gZ`(E$$DiX*LFi=(;KjOGwj1=pagNw5Xmi{XdB(jj?x{y!cv6xr#ZQJQTj+1%&od~|`7!9e*{ zmE{2%MmeS)6w@rFUQW`a)#;#BT2NFljMDI#8ow|UU`93x%F{GrZ4{*&;-j!gd|u2D zci|-gO7nY65lh4Ti$}3ARW0}<$r&0>Y#U`B%@e3ty5Wr*S7l8v*`l<_vm!?!i7-PU zP2iTk7rSmm=!~U4 z@p2rXAoYtFCwW;Jnvw+iipy872|s2OGZt+TE7pHuKNlYIRD=cKVkTVsX%dhUP8D*6 z)h;7LV+b<=;Jmd{teH&L%8J#cv%(^-&;o* zMO9UASFNGd77o6U{2pLK&6t$B+YqpqqivlOgmK_P1xvwaRzPZ$$-*7%!!C!k90i7A zFDXLL&kG7@*V|eU2Lz6UNk}NooHnG0S3o?JeC4A-SWd~h$WzKL5waufLAMl#ps_+O z#&0LLktre$3o3X7IQK}zE?d0z15N@cdyk~DUx^z77=72LSR}!Fgd|z($i0p#t16NQ z?-cEwtV^v2Oc|ds-&$vtXvF>eUO++@3|W?R)jA7{C~~Wsy!5Vm99+uix*#B1)w)PF zItup>Q_{gyB(3fFpOK23-_Rd;jm$ECxW~$wn-uXHi^#zjFIaPTd*;Udu8<4kMZ5m? zJ(48Ak5H@_y}qVus>G#bKjoxv)rKv)SCJ%fGKm*sbl*pk zB}=U{Fr4MvjK?sY%_qY#^l%nN-iCqYd^DTb*4Ui8H?R++I zo!N3eGTX?Wo368(Eld8=_Zm|VFexWY0+XuC6(+T7JjG-H zCiR3#U{Z9w!lW4TQ%s7CB$BXPdE?9j*d_yMF+_KEt~%T&!)?{Xa;aGWSDQd z+ONgaOeD6j=vy>TCZgrwBIT#-@-2Om5NY;acE ziBwIBfB2N7^uujEY#&zoiBv^O>FYHq`QYnNg@4Yg?uk@QN~>3NrF*cVt9(T_PNXVQ z{dz%HKT3EBG zYtOju`E0g$b<6k@g2`|+pWQB}rI#ARhxu%2H*XCBoa7|mx?Q*SF+D~0w6jHq5 z``^msr*Q_q!Jf%WylDFs*XQ9;p%}VhDBW&fKd6z?K%A!6_BiOe0oh=d^WOUkHo9wn zpeowh_k+GM81$50=z9YrT!#j(qw?Y`gE(jU)&1p^f3k6@>=C>qFW>c z(qcRteS)+fewYs-&qp84$#7wf_|&i#EA;KTd_xggQ;%-B{Yy$eg9V2n_H*)pcX{%v d<4VQP-m6U9pX-v4R$YPYMzOjA`5PU8Rak}J@u~m- literal 0 HcmV?d00001 diff --git a/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.map.kpt b/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.map.kpt new file mode 100644 index 0000000..43f4226 --- /dev/null +++ b/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.map.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/register_8b/db/register_8b.tmw_info b/register_8b/db/register_8b.tmw_info new file mode 100644 index 0000000..15a6255 --- /dev/null +++ b/register_8b/db/register_8b.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:05 +start_analysis_synthesis:s:00:00:01-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:02-start_full_compilation +start_assembler:s:00:00:01-start_full_compilation +start_timing_analyzer:s:00:00:01-start_full_compilation diff --git a/register_8b/register_8b.qws b/register_8b/register_8b.qws new file mode 100644 index 0000000..fc216dc --- /dev/null +++ b/register_8b/register_8b.qws @@ -0,0 +1,4 @@ +[ProjectWorkspace] +ptn_Child1=Frames +[ProjectWorkspace.Frames] +ptn_Child1=ChildFrames From 9ff3e8947f5d6f1c9fd07d7d3abab79269cd6f41 Mon Sep 17 00:00:00 2001 From: juzeon <812312770@qq.com> Date: Mon, 7 Mar 2022 09:53:45 +0800 Subject: [PATCH 4/7] README --- 38_decoder/38_decoder.qws | 14 ++++++++++++++ 38_decoder/db/38_decoder.tmw_info | 6 ++++++ README.md | 10 +++++++++- data_selector/data_selector.qws | 10 ---------- 4 files changed, 29 insertions(+), 11 deletions(-) create mode 100644 38_decoder/38_decoder.qws create mode 100644 38_decoder/db/38_decoder.tmw_info diff --git a/38_decoder/38_decoder.qws b/38_decoder/38_decoder.qws new file mode 100644 index 0000000..4e30f11 --- /dev/null +++ b/38_decoder/38_decoder.qws @@ -0,0 +1,14 @@ +[ProjectWorkspace] +ptn_Child1=Frames +[ProjectWorkspace.Frames] +ptn_Child1=ChildFrames +[ProjectWorkspace.Frames.ChildFrames] +ptn_Child1=Document-0 +[ProjectWorkspace.Frames.ChildFrames.Document-0] +ptn_Child1=ViewFrame-0 +[ProjectWorkspace.Frames.ChildFrames.Document-0.ViewFrame-0] +DocPathName=38_decoder.bdf +DocumentCLSID={7b19e8f2-2bbe-11d1-a082-0020affa5bde} +IsChildFrameDetached=False +IsActiveChildFrame=True +ptn_Child1=StateMap diff --git a/38_decoder/db/38_decoder.tmw_info b/38_decoder/db/38_decoder.tmw_info new file mode 100644 index 0000000..15a6255 --- /dev/null +++ b/38_decoder/db/38_decoder.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:05 +start_analysis_synthesis:s:00:00:01-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:02-start_full_compilation +start_assembler:s:00:00:01-start_full_compilation +start_timing_analyzer:s:00:00:01-start_full_compilation diff --git a/README.md b/README.md index 72d5852..81fe87d 100644 --- a/README.md +++ b/README.md @@ -8,4 +8,12 @@ ### data_selector -8使•°æ®é€‰æ‹©å™¨ï¼ˆäºŒé€‰ä¸€ï¼‰ã€‚ \ No newline at end of file +8使•°æ®é€‰æ‹©å™¨ï¼ˆäºŒé€‰ä¸€ï¼‰ã€‚ + +### register_8b + +8ä½å¯„存器。 + +### 38_decoder + +3-8译ç å™¨ã€‚ \ No newline at end of file diff --git a/data_selector/data_selector.qws b/data_selector/data_selector.qws index 42962c6..fc216dc 100644 --- a/data_selector/data_selector.qws +++ b/data_selector/data_selector.qws @@ -2,13 +2,3 @@ ptn_Child1=Frames [ProjectWorkspace.Frames] ptn_Child1=ChildFrames -[ProjectWorkspace.Frames.ChildFrames] -ptn_Child1=Document-0 -[ProjectWorkspace.Frames.ChildFrames.Document-0] -ptn_Child1=ViewFrame-0 -[ProjectWorkspace.Frames.ChildFrames.Document-0.ViewFrame-0] -DocPathName=data_selector.bdf -DocumentCLSID={7b19e8f2-2bbe-11d1-a082-0020affa5bde} -IsChildFrameDetached=False -IsActiveChildFrame=True -ptn_Child1=StateMap From d39a6bc50a97e92e6715e91e1c5fdf94dd986135 Mon Sep 17 00:00:00 2001 From: juzeon <812312770@qq.com> Date: Mon, 7 Mar 2022 10:22:43 +0800 Subject: [PATCH 5/7] modify adder_8b --- README.md | 2 +- adder/adder.bsf | 211 --- adder/adder.done | 1 - adder/adder.qws | 4 - adder/db/adder.(0).cnf.hdb | Bin 1270 -> 0 bytes adder/db/adder.cmp.bpm | Bin 673 -> 0 bytes adder/db/adder.cmp.cdb | Bin 6286 -> 0 bytes adder/db/adder.cmp.hdb | Bin 8241 -> 0 bytes adder/db/adder.cmp.rdb | Bin 17244 -> 0 bytes adder/db/adder.cmp.tdb | Bin 5803 -> 0 bytes adder/db/adder.cmp0.ddb | Bin 59317 -> 0 bytes adder/db/adder.map.bpm | Bin 656 -> 0 bytes adder/db/adder.map.cdb | Bin 2132 -> 0 bytes adder/db/adder.map.hdb | Bin 7920 -> 0 bytes adder/db/adder.map_bb.cdb | Bin 743 -> 0 bytes adder/db/adder.map_bb.hdb | Bin 7177 -> 0 bytes adder/db/adder.pre_map.cdb | Bin 2341 -> 0 bytes adder/db/adder.pre_map.hdb | Bin 7950 -> 0 bytes adder/db/adder.rtlv.hdb | Bin 7966 -> 0 bytes adder/db/adder.sgdiff.cdb | Bin 1696 -> 0 bytes adder/db/adder.sgdiff.hdb | Bin 7979 -> 0 bytes adder/db/adder.tan.qmsg | 6 - adder/db/adder.tmw_info | 6 - .../adder.root_partition.cmp.atm | Bin 8870 -> 0 bytes .../adder.root_partition.cmp.hdbx | Bin 3314 -> 0 bytes .../adder.root_partition.cmp.rcf | Bin 1605 -> 0 bytes .../adder.root_partition.map.atm | Bin 6004 -> 0 bytes .../adder.root_partition.map.dpi | Bin 1311 -> 0 bytes .../adder.root_partition.map.hdbx | Bin 3202 -> 0 bytes .../adder_8b.asm.rpt | 76 +- adder/adder.bdf => adder_8b/adder_8b.bdf | 1204 ++++++++--------- adder_8b/adder_8b.done | 1 + .../adder_8b.fit.rpt | 70 +- .../adder_8b.fit.smsg | 0 .../adder_8b.fit.summary | 6 +- .../adder_8b.flow.rpt | 30 +- .../adder_8b.map.rpt | 72 +- .../adder_8b.map.summary | 6 +- adder/adder.pin => adder_8b/adder_8b.pin | 2 +- adder/adder.pof => adder_8b/adder_8b.pof | Bin adder/adder.qpf => adder_8b/adder_8b.qpf | 6 +- adder/adder.qsf => adder_8b/adder_8b.qsf | 10 +- adder/adder.sof => adder_8b/adder_8b.sof | Bin .../adder_8b.tan.rpt | 12 +- .../adder_8b.tan.summary | 0 .../db/adder_8b.(0).cnf.cdb | Bin adder_8b/db/adder_8b.(0).cnf.hdb | Bin 0 -> 1276 bytes .../db/adder_8b.(1).cnf.cdb | Bin .../db/adder_8b.(1).cnf.hdb | Bin .../db/adder_8b.(2).cnf.cdb | Bin .../db/adder_8b.(2).cnf.hdb | Bin .../db/adder_8b.asm.qmsg | 6 +- .../db/adder_8b.asm_labs.ddb | Bin .../db/adder_8b.cbx.xml | 2 +- adder_8b/db/adder_8b.cmp.bpm | Bin 0 -> 674 bytes adder_8b/db/adder_8b.cmp.cdb | Bin 0 -> 6287 bytes .../db/adder_8b.cmp.ecobp | Bin adder_8b/db/adder_8b.cmp.hdb | Bin 0 -> 8222 bytes .../db/adder_8b.cmp.kpt | 2 +- .../db/adder_8b.cmp.logdb | 0 adder_8b/db/adder_8b.cmp.rdb | Bin 0 -> 17257 bytes adder_8b/db/adder_8b.cmp.tdb | Bin 0 -> 5808 bytes adder_8b/db/adder_8b.cmp0.ddb | Bin 0 -> 59350 bytes .../db/adder_8b.cmp2.ddb | Bin .../db/adder_8b.cmp_merge.kpt | 2 +- .../db/adder_8b.db_info | 2 +- .../db/adder_8b.eco.cdb | Bin .../db/adder_8b.fit.qmsg | 12 +- .../db/adder_8b.hier_info | 82 +- .../db/adder.hif => adder_8b/db/adder_8b.hif | 18 +- .../db/adder_8b.lpc.html | 0 .../db/adder_8b.lpc.rdb | Bin .../db/adder_8b.lpc.txt | 0 adder_8b/db/adder_8b.map.bpm | Bin 0 -> 663 bytes adder_8b/db/adder_8b.map.cdb | Bin 0 -> 2132 bytes .../db/adder_8b.map.ecobp | Bin adder_8b/db/adder_8b.map.hdb | Bin 0 -> 7934 bytes .../db/adder_8b.map.kpt | 2 +- .../db/adder_8b.map.logdb | 0 .../db/adder_8b.map.qmsg | 18 +- adder_8b/db/adder_8b.map_bb.cdb | Bin 0 -> 743 bytes adder_8b/db/adder_8b.map_bb.hdb | Bin 0 -> 7170 bytes .../db/adder_8b.map_bb.logdb | 0 adder_8b/db/adder_8b.pre_map.cdb | Bin 0 -> 2341 bytes adder_8b/db/adder_8b.pre_map.hdb | Bin 0 -> 7951 bytes adder_8b/db/adder_8b.rtlv.hdb | Bin 0 -> 7975 bytes .../db/adder_8b.rtlv_sg.cdb | Bin .../db/adder_8b.rtlv_sg_swap.cdb | Bin adder_8b/db/adder_8b.sgdiff.cdb | Bin 0 -> 1698 bytes adder_8b/db/adder_8b.sgdiff.hdb | Bin 0 -> 7997 bytes .../db/adder_8b.sld_design_entry.sci | Bin .../db/adder_8b.sld_design_entry_dsc.sci | Bin .../db/adder_8b.syn_hier_info | 0 adder_8b/db/adder_8b.tan.qmsg | 6 + .../db/adder_8b.tis_db_list.ddb | Bin {adder => adder_8b}/incremental_db/README | 0 .../adder_8b.root_partition.cmp.atm | Bin 0 -> 8873 bytes .../adder_8b.root_partition.cmp.dfp | Bin .../adder_8b.root_partition.cmp.hdbx | Bin 0 -> 3327 bytes .../adder_8b.root_partition.cmp.kpt | 0 .../adder_8b.root_partition.cmp.logdb | 0 .../adder_8b.root_partition.cmp.rcf | Bin 0 -> 1606 bytes .../adder_8b.root_partition.map.atm | Bin 0 -> 6006 bytes .../adder_8b.root_partition.map.dpi | Bin 0 -> 1315 bytes .../adder_8b.root_partition.map.hdbx | Bin 0 -> 3235 bytes .../adder_8b.root_partition.map.kpt | 2 +- 106 files changed, 829 insertions(+), 1050 deletions(-) delete mode 100644 adder/adder.bsf delete mode 100644 adder/adder.done delete mode 100644 adder/adder.qws delete mode 100644 adder/db/adder.(0).cnf.hdb delete mode 100644 adder/db/adder.cmp.bpm delete mode 100644 adder/db/adder.cmp.cdb delete mode 100644 adder/db/adder.cmp.hdb delete mode 100644 adder/db/adder.cmp.rdb delete mode 100644 adder/db/adder.cmp.tdb delete mode 100644 adder/db/adder.cmp0.ddb delete mode 100644 adder/db/adder.map.bpm delete mode 100644 adder/db/adder.map.cdb delete mode 100644 adder/db/adder.map.hdb delete mode 100644 adder/db/adder.map_bb.cdb delete mode 100644 adder/db/adder.map_bb.hdb delete mode 100644 adder/db/adder.pre_map.cdb delete mode 100644 adder/db/adder.pre_map.hdb delete mode 100644 adder/db/adder.rtlv.hdb delete mode 100644 adder/db/adder.sgdiff.cdb delete mode 100644 adder/db/adder.sgdiff.hdb delete mode 100644 adder/db/adder.tan.qmsg delete mode 100644 adder/db/adder.tmw_info delete mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.cmp.atm delete mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.cmp.hdbx delete mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.cmp.rcf delete mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.map.atm delete mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.map.dpi delete mode 100644 adder/incremental_db/compiled_partitions/adder.root_partition.map.hdbx rename adder/adder.asm.rpt => adder_8b/adder_8b.asm.rpt (83%) rename adder/adder.bdf => adder_8b/adder_8b.bdf (87%) create mode 100644 adder_8b/adder_8b.done rename adder/adder.fit.rpt => adder_8b/adder_8b.fit.rpt (97%) rename adder/adder.fit.smsg => adder_8b/adder_8b.fit.smsg (100%) rename adder/adder.fit.summary => adder_8b/adder_8b.fit.summary (80%) rename adder/adder.flow.rpt => adder_8b/adder_8b.flow.rpt (89%) rename adder/adder.map.rpt => adder_8b/adder_8b.map.rpt (90%) rename adder/adder.map.summary => adder_8b/adder_8b.map.summary (72%) rename adder/adder.pin => adder_8b/adder_8b.pin (99%) rename adder/adder.pof => adder_8b/adder_8b.pof (100%) rename adder/adder.qpf => adder_8b/adder_8b.qpf (91%) rename adder/adder.qsf => adder_8b/adder_8b.qsf (90%) rename adder/adder.sof => adder_8b/adder_8b.sof (100%) rename adder/adder.tan.rpt => adder_8b/adder_8b.tan.rpt (98%) rename adder/adder.tan.summary => adder_8b/adder_8b.tan.summary (100%) rename adder/db/adder.(0).cnf.cdb => adder_8b/db/adder_8b.(0).cnf.cdb (100%) create mode 100644 adder_8b/db/adder_8b.(0).cnf.hdb rename adder/db/adder.(1).cnf.cdb => adder_8b/db/adder_8b.(1).cnf.cdb (100%) rename adder/db/adder.(1).cnf.hdb => adder_8b/db/adder_8b.(1).cnf.hdb (100%) rename adder/db/adder.(2).cnf.cdb => adder_8b/db/adder_8b.(2).cnf.cdb (100%) rename adder/db/adder.(2).cnf.hdb => adder_8b/db/adder_8b.(2).cnf.hdb (100%) rename adder/db/adder.asm.qmsg => adder_8b/db/adder_8b.asm.qmsg (75%) rename adder/db/adder.asm_labs.ddb => adder_8b/db/adder_8b.asm_labs.ddb (100%) rename adder/db/adder.cbx.xml => adder_8b/db/adder_8b.cbx.xml (68%) create mode 100644 adder_8b/db/adder_8b.cmp.bpm create mode 100644 adder_8b/db/adder_8b.cmp.cdb rename adder/db/adder.cmp.ecobp => adder_8b/db/adder_8b.cmp.ecobp (100%) create mode 100644 adder_8b/db/adder_8b.cmp.hdb rename adder/db/adder.cmp.kpt => adder_8b/db/adder_8b.cmp.kpt (85%) rename adder/db/adder.cmp.logdb => adder_8b/db/adder_8b.cmp.logdb (100%) create mode 100644 adder_8b/db/adder_8b.cmp.rdb create mode 100644 adder_8b/db/adder_8b.cmp.tdb create mode 100644 adder_8b/db/adder_8b.cmp0.ddb rename adder/db/adder.cmp2.ddb => adder_8b/db/adder_8b.cmp2.ddb (100%) rename adder/db/adder.cmp_merge.kpt => adder_8b/db/adder_8b.cmp_merge.kpt (84%) rename adder/db/adder.db_info => adder_8b/db/adder_8b.db_info (72%) rename adder/db/adder.eco.cdb => adder_8b/db/adder_8b.eco.cdb (100%) rename adder/db/adder.fit.qmsg => adder_8b/db/adder_8b.fit.qmsg (56%) rename adder/db/adder.hier_info => adder_8b/db/adder_8b.hier_info (77%) rename adder/db/adder.hif => adder_8b/db/adder_8b.hif (82%) rename adder/db/adder.lpc.html => adder_8b/db/adder_8b.lpc.html (100%) rename adder/db/adder.lpc.rdb => adder_8b/db/adder_8b.lpc.rdb (100%) rename adder/db/adder.lpc.txt => adder_8b/db/adder_8b.lpc.txt (100%) create mode 100644 adder_8b/db/adder_8b.map.bpm create mode 100644 adder_8b/db/adder_8b.map.cdb rename adder/db/adder.map.ecobp => adder_8b/db/adder_8b.map.ecobp (100%) create mode 100644 adder_8b/db/adder_8b.map.hdb rename adder/db/adder.map.kpt => adder_8b/db/adder_8b.map.kpt (85%) rename adder/db/adder.map.logdb => adder_8b/db/adder_8b.map.logdb (100%) rename adder/db/adder.map.qmsg => adder_8b/db/adder_8b.map.qmsg (54%) create mode 100644 adder_8b/db/adder_8b.map_bb.cdb create mode 100644 adder_8b/db/adder_8b.map_bb.hdb rename adder/db/adder.map_bb.logdb => adder_8b/db/adder_8b.map_bb.logdb (100%) create mode 100644 adder_8b/db/adder_8b.pre_map.cdb create mode 100644 adder_8b/db/adder_8b.pre_map.hdb create mode 100644 adder_8b/db/adder_8b.rtlv.hdb rename adder/db/adder.rtlv_sg.cdb => adder_8b/db/adder_8b.rtlv_sg.cdb (100%) rename adder/db/adder.rtlv_sg_swap.cdb => adder_8b/db/adder_8b.rtlv_sg_swap.cdb (100%) create mode 100644 adder_8b/db/adder_8b.sgdiff.cdb create mode 100644 adder_8b/db/adder_8b.sgdiff.hdb rename adder/db/adder.sld_design_entry.sci => adder_8b/db/adder_8b.sld_design_entry.sci (100%) rename adder/db/adder.sld_design_entry_dsc.sci => adder_8b/db/adder_8b.sld_design_entry_dsc.sci (100%) rename adder/db/adder.syn_hier_info => adder_8b/db/adder_8b.syn_hier_info (100%) create mode 100644 adder_8b/db/adder_8b.tan.qmsg rename adder/db/adder.tis_db_list.ddb => adder_8b/db/adder_8b.tis_db_list.ddb (100%) rename {adder => adder_8b}/incremental_db/README (100%) create mode 100644 adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.atm rename adder/incremental_db/compiled_partitions/adder.root_partition.cmp.dfp => adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.dfp (100%) create mode 100644 adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.hdbx rename adder/incremental_db/compiled_partitions/adder.root_partition.cmp.kpt => adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.kpt (100%) rename adder/incremental_db/compiled_partitions/adder.root_partition.cmp.logdb => adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.logdb (100%) create mode 100644 adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.rcf create mode 100644 adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.map.atm create mode 100644 adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.map.dpi create mode 100644 adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.map.hdbx rename adder/incremental_db/compiled_partitions/adder.root_partition.map.kpt => adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.map.kpt (84%) diff --git a/README.md b/README.md index 81fe87d..b89dc79 100644 --- a/README.md +++ b/README.md @@ -2,7 +2,7 @@ 计组课设。 -### adder +### adder_8b 8ä½åŠ æ³•è®¡ç®—å™¨ã€‚ diff --git a/adder/adder.bsf b/adder/adder.bsf deleted file mode 100644 index 0eb6e3f..0000000 --- a/adder/adder.bsf +++ /dev/null @@ -1,211 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 1991-2009 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. -*/ -(header "symbol" (version "1.1")) -(symbol - (rect 16 16 112 336) - (text "adder" (rect 5 0 38 14)(font "Arial" (font_size 8))) - (text "inst" (rect 8 304 25 316)(font "Arial" )) - (port - (pt 0 32) - (input) - (text "A7" (rect 0 0 16 14)(font "Arial" (font_size 8))) - (text "A7" (rect 21 27 37 41)(font "Arial" (font_size 8))) - (line (pt 0 32)(pt 16 32)(line_width 1)) - ) - (port - (pt 0 48) - (input) - (text "B7" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "B7" (rect 21 43 36 57)(font "Arial" (font_size 8))) - (line (pt 0 48)(pt 16 48)(line_width 1)) - ) - (port - (pt 0 64) - (input) - (text "A6" (rect 0 0 16 14)(font "Arial" (font_size 8))) - (text "A6" (rect 21 59 37 73)(font "Arial" (font_size 8))) - (line (pt 0 64)(pt 16 64)(line_width 1)) - ) - (port - (pt 0 80) - (input) - (text "B6" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "B6" (rect 21 75 36 89)(font "Arial" (font_size 8))) - (line (pt 0 80)(pt 16 80)(line_width 1)) - ) - (port - (pt 0 96) - (input) - (text "A5" (rect 0 0 16 14)(font "Arial" (font_size 8))) - (text "A5" (rect 21 91 37 105)(font "Arial" (font_size 8))) - (line (pt 0 96)(pt 16 96)(line_width 1)) - ) - (port - (pt 0 112) - (input) - (text "B5" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "B5" (rect 21 107 36 121)(font "Arial" (font_size 8))) - (line (pt 0 112)(pt 16 112)(line_width 1)) - ) - (port - (pt 0 128) - (input) - (text "A4" (rect 0 0 16 14)(font "Arial" (font_size 8))) - (text "A4" (rect 21 123 37 137)(font "Arial" (font_size 8))) - (line (pt 0 128)(pt 16 128)(line_width 1)) - ) - (port - (pt 0 144) - (input) - (text "B4" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "B4" (rect 21 139 36 153)(font "Arial" (font_size 8))) - (line (pt 0 144)(pt 16 144)(line_width 1)) - ) - (port - (pt 0 160) - (input) - (text "A3" (rect 0 0 16 14)(font "Arial" (font_size 8))) - (text "A3" (rect 21 155 37 169)(font "Arial" (font_size 8))) - (line (pt 0 160)(pt 16 160)(line_width 1)) - ) - (port - (pt 0 176) - (input) - (text "B3" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "B3" (rect 21 171 36 185)(font "Arial" (font_size 8))) - (line (pt 0 176)(pt 16 176)(line_width 1)) - ) - (port - (pt 0 192) - (input) - (text "A2" (rect 0 0 16 14)(font "Arial" (font_size 8))) - (text "A2" (rect 21 187 37 201)(font "Arial" (font_size 8))) - (line (pt 0 192)(pt 16 192)(line_width 1)) - ) - (port - (pt 0 208) - (input) - (text "B2" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "B2" (rect 21 203 36 217)(font "Arial" (font_size 8))) - (line (pt 0 208)(pt 16 208)(line_width 1)) - ) - (port - (pt 0 224) - (input) - (text "A1" (rect 0 0 16 14)(font "Arial" (font_size 8))) - (text "A1" (rect 21 219 37 233)(font "Arial" (font_size 8))) - (line (pt 0 224)(pt 16 224)(line_width 1)) - ) - (port - (pt 0 240) - (input) - (text "B1" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "B1" (rect 21 235 36 249)(font "Arial" (font_size 8))) - (line (pt 0 240)(pt 16 240)(line_width 1)) - ) - (port - (pt 0 256) - (input) - (text "A0" (rect 0 0 16 14)(font "Arial" (font_size 8))) - (text "A0" (rect 21 251 37 265)(font "Arial" (font_size 8))) - (line (pt 0 256)(pt 16 256)(line_width 1)) - ) - (port - (pt 0 272) - (input) - (text "B0" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "B0" (rect 21 267 36 281)(font "Arial" (font_size 8))) - (line (pt 0 272)(pt 16 272)(line_width 1)) - ) - (port - (pt 0 288) - (input) - (text "CI" (rect 0 0 10 14)(font "Arial" (font_size 8))) - (text "CI" (rect 21 283 31 297)(font "Arial" (font_size 8))) - (line (pt 0 288)(pt 16 288)(line_width 1)) - ) - (port - (pt 96 32) - (output) - (text "CO" (rect 0 0 17 14)(font "Arial" (font_size 8))) - (text "CO" (rect 58 27 75 41)(font "Arial" (font_size 8))) - (line (pt 96 32)(pt 80 32)(line_width 1)) - ) - (port - (pt 96 48) - (output) - (text "S7" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "S7" (rect 60 43 75 57)(font "Arial" (font_size 8))) - (line (pt 96 48)(pt 80 48)(line_width 1)) - ) - (port - (pt 96 64) - (output) - (text "S6" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "S6" (rect 60 59 75 73)(font "Arial" (font_size 8))) - (line (pt 96 64)(pt 80 64)(line_width 1)) - ) - (port - (pt 96 80) - (output) - (text "S5" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "S5" (rect 60 75 75 89)(font "Arial" (font_size 8))) - (line (pt 96 80)(pt 80 80)(line_width 1)) - ) - (port - (pt 96 96) - (output) - (text "S4" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "S4" (rect 60 91 75 105)(font "Arial" (font_size 8))) - (line (pt 96 96)(pt 80 96)(line_width 1)) - ) - (port - (pt 96 112) - (output) - (text "S3" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "S3" (rect 60 107 75 121)(font "Arial" (font_size 8))) - (line (pt 96 112)(pt 80 112)(line_width 1)) - ) - (port - (pt 96 128) - (output) - (text "S2" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "S2" (rect 60 123 75 137)(font "Arial" (font_size 8))) - (line (pt 96 128)(pt 80 128)(line_width 1)) - ) - (port - (pt 96 144) - (output) - (text "S1" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "S1" (rect 60 139 75 153)(font "Arial" (font_size 8))) - (line (pt 96 144)(pt 80 144)(line_width 1)) - ) - (port - (pt 96 160) - (output) - (text "S0" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "S0" (rect 60 155 75 169)(font "Arial" (font_size 8))) - (line (pt 96 160)(pt 80 160)(line_width 1)) - ) - (drawing - (rectangle (rect 16 16 80 304)(line_width 1)) - ) -) diff --git a/adder/adder.done b/adder/adder.done deleted file mode 100644 index 393f79d..0000000 --- a/adder/adder.done +++ /dev/null @@ -1 +0,0 @@ -Mon Mar 07 08:49:27 2022 diff --git a/adder/adder.qws b/adder/adder.qws deleted file mode 100644 index fc216dc..0000000 --- a/adder/adder.qws +++ /dev/null @@ -1,4 +0,0 @@ -[ProjectWorkspace] -ptn_Child1=Frames -[ProjectWorkspace.Frames] -ptn_Child1=ChildFrames diff --git a/adder/db/adder.(0).cnf.hdb b/adder/db/adder.(0).cnf.hdb deleted file mode 100644 index bbab6ce45acdea3da3d7f714229d8b91c0bdc699..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1270 zcmV@6CZg&y@0000002>4V0000007?M> z000000QLj`000000C)lHS1ofCK@eR&ivmPs;WdpaKPkq5u(@ zpMXRnkw|1D5*ZnZj7;%$yzcqfeLK5%`vWpnecRLhru)sC*$u4M>ve%Khy8+Td>99L;Oz4;qb}pH}5~VDNpwVGn>foe8Q>5)N!`dg=1Yf=9HzW z<&M^O9O=RlBe|pHj@Eb7-+9RkxufBZ#&>kuk!u?6Xc}4H(dxoR3;Uq1>Xc@_pV4K8 zG839Pn)zl!T{WbP5?CDE*N)S%o}&kZNzgycjPc^cDQ7KbEoU8Pou2P9s1yp8%5fPu zhM=D3l2;oK49Z119vPGlLFRAbO1!yO4h=aCK8id-E2#@(lj;ih?LPeP*dY!bb> zdb~0vXX6A@k6|uvQ05_25uR?J4JrFzuT=45To!YT{hX7c7aGn+FrIWto+(@uIv z^PVPWW?E2*&rF+Zu@)K{qW3_FQKaH!l49x+N@-C1I1m)QkrMFW za8+x!B}9yJ^QRd@Im+fJo18z*Yya-J3=69X5oI2ma>aN5;M&K8XSS2=*2J zU6}t<2uFsZ25>$DAtru8?O`HAQ7dE%*elpROuEe`nh%JbFp-D&f|wIxB`FXSUT{?9xgeozSp{O%t{j?~= zPbeFrih7D}M+k_CA5nISfQ*eD?RKq4zRU&%2(c1)i`JI3?quCdCrdiD-Vj2xo*N-L zS@+V~^wQdF*P3?U%9;>etW7Vi4b>Xp*xK%-VV&eo!su-zjapd~qLVeDnm6PQ_{le9 zqtp?!U1@`IGW6|e05jSnPZs? z_*#ax)hrX~7-}YG8teRsb?6>-)*(Kvb?EKrs$;kFp%V4xybzmWLgkRVS#_jn*PC^9+HxQQ98oCT^W3P{7u_jL^p zc64`zD0Pirvm9h10|N(`WMBX(l>%ZX$6(ipcn?q4AjcqQkI47{|Dcd~Pd_(*Ztiso z5OW2fT0jPf0I_edJHqwB&K|D5jvp-R_1VG7kj>|ZD)w{%y4TYUXvg0cO$~5JFug00000 diff --git a/adder/db/adder.cmp.bpm b/adder/db/adder.cmp.bpm deleted file mode 100644 index ecf6a234b44b30bffb9ea553a498afe390e846e8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 673 zcmV;S0$%+O000233jqLb0B!(300011WpZ@6CZj1>40000004D+f000000HXi^ z000000HFc^000000C)lHRJ%^YKoAV?M+Y60h#Cio9YCT$N<#%m^j3&n7$vcBoL{8i zANT=E8iaV59p`2_S;C1BAcQ#SvUlUz-PwukJkRsZTCMgf@i*-$(exsk9Epdrv4CNH zcf6Lq=rfHUSu>-ceTh>?`Th~Vj5GhU@vG~Vb*#wT%d(pd|MaMJeB#&Fh}4NZi&q6_ zmk3k=@ignfa6IJ*P-J;7v*2M-XNSmwLEJqe_srTR!d^{9P^0MXk@LhXt;1gKJ~^te zNo0|Al*24f6+W`Ex`8)niMqAc1`*XHv|@f&byKCQ)$wm&5?5VQM4ctlP?cIoHId`0 z*K<-83+|oA$(e-Kfs>^1W!T9E&egD;X2XHg4uUXsqJAe0dtpCocm3eP>BPMx>V|=z z2r0^@6CZrywU000000BRNh000000Mr8j z000000FD>{000000C)lHoej`kMR~_hUP(X@luAKCO+utf28B?Jk{DPBF%m#6jvuWc zD4-RMfR2SK^#*PI0CWn@;4oU}Wvs~9X=_^wwbrQ}rB-WbL0Y42C2ds@4T5}?1atfU z?LN;rd(S=Rp8Mwgz~=2Tdv^EP=X;-h&YpAb8~Xize?d_cJFWdWEr7?=DJInMvar}5 z=a^iuV4bc{v8gAiU15Ei{ZyfJYUXc;ot1sn!o>^tjGthDebnx6eH!R<{5E>WVSX8e zR-FG-^>Kda<9%3;tB=cr{{GDVap_&=xbk!V{cM`@o`<1U@E^Q$dt5hA@VCz~c7Nyk z+%`>pmcrP|RQ(73!8_(Xn>V!93x%$F)ePY$Go>;8Df7_m`-~X`(Rxh|wXpcw< z7M9j4<2tO4=kt#1<0iZu$NMg8T>PBQ``$V)A5W!q`A$?7dk@)vk<#tvQ?)UB32$Z0AeUUh+n`nICj1kCw5T<4x%6JKyCoPB8P zbb^nhb7wmpJY41|c?02)*`cuOiD!TxyeK-m^-{0m?LN!pf`d%Q&;950e@7qRr$R8V~^|8d|j`u{jj6OPhI=LD^!dx*;Lt>*p*-?emijsZQs7nU;=a zel_$m_cqb}kNKyGjx!&Hb@zS5*yY{y(BQgbtIyGb+r$?=m~(yD+vCuAet@=VzvkNk$W~`$M?sUY=8W5mIK~rF>sc}z*SPU$p^(1?jS$CC;%m;8OU2D(S|G!@p`8norvGduF^e|O~Bhvy*AZj|#elQ`Rw*?6wm zct?kgKmJ5=Qd>UQe_+i3tv*Cz2u)&{q zS*JTQ8{TL(tg(B3+kNfwb;$-i(X`*TUpLyum(4*e9+FhhIi@K7EVJ>+={_C~_`P)R z&i1@fbT_1{{451UeGJUcr?;t}`)Qr|dBeLB#$7FbT>H}I`=iz+=vg-GW9P@&v_bJ9 z?TUgIOt}r~&4v%2&ume&+3@E=WWK(qFP8qC>5rWQ8>Dxm4JVro2fP&xn{D8%c9Yq_ z{l%X%bT10#k8tZBCH`DC8yB`r905n;c>L#m1e`v4jaDKvJNYyzx)zTcpPnbmD%uU zhYfA9biDMJ`r##WV8h}RDnq&XHD<#rI`;Ivwhpk#_mjukxyHYqyI&yt$XjbpNeHu< zxArm}>i3`DFdHxHu<^-C4zOr@Hu&bJ(|p@A?50;I1?oOnVLmu&4t#KXOYVNX`QYdd zA8cyL-NY*I17AG{vAVov9nUr!&NCbC(P}lt;)A+z!s5}E`ODvs=DFtdXUInG*?ZeN z^x2HnD_U$k$83DBK5S8bSkuw>+vby!4dCQ9`20^~2y<<*`UHDU|9K1li~l;&*JGJ{ z#eP0x4&vaBLY}}VcQmN;xx0;QocG$SS<^K2@ zvthqEutA{~W36t3p7DD!E&O4}xxdW@_9L-&;2hY{cILgnZ1`k{4M%jW!}2+>!S8>w znYa8*>N5OWP7LCXOZMun#B6#NK2Nh>*xB##yZ|E4u<=)V3w|c(CWHQdY?bed`vkS; zB;oDFvvlyBcyq)eK2Om~^3~!dqZgqH&<_f7ObO*#pQmn~+Q9fiY_VG@xAzf^jw*4H zzE)6W*`O{pKJ|UT`U#U9yFpmO4u5Gg>4~4G$}0_WL~P-xQ0g6*ppoNOG`>XRCw|0|w+pJno48S+eX*dqedy~R z`$ohB3*Rs^?6KDi2g`+|LIfNwymJLnLJtub<*X2N!XUTC7QROb`osi{xY&QD#=oVS zzHXv9R-cowiZ{m=+LeN;4M|HR0eyj>Cnnqg?8_Q!pN01(m>_U`rl7AZA>cvFw~W^r zG}+`F&mO{^6fV+5M&);=M(>dE2EfRd15gDsC>ZScxMA_5E>ToPp!>1x@=%J1|1w_&h<^CF-DI@g9Mvn64Ir~;HYpr8DVJpycWNlG=EaKuJa4r7@(6DX2W?2XG zub_tt%6_VL4s!US?!HJ`p(DPi+sBF~nL>zr1WP_7DC3lC;P@+ow(Z5O5gWgv4RY@^ zdUz!0M+K>LM4M*_kK8i228ReLc>%ib#}?d!1+Dvny8u{8N2Fu5=N8UhX;qg9iVwCL zeu6F*bngTaUOK<~dNEF?cIe_DUUu@UxpGx2_#jr~A2tZ{MXLKo4%?;OMUsfFwgMu@ zvI_-$nV>wQ?-y}_a^f+rfjb3Uy0v3{2C>8nDdJHTtnS7d&(iU*#?xy&UK7$j_spOr zJNw9*&OAG7I`q?(KV5pfI739pqeFlpNy7c=`(e++cepCB^80)^$=bgTqo?$I1Lb0`2P`)VdLHh2mjW?rYPnag2v-d;#9fr%L_kq9ASQuuyK;5uPViGVRK>DeuPRc;lwZ@jcHSmHeBB z4H5;Src!wxZ=$>#(WX2&b-Ybm6E`;Orp3Cbn1HgG+D!_N6FNJ@$+L|#3Ib768B`vx zl1*txDPPB%`LNMbN^yo1&+|Mk6PTJxrM$*bX;4Nf@5beLyAn38!Gt=)cUac$bDE)b z&kHjML`|hq9)+0}_Q*WXd>wDxJfFwBYam_?%7e)--Sl3nRDOi#QHqLUFm4N*CcLSn zN_bO7r%I$_*2${w`8|_nL7-GB&!d#t7Nj+4H1Hc{=`;iYsrL1%Xqk!iM}#CUGN1g-soA z=2In#UnEuZf_W;QM|qSRrMw&Hcx|b2QFe;oM{2mYljBBTwLOQ*a7qOQ&fkzq{N2i= z`u8TIgSymWNl|RwdOW=m1#@|D#%rSQ(~eV`+%$@A_S}HRzoe><2&EfzG6(`uW0{g0 zpqNQFZ13+Vk1qanH{L!ZiQFh{`0E?rhtezvL@l=V`-|1vsxIp>8yRqJLitJ3UaxBU z{E5k=`h;?NWt$9LGSyHPj~QJ<_<5P#P$u$vGJjGnZqUL8b*ZsDuA%Ho(9XUaJ}xPf zs*`WtnMZEem_@@cRATeBpq>3_;1E73Nkqdub_0pt-7t@H!y%a)exaj5dX7~% z5pedapY`hF#EiQHFY#z6RoN61S&D?}zP7X9BA%*L2qhR$r&6GsN+CClTc+*?jJZ?h zPO5FXVYa%#RoXq=z#_^E^W6=+n&h3KM}wjx$TQSf=1DXV(>&Hqs!nNBY~L;lmEB`g zxD}JKXi9Ft1r%rSe>+9$&JdIQq}qAx2GS!7InUidid?)aZrHuf4Mc)|DN;tm!uffp z&`YEK?W(>h=IITSGsE&M8kG2hhSXT*$+>~taD@z>ROL=FPd5d*;mmAP)W4a{lV~6} z@BwR{M+2LJ>TZ~)xq(fQKU(b;ZjepI_^(|!NN-ln&kdv8fXm9lqZJH9uuHF92AGvx z+dETv?E;ta-GC-uC)MXpp>a@`8q3HOIoEEOoe!|?g7akXq-xy_Jb>rlDax;ag2&=+ z@DH#6VLDDBin_`_>6`XvR4qhf*oube<%iN)Vf8rq+37EvDNqs$D`47R69$J_%TIt0 zuV7$)F_T`+6dzIl4q+PzO#QRW!S&2A8>H))7KA~~i@p#QhgAT0Zjp3BLIsUIeN8RC zKPX)v7fLT>*!=W_Ut}8yp8C;h{6SlWMHfA@4F~2kR0XBxg%&BGsI6*UceNj$xELTj;3YaAe8u2TeY=47E6(Kb*0>@tf8f*mSMdG=zA~k*`fWL@R z;dsOkFlxJ_L>uhz8wE750#A$g0B9n?Y53&NuNe{qqF~+FWYYO&&hK2T)G-~d7RgjA zyC>$46(Mq9kdXmLs?hME0!cZ8fLw;pz#b4gNt)WLI` zc%GCf>O9G|&SgqGza*aPhI!(KUH0cK;<;*=r&Q!88CeNgzr%L)^gUl&qh01HeVTYq zE0%~O1x`KY$#)zQs}ibiSA0IJnJ2NyBW6`X)$QWR9%}I?`?D&c>h2;>{3%s;Ek3!& zwfHm3-jXl{J;@<6ZM!yglRu|rd*=Bfi+P@+vJ+s<_M(=PGbU0dWW$^%dJdM5569=3 zQNi@sHMOw=BpGU&TWy=LA_Bz{^_K7I5(w3MZ_wRpd|qB47SOUD2ZJ zf_TfmT1R7*@GmwG4FFW5Dlqk*{riBWFCdsAw{zuA17-1o?t z%&jolmMP*MNOs6xA^eHOfo_v=mpWc$hx{x{zpY!WL`S*A)-YaD?9xmw{!37N=l)A_ zKcC5U8^Ep0y(5#`jF%+*b|x1H@s-*^xi@BV!Qq4h-YB`vcu*CE%Uvu!`>VF?w{MwT z_HA}j%jAlgl+fu&o+`o*On_ECnxHhoJEwKaI70V;u0@*u39T+;7YDbD2Z@ zG+~dpQNL!q6fYYx{hIOMUKFx3>bFGtF_s#!t>>%#f0@}L{8tuJ0K_|1-9ftS`aP!lXe!P`vUSIJO-cz-3Y6AK>uOWV#_AAZPZ%Dz`E;vK ze!fgED3HY)BF|~ySN={!^k=6OL46>~hu8k5}$**P5T*cf)u>6{n?< zru#hf9OX55z^{3~B}Q5YO4QF~0#LtIzh*oUNWViN7C+8w&3GyPT)!L#LisaR`jjj6 zECWs*FU?lh!*n14M`V?GdB4k=fylZhn6`idz_Yk zZIC}S>ykecw&px!iWNbnOmy=90ssL2|9Amplh12YK@i7B)7Jh-n>Jd1pe=za73!fC zJxEb_*{sQy_jbd}7JLd_ksd1K;MLNTASft8PhOPLKfs$H2wptcqh1tG21-RwiamG{ zbY}84eT@%hH;?(u%=gVqTD^cz9_<*KsFa3zQ6?hfe@{ z8$ly9uqJX!Uo|go?Or_0fXC+XZ8F7TvuKsBI$?BGHmow*MS+dgwe|VS&-?iUXF0fi zoJ_+wdsS7O5n{0(Xpvx8{rhHX>k!9`W@5aeSUOW)kiwFpBMf*&AF)NlX=*L4)21Sq zetaDqXUYp8-98yn#>zN)`{d94ql_Kr6X1FmrU>gR-d?7z1DxU$;33{aXrdZqbhVRs zU9d;Lka!Vh7OZ0|8in&dxXok5b>ku8SY_wl|MCq zJs#r9TZsFeW}I98VP~Z<3KD(iy8Ybiy93jv05{Qh7L8VcRN?q(VJOYJ-0L#~a2_2c zG(XZ5P-6Vc>f|8YL)U3Ezx%X4G)eyZJ)POi4YLGVqzu9W7}?!!oydbhH%00030 E|Cx=eD*ylh diff --git a/adder/db/adder.cmp.hdb b/adder/db/adder.cmp.hdb deleted file mode 100644 index d44f1129df3164f9f0663c60904ee671a7155406..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8241 zcmZX3Wl$Wz(k%pn1PxAbcMmQL!5xAJ0t9!rg=GoB1A#0WTmuAmfswXpDb-GX2bkC2|^JfT9P*5syP*L3eZNNX@(ALY_(cO(+oR^>eov)*d4ZWa{ zFg?ErpMWTzAV0r2y`HU?pQE)cz0N0VXL><;JvDkGTPu1+8%Lji$A~CU|J6W2S*QLV zKuN*)FAC8A7Xwg_vaz$M4YCI$Q3W`oy(}PPIy&?kblwb3{(%yzeBJ^p#JynxNj56X zbF6Zn354Xy9~DDC!%yt{h}%E<`U6e|!BS*BODnKec;IWJ?;c<2zp|$zk>)eyRb^GT zPt|PFNvch&_GR#y=V18r)9G`3S)lL8)xTxV4TIVUmd{q0v|VE<h;1( zbu|WK(io>q`W{9#(2V4QJ=i(7n)fp|UNX+Or_Y)m9R|KSFmJDpI=A##$B_JuA>d{9 zv*+dh5i0bXy&W0H_eDzZv9lHa#BnEWM=%AW6UF2Ur#V#lNrMqNu5s}jGU=Dg@$7k@ zCE+xb%Ix`AEE!`yb~S{tMAmcmml?Ie=?k3@9?wfkbt zd+-9>c;vVtPlkG%Z;n}%>yOxDkJU>CTD~qDy&-klx)MO7NLRJGRFubBOv&-^P3Phu zGGWB*+v|A4QaPNz%Z1;cmQN9Ju)PSsDVZu?EO%JSv4s0nE3sd=Z`5_f&I1tvJj)Se zaiM*yDg8Z={#y1hbQ$;J_kx}anq!LL~KTVQoz zaas@4!6n$*%=SbE^vFMh=$S8;(g}KaW2N{Sk4Hv=fr92$JdD+DUU!0}jfY z2mY3BJBGafe{T7|yVV4(hmNR8nXhZpyL@Q$JpKZew9V28nA)vOi?X1c66}m3ZI>V; zi(C_)x@aQVAN-0bz;RAwoX5u9upE*<1I zmpX~YAf6GC$n@(9$71Yv%-&Nc-p|5EG1~x1@$YNolg}03&4jiQyScNMT?{f=)lE@1 zQ3$5s>}l_WF21Sr)cU$m_t%H*#$@fL`4cxv#zNwOQwizE?D zfYE4Auh0WE9%zp*8jG>v6d+wcY z8oOsrv)X)1LDx)Av~AOY%WD@> zr#ZAe4!Ii-2kyFv3pkbec#-Iz{mNpD^%y^|cIWq_KT7fqwA-Y*#K)%>CF22aP^Si`@cPSZt>AIQBu$5*?tr8Jd(4ZsplZR^6f~T5}BV?#cHza8AvDwvL zlmXO)X-M(|vrE4a8~7Al@aoGL_S?WOwQNTuQv^=+``h&>{}YI(2<++iXX#OT|2MGb zVImlCDW7F@f_`|1XM$78;EZ@{!;=$P@pB8vpib^SxM5gEdfm(52cYq>AKl4fXZr~J zAA8C6@t^hano>5lwYuu8^Ol!=_L@xb|Bo4XOd9YXwxS$=VFFh~@aoUewvIBR+!u|@ z2fQ+)jJem%B@>OQYm|pra1z-%_i@oM>WT!0HF5sKcyaD!g5ZBnQ#{QOK7`#=8Ske& z^Uy4BiBGh`!XkbOKBRQIfTwh(#J4thy3g#;%H*(M;LxgLx^J;M*0sXTb?_*(kLFO_ z(51 zBEw(DbhxqaP+h8-5}5Th@Vk(gB!&_GbX%o-FmMNyyToYAVXFNl$-TG0kQ`IA9O8bIf)D`rSbxU^9u}RH{cBaNs!W8 z*6bNy(I*J*{vgmRrvCrb@J|~5mm2;PG{)UKEqqYGGf^+iS3!MvhnM1sXEI#E;C%j; zgkuG7U!V;c;dx^?_A=b`f)*F>qM`TQ!J{kjjLdI`ySNG&9qZgzFt(G=X0P7 zez`bh|F2uaZk$v8o4lmXD0JR9Oq9ih*5fu}Y;DF*H1B5_#s(6a0VTbdn2>w^m7u^^>Pn+pmwuNiffi`3Z;qX}aq7_F86n-Fwj z{x9*7S64aQn52xn-=M<>K}tH^StWkRRIAU-*;o@V{kz%sNf+Il-usoNQI)>gC0BJSoHIKbUq2N z=s$%zQJ%i@vXHUF!aylLwD2`4b?tKpjibw}=(5*@y3UpeJGfbH zYe+;WIhSA6d$zgppYdTJHMc$G676CDg>i^EE?BG<(T=ur6`R^{k;9x)0zO;RK8!*h zM`fpvXyx=D${bv;{S^!e1nut1)6ZE`4`|#t$5|gT!|F|+mEgMSjfRzyDWcNreG+@3 zW-m^pz9T_9IS0dzUA%wQlf(S9?d#I51AygA`R4Y1+5nZ7T{HxE8}>!o{P{fR%cS?rk^`I##mt!z+K`rYhgPSN3^<9IfAIwUl8>JH+kuwu?wT) zxJ}7cg{VV#hTa*)*GR=|7&CkSDUw>HP(`Pg!jJntkuG~gna#ol?1}@)D#@@j(Ir9% z(0X$FyvrdO>nRZ~GGOB{-xmc*E#_QIX~R$qdOzo8s9IC38kms_sIPy4V}i{_H_x8- zjR4;&g(5!L^to2h>sOox6bcWWUwPIt8FUrA@D#|^{$hzX!gi1QM;mp$@9qpbuLI;a zrKEgQiI++xe2H~#uuxS!3>E!+W?r9GJ=p4g|J6(V%10Xq!9$4a$_L30$_MRM5d61GCuNOQmjuGyo7E%GzAF`f_X377y)qPg{PzR}f z6>kw1wxHx%^8sdV=3D{g<(k7-n0q69x?eQ3NHJJd%3}ekOfVdEJqalNNz5~FNBnty zIDj$wM$1~uEhs?`B+p}y9c#P&QufGpa7axn2~rzN5?B(~uC7#-2BEUqmXhDY`5t5XM_BaqW*4|ow z*-p;~nhvHjRqhL0lew-zD2?C+DP@bE(n%tudI&mavke!#z@tPI$AQ$9I(eAZP_0h0 z35L~ZA&`>K?G&0=Z29Njyr*J7&qmNEKaxv|z4(Owj1M(HT<%7ypaPXFW};X*td(k_#>dt8;{iI!Ra-Hja={jvp3g%5qG028z8 z|3Iktv7kJf*dbTrYJ2JQ+#UF zMo;KG{E9QH{k1or{i{v}E#*NH1WYMzp=8d=M2;d?%X56cQu;zF*DizdnM9_ z)G$7u*+~EBdi6$@`+bTpGAC|2l>5uBE7hzo8Yn*3 zJOss)#Sa@Y1FPP~HXPpFE0H^p(+4#!qkqw&*j3!M6wZlV?WCl5a=Fb~oM{g>}6$<@v) zhuH1gtt?MDT+b0Q zBCLOtra$uWR%zUi?UX1cQ(@B%5+I7zLr0eeS?U8l85nv+#eo!+P6V0m@3)p@_aD|> znR*L1BcAIB^1|^{!w2EGu^B%|!aVd@rGL-`VrTRB6BV61Y za-!E*2%AouCl1SS+Ik9=x@O;gKEfEi9hW*;2Uq{Jme-x*MoFT%2f3_^Uac;}l$|_H zUqfntOr$eH7tiHlF9ME^XD1 z)0NIi2$#5*t+LxMCL_;njPCP_>A=F)!%`PYu^AH&Zw~Xpz@Qei`ahv9gmE1V1Tnoe z+9cOh*Nad~=}qDY5dBw0CB;offi!{Kec>F~v|<737jhyc`GH{4mKFR#__0SrQq>jW%Ntg4}WLu#Gq3Y-&0Hp2cA zr7bvdE34I^mYVnmm`+>$LKSSb-e4vsUuui+&@u+5>K9ggQ!M|6br|Ywv+XNel+ivN zn(kp~&X-v~Bsa=T(ROPX+xrG$jPtJafP=C{Luqyp8c4Z-(tDEptu9fsATfk2CggR@ zlH#U6J^I>d3WRu_KyElTbiTu{slo=E5ZJUY#a1>PGbFAHWGh=&Ak8jyV=EhoX~j#{ z3F<=q0vKKyFHzWRX7t3WSjXX)7j6gt^)F;qiX0`FqQ%b;Nk65d*(2WNir!M(q|W8n zX2^vT?>_7)67DPPmj6^n^`N!<{dF$6>@Qk0YowwWc)onYgz?-35`d3IVbgZt{X34D zVfWGuBKDp+hm8nE9#g2W=>QQ^VvZ+`*;3dHfQZR4YoRktpQsijCMj-`K#aMmE?(%W z8Zw)+6P3jD9?+xbevHQdyR?Z^Z#|<`y5eN>u}Ebb1qGFrpFUcxAtF{?{7R(^O(4gI z(rlThuR0~L8Q%ott`x~AR3*X6yHK;jAf7jSnJU}#Q}*qAU8tQ7G?9P&-K)^^c@avS z|D9_qOL+Th5bx z^!ni+E`;Nr7eQmbafQW^)XA3;>jnNi}8{*5@V z!`=`>;U?RxcNCp$``)ggldN7e^A{uR62BE|aTs+Pwx-s6^Kn6lA3Bu@^-QS!w=0cMQRP z@Q!6gJhxGZ#Hu+g>dUOvw>p;vjg~!~%pg`fm-TDsS33MOjq*#zm!`aS=k$+)xky@gkTKM4r<8m#=s1s>=v-qTN12iAVKb z!;2%g?Gqh&^-ZSj4*1F>ag8~@Qx;M;7Y7uN=*Uzt>Y-Hz+%I~THYe>`+3+Wc~W^&R`Z!H_5OIGPg{>+J7kL{u3C%uuMMwsD9Lj+b$bD zXOLyPX19*45NoSmI&T;AcB?fWKB=v7_BdfM z@U&};(UjKcY^bT>X58rd&^w(vY$(^QGYQ)`b1^Y}!zT=@*a~eIjS+9WW!RrM&=j}U z1%kYiP=4vJngDuP(+=!*_7+kz4{KLInt}L+ErS4a;0)6li$M$|a9hvM4LMxY^|58} zd3Cg8_Q@@=IkP%O^kB`xD=9lW@wD2Dh8^KI1pB9qi_Bki>D$?L15<@n8|u_j6fOhs zvE3yJnIk?VD~RcqOQ*!47;p6E>P!JT~i{+_DO@8xBphyIe3Up<$QFbe43z=AUbt zzo=huRIfj9DgJd^p-w$cIscFz$v3S~wZU$0zFN;RTHD2u5KpBk%~jgeOBY#ewj#vC zkZ1HtR?EEYwxBqLJ%!0ulHR$s!Wdbf!Go^Ay0 zN7$7b^L8HJZNxz4TxO2@54bk3KHbkSbHM;pL#>x#$?`^1JILZ`99zhQulM^;@{X=m z{A%A1lZ(B&IlcbxiZ74Cc@KZ!nJ(3yTbII|gC(!P+2&g*{03uM@NC$SNQY3IG3+)aW3pR{Ob1I&GmTG4f&jH z?la>iXyVr3X)e<2p2mV}bqa%Sc2N6tJ&CMd1RQ>;wxtUwToqbm1KB7I{PYa~fSn>h zzj;QLvT`NU@j8BuEmXG!Ukd=VWNDiG7PKB_)&PwM))~*(^}Qe@EQh67H88?wCRb;B zJ}IE>?`fYjy^7xpJq80^0@$Ncd|khyq|wQfTfrM}>T><8l>w;txZNiQnq| z%BxMPfN*EN!4>|P5l_=9_}10JsmU$8cn9CsT%+?BnIigq90#o!H0m)<^R9vTeiBD7 zWBLuucV%^~bcn)Kqx{c<$v{$mrHPV?(U)iU3w2edOVNPlq#b@mi>Gb)LJffTk z6kh{e%}n`iffh!E`gtZ4UH-gGs~@N6v=POUsqpzoo&#rgYM=LoXgGke)LN$C?t#Kc zLqBGTNOk;MKv3^t%rA;?i&sAcK}m11UG%`3745FpP>7VJaB$d!r^)Fj`P`}&4@`&x zvoU;7-;A4V^YCrj$(&VMb8Qa2GySl44SiKkUwo4$_4`hu^=|CZs1mZbC>=r``xrJ+ zpou$KY@^lPZs8}`IT5SkjwMP|vT_kg`>ySavwNJa822{Hslj@}od(7z@t1C$AZpt6 zk1EmUUwd_KGdMN{riwRG%t7+kCxl=M53N1b~{rZF%$-$6~3n^>Jx!EYuV z+KfUkND5Ny8NO>RCtpI)6C=}ReXMV;yiVo%Ir!pruh@O_c1mOan1efw&3cG_(RO2} zaHxCBvuP%KrZM#7X_Ac7mRLZOckKT#D9`;i21z>`FWzpaRQX|~ol}#(AVCu=nLjN* zj`#UztIbqsyMotyU-|*1>XW%65IO&x3N}*vXk10 z40dNWQfwaHVCBsgH!m>Pln=4S4!UbS*}_??(QLH9yL^6qxr$&wkxV-GUfM2EzP`9x zlKJn_zk3*LV-05!DkV-bQq0Q>;yPTID4(qaj=G1e9m(dbBWLz>1Be*rKZ#I|i~$F^6#WUt~O4NBs@$kB%^!79`3hW_BcOBxt!JA1@q^ z6-%r6%1EsIKEuE9LK1M@<9%ZX3&IAqhN@*JCyVl3+WMO5Ad)Mn>do_DI)#1m2Db2ywuQ3xnMBaSM?`B&MBz$*w7W*}w-hLkn>)V>SeVVJPfKy{+t`5QlaJNEe;q6eeNngs zkIWbUQsi@NT4LaY^y)o8ybF%4-m%7W1yzS-rN74it|W5#0=oRAd5BhH8Z1>z?>-(iu8wSnSg z4|aqk6K4LPFdQNwH2Pxt9I7Yd^(D3rVx$nsmcSF#m~ZZAk8Nv@o9fNR6*!-9P5P_n zy3Q^zDB4e=wAgQ@osr znQ~4p^TocH{!3p6A3h`%aVHeMBF=wn{6m#{Sy1RPix*suI72bTT>ubiXi5r5VAbVu2^9Rs`uAP^;#Nh(jRyhH z#hZ+8+kr&m9TGQ1T^}h)Lc3?S9kC~+{|ZqwE(EZowwnt z?_O`fmG4P6SE(Wzz%5C69eH@fo94S;8E;dW8sqw6(EK~A#QrR&?7p;D1pw;^mfY!v zF;x4}V_4|%FK@M?;_i5j+RbfVuD6IUgOBA)(A>{6IPfqa;)WvcmXhTH>q1ArclkQZ zzv$Ld2!K%c@FD$)SLpSa{$^4h)N85ajFgFP|~UkH*6xcmK_V*<~s4%$ox>M?@>k}mPt64u_Z*fktS6NYAsc#<5_r>QR{zAYV}RiT=#4bS+Y zj^II64iiHHH$!*g3pgKREy2YL;*0(gs-(Sq)bo%Dybdq|Ud1Np zEjf;x8i9#l2Q(V04|{PuBnl{%mdq<09(LD0N=iS5uoD-M`&J)on#j)d>gGIHV%C1G z&ay@hwc}rBGtS&M#mZGF;D%D)*`91NfF1y`8^1V9GF!SuG6uA|vvIlY5_2a>`&a9H~U(7B#T}2?Z7{ z5STuiofnqj0usetiX?e=!5hsk1tS)iYQ9-jlHbmh_Rv{=qjyjJ1qA-dt_^H}D)fiGa;|bv>JO%INY?L*nC=)dC}`dK=LoGx zVYAonf?_&u9#3iYw2kbvr0TMaR!C!tMs*0X)RvTuCQHy2T0$Nyoq$;sOGCVv`-zjEqy<`aPSKfeh!dBlmLMOJHn_oOJ-$nC)+E|krgNgj4a-+#1tS-Ed z?{`Gh7b<<<6Nd26SvGhpP_948%ASQgnfISCOU|j4Gp-Cd7XFz=uw#N@Ma&neBq5v< zQo_3sR*)DJ9;tO#NN#c~M`(9}g*tFc|La2+=!2Z0k{AB%)nv4KGJA2lMy zdhQahY(C^VLtv$~gNK{}@@50wwf=aXt>2OmVelZZh{OBU)ds%=ie4MJm2lbU1g$*{ z{~1njT9(f5_Z+bRtkV^}{qYZa;D+PpkA{GT;6Ird846W>K{dbTN7;RQ-SkTdlZ-9Y zmD+eeNcjZAi3h)#CoqYYL@P$OU-?f`66w!9)tJh74t)z;CdbpCdT28j3GM}yx{i-z z-*?jFY!dz!ROmkdDZd=2$~dI_{-`m%b*2PxpQz@L_n*>c`svFIcV; znJv_w@HInLEObRktSvYkZ+7_>Ic_tiYFR%j6Ai8w8VB) zsl*rQVJGlm(r!pUi&`9Cr%N9YB-xlPho=jd%1^xyotrn}o`RHyzBmuxFx ztR1^`crl(F;Q}W~J*}*5Yr56#TXHn(>$AsUDQ_5WLD6%>hIq61g>D^q` z%XrIizWH@rktRjc$^5GanT9Af>f0Ee@yCX#KAy%>#pp#mNNxP$%%Hj#?yhS(W}z+H z7|kIfQU>mkvA}WNT4mr*ob0?ky+?R1S$k2D4?Nqi-;dgq<3BwJcZU7Tx%d3!&#U@- z-v1tGu3tPQG@XtYCVKw;!jxqW*d~@1cBaYp`)KzK{DgMM;X;47RxIJhIXvcoafPXU z+xu(eg}ko`WN8sZw}Yt2;p$e%ld8?IO3H+bw;^pG@_jb?jDFZQG|J=$R(IMr0&>jl zkr+r+T%?d#e~Gi1D9W)dof)N7kZ%OUV2&?5I{fuQ6G#XziM_Ht=g^nDjwjmyb}8@6 zcyQGqhgG}FKRSbx^oSAgJ9Yrv)t>m<=w}}`t9TbnU?0|-lK2uuH4nBZjdrFD#huDe8y;4&FsejH~ z*9KX5yMB8Ja&`sy`aa4(lCuH2Aq+mr2MQUgJs?dstZS6aJ7Wa1v@O1XiJ^)JI@zDa z9K#mfE$r(`M+f_cx`vzW`ntYGosGV(79U>+s3GuqHn>KP4(0A{exZ)mR==`Vz(A*V z4l`qh-1Og?#CEzFVT%ph@do>SZaU_@dPndr7)M7<&$V_gr`vi*d-$tGQLgyWqNREV zpCvr-rowZ2omPX54TGf(jgHk0kK<*~;^E5Z+u_iPef`zmupkE943}(AS9edp=2lDE=EXI(CbyO+T~7^tM~9JLS-WOkZ3#_o+fM$}Cdg6Y zDd2LSBsTgG_XeipHAf`S`J>PmCo1lDBSLOb)m;PF{D03imlj4GqGdj0_!^Q;iQD6q z%lW?^KK^bbqUw!;`Lqaz8XfYNJw^EUqQT2C+gcq?w(=KbSJX&W8UT-FlHj5u*at#M zCO<~3hI=C!S&eR048!zO?B%g%$f!561GH%7^AXokdD9?e&+TMCv!%?_>4KTbGCG{C zahw#^^=|Ek@V=&X*=ac<`_}s$-{{uI4c@X_Jl5#MVBr zjOMI*=Wan07H3jgii4h*t@C#H&$xPuW`~|7{u?6qP3tY|9YfpJS3b{=q$oip__9wX z!(jK~?4FKRFJIKr`RgLVR$V|KG)5I1A33R6&2=1rrx}EPzSPNj@`r~zf{hIB*9)8V z!jy8;>%MHJaG~To2A1CsuEdqy%eqUv_JiVWOE^4;BF3SxKgiUDI{fCISos2}b^cjAvT_n`qL%L0coZQ?n8GsTR$!KvbfZ5b-TOUSByT>!=*z+{~*Uh`doI$y4ub&13v zpBYQ-ZJxzGEHe0+P^0Khuy=ES3!g(})7YbH{WfDqWO;VXkok;r=$}2zeR|(Nd-~2b z2c(aajh`LIt*u_)F3p|UsXJqapH6){mPNmLD$b5i?iT~W8y8O)F=#f?Z3iuarckng zTd4X|8D6*AZINe7FY2elb$i?4UdFfb%azB&DwY?UGjTbYO2Cf%AD#O1VhhmLjua>` z0K|FMKbc>~u3UPPdG(4RAi>F#mprV5O{=7KzCJ8o%!ic=LDsBWl+ZyH`cekCxP=0M z&^T_;IJvsnI~g=>eAZ;9UXA6f48K+kR8c9tDI&azJ>)B1orxTw@jp~o8Nhgugw?d{ zeP4t~+~A_rsZrz~(J8h0cZBdCcrq7p($RzDv)>wS@Yyr(<~K*!M;7N(WO4YH44}6f zY}QTGrwgfZTQ#)@EbtJzOa7RkI9MGj2eZ;|={F{gu)@_||3Cjc`R zb2Js!$?Ed2S5vz&zqtQ8dY*g&ZW(8^MIfOIx=M~$l}T`cwuj?vO4`Ca_!HpBP?pLY zQ?{SVglhd;4jgW>(?*7;qCws?`yN~EFpgm6t(N!n(KMgcbgt*uTs-sb_7~l)esOOr z3as~BNoOm*4Nx(SE7guD{P`q@#;&wSE_SmsBgXqON$e`q;=}tO;G~CzYwzKU&Z!F^ zxc8y4`|$T+Zl~el|6y(aXYT!HQcvOAG$fz$$K=i%#&R=hxVg^IVbA3%0p^6hdDcYA z_kd%-fcLb2yHEpvVfObznXSQ=VZY)Qeo~zUwm4Rh|7FWNAsno*uNa#dj{&E5C|Ov| zhar^u1ZQ6>_886(yfa>hFAD{mO`nTWG~*>OTbzdnHFi>%wuzmqTd;tcGT0Khsb8;1 zt{s4WiX1A~)y#~Sj)>n zA#h0^E?$JUV(UIVy{+@RBo(i?F%NrKorQ{$bf&LydTNjO1%My3T#itLJ>7Dzzt&zH z&=4~ct-h|R65LMm{32^vFDB3WwW*?qV{An}78kGhMi=y6bn0m4Jwgu_wrH*%5t=|0 zFzhzj^oki7E?=U^);5S3{wy78*gdmoL9LPy#VLm2rCc;01dFRptF`>xr{{; z>LY-1;49GDYwX~TNctZZy?RH^iyW30W@eeju-n)BrrfDWdbXEP3+DYRw?x7eu zzA6)3p^0{tK2Z67|tT?6kTZFa4A_G-oxxES$Ul^O*A!cyL3gt%?F_hdCdM{4M#tM$8G>)SF zK7ReK(hv$I;7@L(UB8EzYu&OHDafRpA73o1N6zk}D}N{WRhp&K?xMi%E~<7mvV10% zZuYLj>W}7q_KBEvBr6H5o!O*UTZPWAuO^rm2m6QOz<*?_j7v4-pJpfA)d0n!%8Sqz z-iWg4s0M6>kD7kiJm;b4T{vHX!r2gor1RuOlurullf+(vu%6N%`H&My)HoO&@y6k9 zgZP>u}!xfkliDA}J>s`d zM^5!4%?d=0qV|5OelH8latyge>f;`bf+%AVoHli9Yj8W9GbIk}9Cg;fcp2YY^lc zUOyWbiQ~~6tq|hKY4NXG^>oKrCf>+FMWVoGfAozJ=9CQ+&9O>;IZOAd>TPsy*RO)f zkASVVaN5q>%>*SUal*C)VeL~~Doe>AY^5Qxcd-cmx1vWMunznejlFNEl1hJ++7dGas0WXcU$Iy&v%4148tDT6h^3AdWei$a-xmar<7VqwsMy(!286w<5eVFtOzU{=; zUVsqrT-@xLSkZoQ?d%J|-46N~E*86z)nRl3KmfWOI$C*5)!${!3;WH@z%Bq)5s%w$ zfduV^a|^z~GYM4rzK!K&C&>>-=CIc&#KKxssR%{$?b)S?GHtOML!6^f?KtnWg^qldFcS7DHS5Ql3}eF?K9 zsz}-T)Y+bhMTz;vNRD(N!ZM7emS#=P2G_Z(bk;cO%nfmzeM zr!Gm-fm-CWTQi`evwqR6#pRPjH)pu?81qd9Uv}<^Lhvn<)b2(S2tZgrWL!e(Ni7Wj{570zsd-qO<%DPe;TBSAvUY#Z)%ZMp z-=?}|CwZnMH-z7(D5rcqq{wd9kNQVUx6#1mR*l&uf)BB1U80vmXq2FVxl6795$z^)Q}daV-d2eJJGXl^plTFRaVuX6m*7 zEKwJt&{axZ?SXRKx=y4@RM8@nd z#*=kl=*-oEItelMp?`E)+3Ay#Z^v^A>p(u?%>GUV=vwB3ACI?bZm8RHxU*c^t@pCv z6pn|fT=vH#hKgUxNV+C1=hp8iYM+9l$6NS*G7POoX}b_}u;y_VAo*xO2P+RG(1oP} zGX5^fOPR<1BQm#vIUSd;#bG2&{@04m4&;a>`5hAtc=Jn^M4=+i1WVK{;#QmrW|2+1SbbM``VuhW`a$ZBJdD$XCq9!b3V}b1txZWg| zzJw5TuvWVX$#`obrl}vTN#qkW2D;5ij3hE<2w<774(r|8be`jZsRB|rJ^)^fedYRE zZq@vGgTQvcHYylKV4uDG?{VIJgH4INLS6f74`FB7B9Y?|uBO*XjYIn{$2&MUMNg4q z5Gf9Dm_brsV7-3(81W_1O$_%4gWf1dsnIdeFgfZ1vBA>s6@Bgp|^A zF-~!PU`q5KCCr-?9zJE0veyp8+W}_mqbFzS=hxir+@asHh|6)E@?S#4Z#$xifR)42 zUkqCam~eq#MpnRpJ$HCoi#M_O$FAE+(SRut)n)kby|tuEqKSbklJH-LWd9_P&4)!~ z`+t0Q@F)GM)8_5sj_+)6&ibd2F{cz*5`LTmR5=zkIoPu#9T*jHsp?8^RPrJTJov(@ zxYPgJ@XrA2v^o@n_8lVrtw$h<5{5PpJNlgz`S=Kl&G6;dtMI?g#|*_uEoZ^^J{{hH zFMljm*{92#xv$%4Q6^q#B)jMbEI6V4;LjP(ShdEc>7a$Z@1)T;S{T|zCeF5-;LpNP z3>nb6LDAhG{-kg74bVRw1FYn-+3&2`FJgc+Sn+Px5-LI|%)>38^Z`YDK+#<@%)=I8 z>G3}g#ID=m&(hFOjeKSY^CFfzVMC83)mt)mp^MUIV8x1l*E}2a2Zy z$06P_YOpFM(E5T!4?MqJu}(92r58+#9$)_>M!ywMwkuH#z4vSMtuqvZ_dMpmW&el& zH)NDn^>sX_hWI;}VNM5zwwW|Aa0KFw35M2v1iDrEFD3FZA3^Clij9ZRQSfKYe`L@m zFE8&XS)C&glq%Eczat?ZuklGw8jyTv!aVSS>~Da2*q_E+uTIQ*(zHFTMjzjl@Ne7% zrSr6#_o&Fn^CGhJ3>gOv-Xt(csubep=cz;!6@;bBJ*^Ky=fR)mlNmH~WrKmU8E0Gn zrG@0B3m+mxK1PIj3vBD1e8Jf}3I4QS05RcFSOi{0Hg|phx0wdX(i>dl<5xcEBDLB> z4di2Lf>P;x?FgrPVIsbXKWAtM$hC(ZJ|uxws~OU^`t}p#LV1h}i9FI(3RNXm0!e%4 zBuDKx!$cEK?n#S7F&2U^!U3R|GWG?cFX@aqX4fRszVNxd7hyqeGhj?zYIERT;Pm0A zDhzEKZS#{a(S%X2Jut>YI=cU0zzX=!7lt;4puYUxR1|Dh|KfV_ps8T*(h-WhdoRi2VRi*Y0g16n125NyW zL1oH+BZ(#geI`LnhDqyx;)(x&{?TJImP$7}|GWMshkvtyp+zIZ>(~$Oy&!?ActY*p zgLsobQq|?Y$N8Rsckin3GDmfku~aE^ zT08IHbtW!){tGp5`7SV;5fucHNC=K@2ChQ9o$lEH$1cBazf`eK=hpQ8JEUaZhdumK zG0KkN6RNn-Mvv~yGI{yWc?t<%tng;5WP8NH9Cms}vyKsxEo@qFGt!c5sdMX9XoQ-s zidT;As9S={7W4$1wMG$#tSiYGa4XIapBpCjPsxickK!O##aVJ&%XxfLGMLmRsyn{k zilb&7yIojMghR9a0LpEAqKhm!rr30psWu0M2D5rDNvvnJ$S&7qSNuJz443zxeE3|pyFVEC`+qcyB3Rz z$V(CQ;2twOZ&y35KH-IRqL)O=`b(ST);RPkL#f~UH|dHW=fTyYjV)6oNB;=wH2Dq$ z$&MyWY0Ww9T_opM;(C&SzWHNgi0sd#WD{*Z712fTW>%eae0&YYsU6Ai9DwwFW4o29 zsSAMhCI!UT(EIB1)H}d92Nt5Yvh*Zo4H0ZM~czi=y zdO;VqV|aeFNTw#s&?r?siHa!M!_zVZ5+#n!NUx~?vmqZb8uHL)?tAz#WKlKiBO)FZ zEeo6U3Y#@;X=MiyvNF0IwJX4!s{pCP1FovGXeSjP8jQY1;q%Mn#JsFgQfj$-W06(8 zseS&6%h=e2FhvKz=)%pB{uB2wAf}1Nqjh|81y7XhU3XRF zCT^B6wr`Q!cT}Y%g-I>%O_^ZV*h(eKhC$=y%VE5XD}~m|3p5`>Ab>HIPKM>Qnpi1#N{ub_0KLz}X$wm*g#YMi}HwG7rGaf$+Ni$u=tabF1|? zbu$p^C&{ob&ls;14NZx5NxBBhYG~2k*x1$*KFa(Sixu;`Z4%b+m{J3FYy9XmPSbDL zI5up7j@(OIfG#)Q78aEeL@n52Zb-~>OF6D$W4d?HRAOBlpg9bBm*YO+(oV(te>5_s^oMYA!&RCq=WsoUv?~R zXTRvBnQqLpteh_VJK+bKm3v6Oai}#{!w_$!Sf9kDbVr1Ot3w%`V!=(AvrHKIxDJ%( za55J4x21cPS5T|Mea|T@&*HIJgDz_y=2@|xh#HCFH zBPz4+A4#g1PUF+*XTnG4j)zfE!uJYHG#nNo;1@1*;aOXGhA?e5i9UZ z7pdXJIOllAYEgp`G^{Mn8@@!{0S-E?hny}`xnHSl&!H~!IF{Ch@qH<0t;c*OFtJwc zs%m~E#=$CRDfZo-i?s7Twt~%73Z>Caa`A0f!cZ5Yp1(^xT$opi#eE;vOYDUHB=^GP=(n>ffM*He zGI-&y{DBLy+P7UL23+IZrV%XLR>X>(%^HB$GR2d~uQQD@#c36e0B9#bmW3x4R`?+`* ze37MLzUaQ|S(poT44&%8d#7-RP6`E<)u`v-JWz=FY-kZY>xbiNn5;ZKxPqmxM-k%ER^?KjmMy-WEcQHd$+)U#^^x#ICEPa4dm0WP zUJ;nwN5YIW@xFm=w`&^%|oUDU8Vhv-Y%!FjX|x5C%;P7POW|x zuYKK3)M|uT-;Z!|^Yqe%gNn%h_9=UaNS|w_V`-+?^5N~5C;?f20dY;yBmHG#pZZ3zz@X3{(jB^0u3h7Q&D95sK@ZlbKeDt=+Z$I=^9 z)LC%P?=eiI6%gYz*DaN(o|c5KN6dDdXLq)Kp*3&$pbLF!LpDE#CpQS+P0^I;dV{al z%9rA@ot_Xz%+>a!{(OLfn2`$8~UNre1y8p8gva&HnCY8hhxKwcq;EN zfI~#8l;2^Z7$fBr(pO&(D`7DlA4NuIs2X?EW8M<#D#X7f6}(mpALF;w5O3H$NzaEQ zcIt;Vke*LE_eLvlYL)k%-)1d)%TRx<7u?D_Lcv>}$7*0%PIa!Ucy^Z(f0=J=WZT|N zxdvs%&3<9Sz;NP%_Lv=ke{GkN@ZeX&HiQT|Exy=Ad9YPhy`I5{rwUQDcES$jVHXj91Q*nUozqk)Zlp{x+F^J;}2bhTBZ;uY}HW?rIpOI}~>=qgyy3 zm6KM>p>Jre>m7$9Ri9H%*}Q#zMeRQqE58Ki8f#gy&dNrXB=DKDd#;g1>-IPVOYZ*2l^o z;=oirDuIh!8b0Pc#>i^9eHpx8$%a(@Kq8qZ<*hOs4-w&l?lt!#mmK*ktH{*8`V@BN zU^9hhmlb|ibZdbq`gD6t5XPg@wjr*AaS z#mKJggUpq!Er-B5X)F}IE20k}Ia!*)mCA@hE}(ESde#)?+|mIf9|fc$|FTN&ZR`qp zi~0K$Ug7pIg-2zTq|LC@j=N1`KqMVc$=Mm4rlVE(vE0_OCL7{xW<*vp%WpdodK8hB zId-FFRsAkbB~0DRjwZalDO@PeAeXs7iA7c8in_Pd)1M{D%a-EZNa&9|sq(Lx0tFdp zi?nq4I?1GZQju)b#SS^0-@36T;;Oh($qr7PEwgCXRq(6!Og?T-+zi!EPfX?k4J$tu zIL)wEyL~#tVx2z6ILb;RTCnoNjW;vO;xt`39;tUfeLMjtootiZL(4ey$Q?D)j0zy4 zO3H*+hf1Xnc`eB}n673D70J0;I_huQ@jm!y3?=oSF$o2~Iv1Rdu%b<#E9Vj5Rn|Nm zotI^vmjHuMH}6HxC#O$rIOx&1>XC;=-dV*eieXB2XJX&xBN--nZF#(}e!xp!GYv+3N+zeMi+RHqfsRc6UhrI2qL|s)u zO_#aRvui(!S6*!M94no?O_0NmHcXD3ifdNnZpt~H14VXMA*YY6)z{#s{(R1=JNRGI z`Wf%;0WIk${ML20NOB zG?54E6GqMI?d)6@O*H~fLCBJnLutf8%;AVfR0K-7Bj`xRo0euAUaWB+N1nzhiz^nU zqmlH-7!87`UEArPf+SJ*Lv29x!hxmVAQ+oJXZzZE9-R5H`Bv^+qz^GZK;F`vbIyyD zid>Odael6Vj(Uk>%=E~`6pLJ+qb070+oH38uLUbu#mZbhFyOiG3?nTas@J^#|HaiK|{21Vry>gigs921-kEzpVcUF)FPM5$1bDk z0fCqDocgdMQXK8Mj@fhE+TP?y=^l+8Za+iA9sPA-7nCdC&|?z>9FtQAYqMQ%rvQgt zN9>m!Xg$$IbZ--*N$S**iS%|;7(34+bByMdqMJ5Djj9p3L_~tXI`_}mP_-D zCyrzp@u2%0=Gp1jx*|QUoN)q|@(mk9LDgRSr+AE0`6`>UPH>I6tawoJOCBr!tlr$t zJ3~*zoU*-MIU~lQzJG#6iT8BZCaJDeAGdffr$FK)Mp${B-rPzvtZj`;vW*$vP?mP{ zdl*=f%*Sd9CPhx?&lYZgQ5B5)=-Nq1dPykPQ^$0*GKY|BWEwQC@v|!1GCE@8h1&0{a zkxbU44g_wvaE%WI&sZd~)3PQ{4aOh&8|tFP>U;xIv`?n(BJs~7>I#Al+HvP{qqjURo6bt`t#yqfM;jp#(28-O=l;c-d3xNm0>Dp8%~co1xk*hYLFUMG7*R6hNQDUjq`LJ-#670C7wq&vVltekT7s9PetKrlI3kHTYITNZysEuLEG^wZnYpD#SU~s_e zI)FJ8lA1|99!kv<(dIOTk>-TZZUHIzXtG$xk@2j&$hk#9z?{hf*EWT?ZD&mB9@xV% zZ!II}B-o)i4%sos&i85j4inQ!$#L;o|R#jn@ z^w#~JD3#%L0Y!xL2t`?>pSNJPWE-sIQQz?-%+?RKN;<1-%c$6S2fPY`bIYXIeXeV@ zg3e&MIMtixK>#)>esex|j~XKBBTwcw>x@*??4BbFiJ`glx%zqp2ty8H?PTxALC!!? zw*NrujB8_2as%;4t+59YCns`IHNhSJY9?I&BsV-nOa8TCtRLe{5x8PW5xqZW)P^fZ z*7>OAAep-TA08k&36b`X4jAqWDmEI(l}W+eK7wi$n)!rwK@;7fQ>CD@j%ss1|I_(s zSztPK`=ovb;wx;g3tlQ4e{hF5n+dy)j;)#2=szW&4(|Rm{oG}Nt`Ko!QjLoQjY1h- zW;c$dyE1%aAH=fn&T@8a^80BW5;ZPHkrq4N);4>x0cV?uzUvwwrDkq7+AwNhFZ5qE zv@|Xf2qDVf~)V*x|gQ=;Z>RzqdRMLsy${g4jjZug^e zF})1-Kyhqbgqak5t3wVkBW$80xfrU=eOVRTyB-VKvlrv^2ii<8zYJOg>xym9CA$Sd zd6>dBCR>cxD`fxSU8K2SX8LS*gcu)$<)<~Y9CW+d8-?d?XGQA^n6})-gr=j zn+3S<^uSM~HyTp`^S|U)D(2`^);KDmDJP3ME%MZP6w@6g2=s^rp_8Ejz~hi8F@1!} zbZk4)1h$+AY5=2=6|2RdpPNMyn%jLZ zvjH1&-miAxAxa4&BB+89ku# zWuEDkNMX$`ttEgeFdmQ<;P?kg2*dIq-x7#ja9$~#rlvXpg5i9JJDiCpa3 z`~!mQXyk8Y9^FqDTOnqC@lw;mp$gTAl~Yw4VjxW2_tJ8@fcjdY54LR97 z(euRymdY8X?qFGBx{3QK1K;ZCodD?s&*ZXr53yX8H9TmlbAw-Wh!gzocxgw=Hd9v8 zp_Z@9Z^kGu%Ql7V6^fxue{7c2lOn#54el4s*a)fh*Ar#6YIo+G4;EW^^F@^{a;TK;hDixaV1)wWL-wenp9;#4$qlAg-H4H}7&2MXIQWnXr$k zqds=Nfc))QO>ngUp6UwwVtYsc7&A#Qub9n0F{#rQVj`z(t?U`Qsc(B7_GQCRgNNaN zGfa!!l;Y928ERttJ1#p*!+jN0zx{bBxoZr{0y~!!#Y;j>Du2t;yE`atRvuI;hs}R^ zTRYgmXT%p%o6K9u4g0+C;vuiVB+CpVmuMyNtJxmadI3m~PZ=`EW|B*)K=Kq6|5SXO znCIbrmVI&g%VQ$-Lp543#@y-X3eNbTjrM%;od`suUNTlXw$%R`Co@g}4a=Ixxot1aT>%^l;H=GWjjmawoCO`L$Aw@;`V% zz~%UJSa2q;7H>6ljs+`}9bT6J@mSt3Hbr75oZ(xoFH#fvfe~J_YnPHVjLr`WbVX2H zSIxgCrR?bLIYo*ctI6lDxQ8>`x;q^!21fDcpSbhqIEK%ivg({AXFeE6~8Ty=!&dug*XA2W# zs2{T*fq-E{4d~Fu6~d>J;YxV`tr2c<<{yf!d}u{>#=+1yQe|mbL>>SQ#%}nfq?u7) z*i5!3)*{Maw#*OP#b#v$e7a1KN~&wD>z(0l>}lHz$yFPwoHDMPF3mkksTVA*7=_Hl z`-Q!Q{}F|>YO`UNAY9zj5E+fWd0|mQH-N`cV13$hu3N+&@swgWUT=q;Wq*Q1jD8Ti z0Rwma6~ocU+T%sJTSgU=a^)jgR(jmpli7HOf9Iyv3JFTBZ=-6UxxB+6X&O7cXocxhY!O0&YK#-RuZ6pq-3372K9aClm^790_wvD_Qm~0dTTDSh zRe7b{MkWbHpg+OHcmG(k!{;`tpE(3sZ0i$i)kZI9Fm|T{&k7!uscW+}o|WB0C6!kA zG|9$H9-5+S^I3TCA`voS`b;X{G1|AjeAp3uateUrUa?{i5K)g+EC!GBt{B4LCjW-8 z{+JZRF$%^Y`R1Ew5q1T|Hf33x#IDKS=8k`>zTvHZB2qZCHO!|s5Q(UK=qPYR+(-X( z>01)yhs^`wvaM@*;)j-`2K|x&b3(`smF&PRNQY|Loi$sQ6}uh-t@fZS}osr zR{vaCFm>U2_NJcDMf8bE%xNTW15gY`_pg;o2uYA&l!Enzf7t zEeh}fd80YGKl5;NYek)Af9-kkqQj!&3JP!ySHGZXZJ~`iT9-y8T26W}UqwQU!>o3C z%q`Hg55EVAJms4p_eaTNF8<+!;Tj<9SaXkX)*LR7398=zZRCx6w0$x?^Lo#IXWbubmw#S_l<)+#wO>SR3LeZnvS^bDwRV|9AmHDM^K~fAHV3L7>2}nx-F-VQOW2n1paJ-9Sh-18~kE^e%U&zO!rR-c_r3O$9AjLvZ z4Iz$BKCUpOpa1+h36emVJwqO0zrT~0t8<9v+0|{rU^R2VBm+Yfkmd(sNgxKfAvo06 z*D)wE9%3{^SBl%$78bB?wg6SZEQh)lq9lBMVihL?Gf;W~5Q_jY$S_x5Cs!92SC@E* zqPvEvQ9KN6K*>EoEDlxV?BN&`f@0r8*)00 zyx>qg0aOPINr>xRTzwoPVZk%$jL9TE2326_3t%Yp^mFuuhhwR=o&Z0?QJ^9oAQl5+ zkXIlkdisUH%!?^qa72K?0;&uad=O>9Awiyg?l6_6G3MbM46}jZ4l+;_YM`HMIEqJ{ zmCSWn!Jgg#R3Qjeg&Ym>4-CAx8I*uB$SQnX-5q_P0i0X$_AoO8D1j{jVvs+@6CZf`~a000000E-j=000000PO<+ z000000IL=N000000C)lHnhCUBRe8tH3xr7^7$6fvUP2f`62cUc0P$W3GXkXwODHY6 z1j}eK=&DlmU68g|x)h3qg{%&SNee8*P%9Pm&a&(?r<`)?tdGDP{Cj)OoObMkhvhHhP1Rx>@8lZz_L z-lpLd#FyzsH)5au>UGuc53j%WXfOJ1a<_mchXxX!mq&;{ z${vHC)YASavR#7gm+%t<|2X`(z^{Vetfk*#WZD=X}&m!KP^?Hx|>*^1Zqu9VEP?gUc z){~>y0AH2Q8x&aDdZbVtd-Q5Zdu-In26n32d%wL}_W;w#dwKQYZ&;F!d5qkw>iQTm z+-qoKgF*f_HyGS9BDZF;_we@7}uICLMl-%uj%+Db9^;{LtC-GT*`3E73{QrScEsfEa^M3>~ z5nZS$Q(3un_Ek@;w$|s?>!G!3YsVh;>e$1!0ohgYy`!9D82=02U;Dv(uZ91?Ols}} z&ZHK8w<0QCQHI$uleYc#=YT6nPuwG7ME8}*9x0LCUm`2hd%i?(Wr@rKO7vw=waBh# z1pUg(FMN5pM_9~#Jf{~Df74d@YP02cp|y83F`|3Pk*BcN$jGa1qggFiG?x_eSF0RY zbgk||PMUhf+vDy=j%$^EB-Q#bqvVXwatwm3pQA@k?c;@=qFW!uR$JMl# zU9V`K*^1^`<>%mW#cjjm3YgEGs2BZf#nV503UjLPk85xnUV#&TYn5pQTjeU;%fEej zz9Yf=ckrL#x%YS!of(5_!8P-@YYX0!^fi{KXa1D+Hy%@p4%MAo@LI=1|G2XEi#Ijk zafR;{?_Dka{af(QmDoC8nzozq@_C?_-a_!ad!+F`Jpljq3I6TSf~QSlY~Zm+)t}(m zOLgiWpQL)tml$sup7SmGw*b6FJoh&qtTRisyTxuZCpOnAtc^OKO?vbv_0QI(Zmlw1 z0a#;8@XS}yT&v6nZ}9;9+pFkM9bJM~H2GVrJOrNcZ{DJJ4>EFAEp%&@x6y;Ia%}H2 z4z5@kyLh#~{7oo;tJ}2LZRSLUT7|K#zc_$+Bi_goJmzpCe@}tu`e=<;YwmT{+6|x3 zs;zjg)pWn&xgIXwz2=`N%~)y`_5n*9UQ@^BT17nPOMm%eAFx!1wZu#J9`?{iyw4(F z-j(iG>?ze81-mhS!&~s4qi-6ogJg-ZRC%$oOjE7%u_wPr~ZxZfLDm)Z>_@o z@sn-8{xOc0s^gj3)uw08qPbS_Qvx(#yw-KZr}HXnqJhW$W2yR?Cbe6L<8Q43j(>*e z`G38b6YE-KHsj&D!ZGP9fBt!m(l(zJ4nb}$p6?l`ZT@-QDs&1x$72xv%IqpHbZZrF z6qL4kr7+#sFkA10r*2Vd6+d_R8L`Z6)G`kL)FPg`X)rt6IyS*or3 zl|uI?Ywdzn2eZd_aB7$QS96v8r&i%Tp2zD4+*Hrzxe1=104Y`W%U*a2JsVrcanq01 zc*QSt3Ozqe8*hL4bH2FGweAO=KT<5lx8D6?S!w+b_kT*+}`PG)^qRHP{g?UkTZnW-e%{f)*4Rn1(|HN#a2j)vO?P9j$ z`mk@*dj>tvFJ*ZtbPBvP7!Z7{aSqE4bWu@UGrQ1Ho^wrh1(QeKceVPKRBqo`~XzJ$dmuYPLx zV~MTfg1-LT$fAk*I|qFI=le-67jx1_)hP1w7z;>V{OuAyA58E+8SurqsRZA+ZcXCa zFR?pQrzqNeBk?mA@?-PrAQxv1o0M((vq^%(nXcjg-W)0|V%|bv@~Qv&`F!F}j7$Br z?-gN@Xm{ib?KsNI^u<~AtET=Q!B1mZv^_r*b%uvI=AQTJlBRw-E?vny+%my=bgU@M zBWOslCBG=dC4W-LoBUe|AM>nyeB#69AztM_Sz7pGuG01LvoLR!hbfSc(Mic#h;!Tg z{0(FY!(7T=E*M3fN5XsyqK15AGACE@QOXAKW07a-HRN$Vixck7`MO?Xa1`}l4|5~6 zrF=mcBl&$o9^@mYeg>7?=O|Bc`;rM3!9#e-9VKQ|Wgum&oVP z-#X|qJ#zhTqjNp_n+3e{up^#W`u7#u{}_Awuvo5uKMSmrsizR2?c>4x0`2G1egpDN zUar>E)Qh@H|7BT8-g24`<3V}0{^Sv9mMmWqrZ?% z=O-+JGts>byf?YXOr!ry4(+auqv&sLoG;Fi&w|1IG#dwo?Vp4upl~5rV`%Row}WW& zUrN@Zdlqt^=VQ-%!=w23JaX8)(3CNh*_Y5$@FT$2Qj0wZyl>+lX{le&oZnXEP@YBR zBU8K1wpQO6yOw>4&#`%!dB!)8`Y*BNdGTQEjzfOC&Qshb^ux2W&x@(`lWuu=HPr6O z#|0hvCldL@ME-ap=i6zH+1mHX4m!ss`cEf1J9UtA?`_~8o$!m3zU!)USc3CHg0m=* zR}%hLiOzN%q61o2>U@?}{68TkyIG3NC$Y&?=;}iLfiF|4z53hh6C(Z5q#s4_5dlQQh z+*$G_1$nPD= z{2UR+i|@W|{;cV4AFmDmoB83sQB8f3FU!v^>o`B}?PGq)w*~)c?oY^&)$3U7w}Uo6qJ9HE_@g}H4;mo;+}#Q^ z|K=2NV?BFM&YbSANxUcD->w(+8~#KAb@YdAqMC?vwk+Q04|kdm#GePkd>CEg&x6bd zDV@(N$bp5kbeli7?~`TU_28c5=dkP4y%JLKUx3MMuydUeA_eV|pW%J<`VwKW( z=ZAQoDT()=iT9e8ct>%V7Qbx2^&8UCmh;b(t{+O-ettdg>tFc34#oBUDI5=_8ktzH z?dJcGeZPdFe*6xCs2b(5UcE!ue}X{$_^kv{HR{FsCH9{&E&EUWo>Hbil>K2`h))dh z<2RQw{p-l}?cw|q^4ixD_Z=&E*ZbpZcCZb>N5;8Nbxv5SZ1E+3=`tTIV$ zPsoxe3pg1`@^|r;_T;S24>?*~hCD~aN`B;JTQ3AU7tg6(8}OiW9zrFdF6hoONhlAf zIl*`H6+}{JIE!o>%&4;w9|D*0oSVaJGXOtJi2ik^gk(>Tmy&!9bV(GCo|5v>Sdrw1 zfjlZ$+7L@GMoY9e&P!e7&kZV@f)z=`Z?f@8qO3qB$$uUzlDvmYN&ZX@o05G!cuLAQ z;Upr-cd=Ov9$M(Uj_hHc^SIqa;@h#yxYfQ8i;{er;U26@TJlIKsk1#XN%C70=g1KE z2E_eBjGIqS&A8)iiwCx1{FipfvVR?0=zHp$ z3Mt=;z)mD!`HxT^hkIhagM19nUG5%WXY-kqlFR5+3`i=@p_T+lN8xOYNy--yo5x1^ zGuY04uw&8JlhzJzek8&l{!1^;Mwp);BGEs(2!IiAeHGx_xydk>cKm+p$kvD zF?{%y---GNPLTRAoH;p+=iFm5)0{VPG#e9%@y{2~WdtS(^*E*^p^nELN%=*Xl?-PL zZfp^B{sjK?T?(Cf$gU6k_}#MX@JWUIJGkVzF?V&(EIWh`^x}J-yc=HmJksz&NXs46 zOBif*ZYD)H@tlXll4*v+i00mZ19VB0IYc4Jf2bqzRuPYvU97)+DtUI4jQjIMAsNZN zOesnJd)-VcawETz{BAL^&_A2pUk0l3tI7Rb2QuO8IM-I-5j9l8qj8B|FXAj8`orf5)c90a%@zS*@2N&$oZyPG7@iNkvb+ zlKh3;ga+AZtu>^444owT5kuHk0aRyWrr&a&^UdAU<}5%Z8}Fc&+}zJ6Pm%zy;91<< zzd~N(=I)U?Ztf$tY;NvDhBi0%jNPr*=6)ZcOU~ERFd%9CN7RxAU&0AV{u~l6$uGro z&*buFhb`2;p4BHq$~PUBWxpRb_w}fc!ZCH;Kt9sVowQ~QXz|~%0NZ#QvriJ>4P=rI zUPgpp3!}dn{>hNuy=WhXT>Yys{4dNHdEf0`0_3k?_T9#;72nIj?mR1>!shsiu$NDy zK055>+p#i6xt9}Q=9Y0O0?AIdkPS(GA)u1LCtz4o{+Ae#bbK5Jw+uS7;Li#>^?YRC z5Bx-y(Z?qheg6(S-bTb3&vH3D?AqQ^=7n8*J^?Qe37AX$Jfo-&KOh;m@SLx6mSuiT z@v36u!E}}cz8Ww|zGn)+VZGgRAX`bb&_C#7Oo{yqomn&aQ{@3gKtm47vavzu58?l; zQs~T~?~emN{Ln1>1KPyDcyG=S!R}T^X4$6>EAYKWJqEANoPGJzIoAgHf11vpjPXgI zuilSC4)R7o-lHTN=MbS}r%lM9B)^h?-HhaSCy3(-Lj79-eli$YjC}BnBB1ke`Z5fu zvjBbt&e*pbot=@(Uqo=W$*%@`Y8b5x4q>YxIrjCQH!}p?%_jD_kksJ>^BEGL&PLdu zguJnF9n1WZ1oqM=sput8YHVD06RBjQH$KTuCo&f$`D2+o-UQV-kVUi$^2WyXX~scP z(d(Zie+t(@$xdDkCFSd3U6OxWubABGyo_uC9yd0wEAdLQaVfRr#`RtDBndDSZ@fdw z|2^?9Ve+Z(-SVW6rx`5k9%isQdsCm+UFhsMjGaS42`$sd0}W4Fa*oP zq`8aw`7ks;WKX%pp&61D8`mdTDw1Hca88oH8Zb%6x5c=m{0OGLq~pt|p9sdMunZ;# zXIguqjc9q5UNgSUQ=Z8D{o<{aeL&eEx-+&T>?2`8b6q(n^De_Y((dAuY=pt&<`-{iI# z?Z5amF>;XLp^D^GDamCLVu$XumB@{6NX_SbW{iffFOO@__K@gZMH;)*%`Vq3c6Jl> zqf$exRW=got>&Wj@#U|+!^A~9Pr@69hg!j@&f)X{QU;EjGd)>!S#n{>MJ;$qPMqyUpPuY>72sFGHot=E6a=4Sg^;s*vsMV z|4|94_2%gK@?IjZ(48@mF*%6s*)7+{u`cgJg8)UvzV&r7Palc*r`p>ILKg|aD{{a91|NlPy@p%9M diff --git a/adder/db/adder.cmp0.ddb b/adder/db/adder.cmp0.ddb deleted file mode 100644 index 70cb394f43e46106b00a7b0d97dd95c9e5bff8fc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 59317 zcmeFXWm9F#5;llyqG6#T3P$atgMPynGX^O2uLM7D2UDHBk=iK#n{2o+}4Jei-Cz)*xB65i1-@|8!;0* zBQpo%Hzp=7Vnt&I7jr{n;vf2kmc-wP6{Uz(jSYy!jLe-r&CcfFKGy&N;X3$d0C|A; zcWeayJGz0MYQuKOEfd}QQ%WC+`-!Z8lOXj|IP8x$HLoFwBmj4& zbTyL{KrP~vFA4}TqWTu(`fhpVc+dZm?S1aJeWE?@n>7v0_B>y;tn9pZ^<7@`%yB&L zY_eWgfjVshn$(Ed^r>=j_Bob}mD4;rf_FqP!{4_KZlQWo-p@dA=1BASie`>}ox;Z) zjxq9)J&enOZ-A>|%q_~WYS2|ldN04lN1m8J6aa?2mAuCa=Y{A}!+s47ucd57%vE^WOIoSHQ34- zo-e22RN54HRBhBP)Mi4iM4k}j`;+*b_oW_-Lb>2#O0_=7RO!Jc6ygmH=lR@-w-`e| z{pf!h*CuVm_g!mXmC$x#uwdfITDAZyOuq-hWSw zM@2GxR?J7@9Ivrt?>G6dKPSF>^O(S>?eGN*7WUnut!mF(oivjtzC~(LNV9t|pRA&7Hufh#<*5Svk8X7ppn)ETmV&`k;mIuNR z%{W+|T$Tfm^w%#j#0ta;EkmdR8j1O9QdG)Q9I{x^?`+hxzvX&KbqO|n0XXg8HN)pe zd5|ZS#Dk}fAOSA?RhauFB*T%^QN)6y@31b1O_BE8v<$SwHAq?tXV_Hh3vvX7{Ur5C zQluLk#cH2A-YUY7`}K>%T2lO_+$Quc(GA=wCk|Zhz6N2(wEMym5ePi2oW`An$&T>k zaAfg5x-G$7X!hB5>L(%hN5c8SS<2mh=-0nhH98J4>>}9^Q4cUhA1r#&QZdNFY&R{x zB$O2LEZNm84c`1IF3YR z97e-vYqX<^tG{OF#lkV4 zt`p?rG_!TxWP+73c^qPJ~WV%Vh>5k z4?Ol4^dbsPfd@y~5vYSmEzV`glKxg;DsO$i%!LtMpu1x5ZDZ)f%Gn7}Ef2o+e^&LoW!T-|%INTIXJ94C4XrU3xZ^`-M z$wahaEKJ5%@REJt8;JKIiYth;Bx?3)2aimvhR8iLo5!jh+&HDJjtnBr^} zoze{4(vPA+o%ipJrfzq>?3D6BnUM@)%gBT5)LS+{|KC-LfQ9SXExTf;PG7gIe6&aB zz-qZCyi?!}wI2#Tzmem?i|pIbH6TyBW2q-YQIG1uUZbIR`*e(U=(_6RVsCUCQ?fUn zzm343##x$ge0XYW{KEGkA6nonz)Q$01U&U^BCp@BWCTV7Wtd2sv zbJq=!xgO5pcDW#Z4~`dc$!%LVoAf<^7y1xz8Jzp&q`Q{7Z$THQe0d6!>z6(yi60%5 zG&uql9YLwt%khluK4N3Smo|=v9mhZ4v1~KAQ&MeJSd9UOwF8%TX6TbTI^BtkX*^P4 z1&*__BCh!Z63QDBUom_mT&-N0aH#KXl|*6fH@rJLo!m}S#7Il%cvIp-R6^V54b8wd zS}~&B&u->+!JEijGGWi~Fmm>tt2`o9o7#$4Gbp|`Om%pLv*abOWN#ZFR=eHPzHW>Y z%08i)1ORg-02zJvV^MdnomoWi0(euVRz#2L3~CML6`8B7351C}5@#Zni-i*1+ZyO2cw?9Otr?t{^s=sWF zNKfLC(S^Kdpe%f>+_3a(OD8=Y)Y-KIJcCvy066AUF3s&Jeuk?+SGsPx*BKLuFN|6z zmf^HGfx#V@jBn3yyT6t{C@O?#iAx8~XA#5HMhN#D7uy-(Io!N+ko#wEV1mzZVcSiP zeLs+e;xSM&k1B^xjYc_5658FBn*h!u9mIff`xy?d2WP#guO}?HCU&C9viJ)W7&%h%R z$7Svsgd(^9aYSA}2s2hW-p+XX9RL~BC`0pB`wpt}%hFx>*fw9Gq^O5O{g@)>bpI_S z70m#qTCR}1M1B@v#MG5Cy)p%Fqt)Z8%^lHpxIhi&pYJh$uI{6?R~i&#ZP%qrg+6gnyf%5jsbrN*uGUW&Fgg4yZI z)>L6s)Zcl1im_z(CttB4%<)OacIq&K@hS-s?eUKOU6K%V@`*t3&k2LDs)Q~BX9qR@ z6t-uayzaAb(Vv+}j9zZALuw<(VVUt|RjHMm?%`kb?PRdEkm^da2Ov(R6s* z9{D`&4Sc9ml~E4P7jV$Rb(z;^+?U+FMWGSv8h%L{Q(+f9si#gK)@1ly;cVA z)$|&@m35-zopOLFCM!}VW-zCNQ^(DH^fG@jgQY%%fD$L@LYuboV4D3s$@fxjHi-b_ zg`E=%{Jz@MX1}0&yAP|PIyvDz&syXwRBfqdhC#kPFE`))A6)+Y z)%lL2GXV-$SwoaeC-VZT7`ZUi=hcV29=#cAHa%lc_Z_)FzJmvtbTaK$*=;3E$~W^vNe*rrGvih*@=3M`Q4Hqeic z0Awf%K-bK|5e%O_Q!QLE^@P!<$^R-5whMa-XNp4(L5v-wmgA1m7s^e9Zh+14>^$t( zQs()=-et8l?jB=68~9nP9{UrTs#frtn5ac^%tf0fEI&;v?p$BHzNv>uXLD zzj(;nFn{?gM204RR4(?*M~B;EyEbI7TGTOlTlSS}*=2_7Ir6)5@U$GU9-)7D`J|5{ z4+A;_hR><9>Cu!?zQWO-!mflTm%&VaZg_;%+4-C0QQSW|84Tx6X+x!UJob9lG?J~H=lMyL{*5R`$RRa9K) zgGjB{$#Ax3=SJatv1{gT41CL#1_l~)?fSL1iwe8HjI%P)pdCMRnnzc~j^%=rF7uj~ z2^xC0>l{+!J75HV^;seZchD5c@jT7w*2t|aM}O&#okFAj)uPL$2b;fgQGOIDsUh%i zZSGH0&imd|!uI~Myj7MCfuOMvhiMrBTOh0J4022vQm?edCT;8g;Gy(Z(K2x8zb z9c}gvi{B(9DX@WEX4)~T)+)k5?;vT}#DOmOVlCLb&2SBO9;VN&@Q;NJC|=^#(h3Y- z@nUxV1Y~@h_LlK>Pk{oFw3s4Y4sG<@l~jI+HOU#(JQUAE z?{}FcJkp_)v{^4dnNtuo|4i+-u9w`rI#L!U5&fpi+{q4Y3gGLFgoeL21-p1|Ln)oYJVBC$slmy?|2FD8X~Eu z{_#9#j!lvU){=$Zmos+&1F9T{?Rlv zYfn;7ODjqMt23aN!a#ER3N{r{Ppc4YcYjl_pYYZ+t-JnMM_&sP{mU0~EBtf9XU>U- zt_$>XceJQZ6s=ydmAP+a9pc$9LHFpTn&e#gDg$VzaBN;{1z8m@)=u869Tg)tWnSxN zG3QERJ%f1K+3++X0QG2;#*rQ)fUK5)-FM?&0t%Ry_Zf&G%G*!Sr;7X zy|(VbK@o>4`Wojbx+b*JY1lbYE7^eU>&S6}_n?|6HrCCeG@x$M@XfuT)tHN)XTdk3 zAPUWo^`5%vyiNdz(SGt}&*mW7hPoZ>q$;NUrTci;vN@Bis#t(HGI9r0$y(DEOJ_m= zuTw?~S7W`0-ZtXGoGRg?;_7vGeJOI)Tqvy(Cfjwm?PS-+vr5HlPU0dmq?2NG57(aq z7XDi!ThHE?v|qg29PUrR8-l9cBv~u)Uh|GQT>aQkn|-k%&LRH{#QvbjH$dIP8t-c* zB%%c(*RGML)q)c`gZq|shZ>{A3zZ*DSO;j<5QL57kE_*u@x~cKtIObU7)wxSmy2P# zuEXWnfvi*BdC@$_ML+M*A>Hx@ey2$uU}QVa12`S&7j^?l^`y#y@*8hYUGy5NZ?Hsz zHx|v>idnw%9(7bzikV`fdjT(<=2ir0HI)2YvbP-k&I3)Y&i!|dVZmq+?L!OTc`EZJ zk?p@oTA~=)TQJg0L=I&PgU_=DM3hrt_A`j>Bg;+W95z98J~qbls8zX}e?Fw>q1X>v zL9PY6NTAa_5-+zsSK04!HBD93(U2{ePrU3MUZ}PD1;fjOP<0VL)!=`aU6Sn$jVj^f z3%8@9uLCj4d$v1|?d>rxad4pFd+BHcDRHatM=aU1=`qO)jISs}_MNm1>q<{3zDylphle(2Bh z7|6v{b9sPgv_Qs+WHeTYed{(HLo=}RMI{(Ivv*UkNt3T<^zsJ15Fqo#maxG08DvNO zeac|=dN{W4p52N!a1p>ueNx=`^})`aK+kPJoDfS|6|!TX_Mo}P^32D5nGc`jve!;kxw z50UVToyJ!toHaQjXZ+B*K*#e{`lYdh9jUGr+`g?p0c*=H?XeHKgecnA?nup#P*nCE zR9(&F0=^9_xK@d0E=4=mhP_vLF4NrFbxJPbs&wGkMB*LNE~DT_%GxXH9NDQEzRdRV zZhrcarwL*!5QX5p`OFE7+O=9vqoAu4mNVv=#p*Ara{JbZ-qcL0SM+}{{qLWxZG$3o zfli~nRLgq5tXYn64^zlA`BL@!LZg=*rHy}T?m!t0{PI7CK1>15n)kx;HRC5Z?;CS5 zGd37+jx1y`wm6Hxd|SeFO(=V;5hpyQ-pJjbSF@abq?mtP4ZVj|`z5*DBQ@|Vpz00q zVa@N`>Uf~jJBPD=%V&W^@ThTgp_^qo@Q0vwejysH1efc_F4<4cN)*RIeKW13X(Gjl z?xn)wo(^hqD`uS2*dejn-&`>i0%L{8*h8K8(wD0#Ri^I1&4SD9@yC-uLX3yt#6_mk zfkSPb_zzYJQX8kjv^5Ta%|zAK9dCPeag1gWQDSBXxHn`(s*ZDNllvjHb zA1@P9g`HXOCsHN3-3Aj79|uk^xwqUON=0RPZr`ti_oy>`OK=BOi>G0n8F4YI*x~_u zl5Z-8a5<^AHgwDPm|kqR8z!lUeDtR1_%VK)5n1m-tER%riN&Fp>T5_gpznK>HH-+* zF2~(pLsNKpb$J6FD<|mF?pI{K1j{aQzI)7`?KQc;r{RbFJj5`|$2d<=;W*fy^3rTc z!Q+p#{K^!yQo-w0H*9S)_Lbu{Vh{sX3#~TCe%aBEh5u~0Iq1HlDuqQg$lM1PFNWus zi+|}U{1)HRc-x@ghu~fGsImQP_^^MMC;UX&8Qe@088zc2QFbQnd0lgAnr~Q*S7`fo zv+^$OI3h~3_1gHTo?%53%{d&>#Yj0qCZm=o7K170vPn(Ia*d5S{>3N!RLMqPVCRv>G~B`CFRv+f5^f;Sfb==#8~05?LCy@G4$w)WLVH^A?tv&zdto*m#DFrtQc`~9-vIW;Iz zrh#Ue_9d|w`r}a?y*vaqeEP@Bdl}MAVfuFX9(A2Gp-727i52ZMK*)Ji&*>S!%77OV z+px&Qr(5qr`QdedxAznJi*N5uY>mclPuG*}jl3m0igUTuHq06KAkWtBu#Y);#NbT0 zseavog+TKMfzEE4;w!EjL!Vy9%s`eCLToaSGrCcCaB0-Q%c`pC7C*8QVdY$Td+PTj zZ4_E;G;5L(So&&H%j4y-wCz=w1fE17oTB8AaEqtuh30Ge*Q~n-W=UwTxVXvTtO~rG zf^B;D?3moXKLmBl$&SJ|DPEL>^X<@+yHEwfL5oA1L1k!9ex}Wl!%8-OJ;>|4O86k5 zOGqcWhxFNNls1EWfjd7giloGJt()=FNxu6QJj!usw&7JBl-$*_U@>;Kp-uS6#x*FeFP!VQ z=AHSUm4!grnMc8mN~&94(>EO~6c1>(^Jvk&8&yJ!F zevER0sP^T4*{2fctUa*JO&IIgMg9<;a4w&WeNDB-mnezr-v_R|3IY3QxxT`$FA*~B4eRna~v{QCUv4ec0Z=5afe>&-VSls&KP)yPWV)nHkjHJ`>s*B`5;`qp*yCa zbz3DiVp^nK%p{yVK+X}jmm=jE?~{+1zkh$XzFo`i*lj7wcPlxqo$jqlyfb)!;vX+#+PC*>_a;?I!~mq@jf@7fdJ;l;6}(y9+I7 zf9Hmh9%H?SKVRC=$kJWvrGD2kp`<<>et&0)*r65CTS{(iv(!tOg-n#$i)v`NG&Z=$x@c-Y&kc4mw=M&{5DB2E7!=M`B0 zVRBPNGf9hS&-+2Zi*>w(mY8dRP4%PG00-)k)fNEzOSR*vIs7%$z8vUQXt3%&WBK~wnY$`FwN!{EwuaHZqHo&LUI7FQ^w5DAtKKI?xZ zNGqu!`3E4V9$%L~1+Bq7GbgnFkKQR)C;>{69xe<~6gnTglH8o4OajzcY%GGC$n029 z8af*3uYT4aO7j2y-;PeEn{C@$7LgSK~$1UXh9sLC`BbA_% z@P7eIL2PjPJShJI_P>bzFUS6OD*xZtMVMGM!| zDQgIE_x!AG`}zcnuitgGMd%43R}Z#TSNA={wv=UR{u!JCuAp~Itm`1zGz!?hEAv^% z1Yvyn#;Y;62ko=;NS%#I#dHr2qcI;@xi4Mq8x9;~X`vTJirAUG$6CJjc%gIzKxTvd z45O$x=WR*}{Uf{Edc|rZAvpeyqnt=fzd!CvFgfiEhg}{t)mswQ*wXuL>vYHL9ZQLB zE!p3;2w;c&LiE8kO)d|gDQ#Fj`>WH2KIi!b{*_1~wARB+S}n);Zp3H=_kCuJzTq;j`h$5*F;7W^(H zk3UJGBK(HqMmV&@K^f~YaY$jdr@^56W=dIS|3yfJSOE*82IN7;7Egp`jp_n{8!33CgI9JtEwdwWLv=IW3+#?M) zW-HL~mkXrVU+rJ*n9f_SP}ZKYT@Uy*hK5;j_RaG;>Xkj*sJlyc&3q8OCdlNuFJdw2 z)6!p8w`zWs(=@?9)As3j-ydHp^AKJ~bcq0c2iq`RJt!Lil}lw(<>WL;ecA=IZf;L? z`Poahr8PLNPXbm6>DcFomO^Sd0bx7QH~Kk}^f zw7YZr#5X#1_~>~b#@F;Y4L>3;9e&tt@ZB#-O%bJyOb65laFz>#ieb|>`r7|#O*Sc^ z0bA&`z}>MqIvgys#rm1`tIdH>A>+Gn&tRQ+oU8;=Z%F(%lu73(sS1DG>*3y2Gic!XLI?Wr10mvghr|D|p zY+M(q=L8NyJ()}72U*Q6 zMuP&197Qk$2PogiE9l1t^gT__1eVwKAwXLMrE2kzYRN2o;o%HZI|_{!d%3_z**ZRA zf-*8>$5fw2s-liS*4gE4V2`F3D;HpBVcYFL${5wmBt(i7|tDD-xugc`Np3j{b9ph$7A;8X#pP4P%u*NZBY1U1*r5g}Q2g^lWzA zMJnpH`nu30afExwnr+^vS%Hbk8Q{tVm$ z2@VVZL;P3yj}VX$hzOY{WcI^hhD*>48JE@Z2Y#;Ij~_jt|0w?zJ}2{tSz!>Q5V@iM z+L|CnfTJVA!Eyep{8#v#L=S?>6B-o)f_&P}ObFTg^$7`qLjSA$NBEo!4fuj?j^ zZ8{ef;tx)$|G@r_@HzPd88--u0y+!)uPqDoASfI(BqaX7%6|n4XacyuKK;&h{OhvW zVJ@fOZf>q0_`k}3gwIJ4p--PaU;)>De{HvIRG$-s0#2GRYb*~YL|0&xb|29Oe*!R73@g7^+OD~CE0veR z8$Acic~Vq)rcNE|+(`S(NZYpH+00E{7fWmG<2%75Qa-+o;pL9p%4&3i$yM~lm)}nV z?knE@gnmMT`^D;B>uvt|+WA$HHIl+|OTlNTBNq^m!?%_nbcd(g`q{lgM-Ofr$!|8W zAA7^QUiqT$uuf#3?ZHyHxt&Bc`LAxPNDt~05AwJ})0k}i=hRAWXv**%EkUZfY?-mC zP!9!omccg+9BYBSlwrIp5j7Ys84vF?gj;W{jC2Tc;*eHpcgnqNUTUlMUf|fTf_D>@ zYN=KZc;4Xxb`y#Voh} zq3&w(*IYv1p08=KENXmR9m=^5z`-#O2;Ho$@@N@Lkmf3yK{ZNh8{id`LzokI@0?;>rt|O?)!gJQxrgM-w*{{OpeYXBU4JBrl zTwB(aT%j17_Az|~Sk-?xk!90II_TOm5)if<$4h|hP7A2LuK|ntp-;s+Dh|AJ`<=qJ4ws3bo1ciGvO-5A*vd_ zF<&Xmyk)K|;^Qcp3eue)@FO|1Taz+W`Dj;a5o6ixC=geEOT?|YEqCd^9F?30 zyGR?qdb!G2ezu5dLiRA*#4`tqowLZL1GhyJibn<5YE|;UBL>(D@FStmj$QvpHga3- z@b4K+*b7o8j8Ll#toNTp0fnxDeeFk_W3boTa`1ZC{*VjikS zRqbT6Zt%KxgL`dUN83rdn%sZl2HYsvR*gbpW4Q4;+Ab3ZTwO|gosONjp^fUu?t&tLBWo)|JiY3f6&fD@Vjp+=f z^jYGI$wJR|ILP@XHGBN1Ohi?4Eoe8CJ74PT0+%1cTg@1FLCu#<4t9Iw7*)AD+^=l_ zN&bzy_!)0eJnciqyIe@;`!{VGQyYhPN}ceo4lkk}YB(?Zx=saYi&PDiNvO}~^h|k8 zv~l*z8m9-bN}eIjsn|YYm2Zfytvpk^^W$=RX9p0)%(xX1?M<5FK{o`?{@s@9Qxihi z-UtnJ<~7u2?l(_WN3S6QQbF_UHaEp(!j_C}fI837%MjhB8|xVc6vUY-m=}CkhjK{8 zul96xuv4IF|0R-7#gFJlPW2iDsZ#)7$80}dC9^e28I`Jg+s0lU)LS-7`8whn=(d3Q zH~*495Bcz;ECm0k^qF8hpGTX`v6uUAl#VFIIeg)oZ?NXy| z4PROTkbe;5?GQvYDa?qpem2f3It=J8JU$puJOVn5fLg5A#f~Hz-yw2zKT0FPA+#@11Tb;Y21ARdCmHi+u?7%h-(xIG|Z(udG z5j66@6lpvuFc5kxO*#hO3SYm}KN?%$aK(H~PP^v`^7mIh z{Gr%Fq*8_m+b4KyOwE8JLTd0;);^2*N_mKRbC}*5Tc3$mZqBkJgm!5 zBgXz7Y<)rW^(sf7;L8-GkE^9a3#p0ciE?EAg(qUhmC5KN_*pv^)-dRntn~%wX2d1P zlQC9Jj~09rJK|= zj2RE#F1}28(o(DCN>pe)Nd-5%Y#(zmqHE;iN$b*MRB5TYK+EsR*+^4# zAn%%=0yah~i%*T+18UofvJVVRFEI4aTS><7fa??8K6Kr~{j+Ma+#YU=z#k2?pOTK6 ztiWx}!N0`UK3#CopwM!_$=A*Pu&4vwI81|82E*3m+ZtG0{IGUg!p))3D4d}Sklc5(zs8U zDwcaKU>-jZKO0*z@YXUp*w1JN@)4~UEL}9HUH6OfZZ?kMPHu{vnauaZ7aYqoQvc;g zUL?u-z-HkEv?Hc|Or>IMOk5;v9EA~n`TV#yHtuWQ@aoYl_2^RSY_n=v91-y<5q-yM zXoPijXcuz;FL^d7oBX|_sn$kf&50*U>|<}`FM-Oij~%>%;q!i$sE={9gQZ+Aus06~&A zq$eCVT}Nu$h}}!^$IU>O6zI=xj{859o(+lu+lF6`&iaei-!00mGSXn zC+~Z5`An<4UdcBiGo7s!IqjWo~3p_?GCD4-LV2{ z;NVlv%&msw3NK?LU9VFqex!w+>H?%~l8t?n#e%ayk|;L6hdb;`-P$P)PI@U^m9!Y= z$Cr#0>GR)lOe3g+8^SX(;^;JZun;lMruh?km9@`mwar3pmVPo7h6q;NXV*iMQ!nRk zxUav>^>fTFecz}oe*oX+ca7or(=DDkpyp8lwPa3YC-I>2G+c@kfD?6ClDx+U#CS(Py zX5mZJc$#P<$K6hoO%Y2?gEEd+GZe)d1}qr3iEC6AL>arKJAEDR4>&(LR{N|m480J1 zbZ;F*oqJw|D-0BPy4zMRX>U0vc<(n zk3^^#&GH4XU^KOp9EKlXo0jS~hq6~RI7}-mF%zv4v=;EnB=HYPj7 z?pawPE=H_E((>0fLe*1qJfdK8T{SC9tXpGtacMGTplVw(^)WjtzFJR0{VRMeQ7P>6 zHBu~wspg@MPpqMfH~&2XGj{bkR${d+o(BNDQ==VGIRSxp68y?9QqSZjlIG9@T7$H< zHLz{a>`62*8kY|2s@>w!?g7l1Y^NBGc$~nL`nPQUnzo_UFF;-$cQNC*TC!YUQ8}{0 z_w8&SbPJm&#*3EB_6bRdyne3S0PYR9!F+XtMV4`_%ZSM@7E#jUUr^~L2t7xcOZPd`wEK!tCG?N{PLrSyedULn7BoAa@cuEKOoC%4PV zMu}tfR$k%rCXciCWA;>MVjJH^qkL+%Hj!jovtbE8pU0itpLwz}*XgOO3&}r=MW)kL zS&6udpo$iOF~*(^W3y$Omu+ZM<3}X=!r-GX9~pST#9wZahp7DXL~;XwcT{r+*U)09 zIA~?jOe~3XatDXl`LXT5dhkct!tBO2R$0= z@}AoT544agwpokOevDc4jsN=6KEz~rve9Tce4gdWyTMcDo<+kEU^30H>B%$Sye0W? zf0VpXCsBP)aB=J(alHnq!_lKoK80vc8J4JNC>-*2hDUUbYT-r7R(O_J9|CbbCIGbu zIuK&^7y8CA4KaK+9O{tx$S;7o8XZYz(PCgwjkB7pHJuemyGE?jpS1!{;PYLg1xHoJ z0v2Vjwue)7wo;cnuuD6$-=R$MAkpUd%h&SYKFLKl3uPTP{Hl~BCHN!_r(+d9YPKW~ zWlq>AF>5>LRd7WY=shycfLAfy8fU0KW`CeM1vPpC%I^XMdLXisWcv?-_2n>052A#P z*trAVn6BKwrlDbbxLn9El>)Rs+hk(mbjA5tsOd{)@H#^(2vcgQeN}+0`^I$kE-qNG z=6y0rZ|*GwY1GEJcnjU01Q`yz?>^eZaV%!^zgVsYgqfl*^xeeV;joL({5DZdv~{N}ZFz=NOPK08*j0!W4i ze3}{XcsJVPm6xsn#!^n*_=Mke$nWu9#H&rWe~K@kMttEn9o`0f-7NOm&2kk$dNiQ> z{D8+6m3{Vhg4(p@*QuL~&$sSAm;O`XR#hGKu+p?S+l}8_6sikzF(qE99uOo24V#x+ zyVr~1J`(|?Z1@4?Vpo)}T%X>U=;7Q|Ep6K}4bhy#efI|-M!)P)puTY#0ooP0h{;XN zX1Gx;yec3hQI&sijS_zZVk}Mu5TY9x>-T4^VkFTF)6^<9HAB%Ka8)1Qyj(2>J^%Jg znGTMWbA`?cML-(dUwYOp89cS@2u@>Ib0|dfnUsU?d(U)y%oR))1nJ^9dwh^dei(Ta zTD%`?30V9)H1(|;4mCe%rE_9!cKjX*Dg-eoi`_-BH&Xr}AQ_ zl*V%Wrue-F5 zoMGtOvi>LFVNMns=q>SKNp7UtafYI8mq`=~fKSBX4L53XmDL{*(mNN_EnQm(*@KN; z#iLEWq`^o%Jr*MyQO56k_+)cfp8@FNoewV*$VY3*Uw{N-BqmY(VzV=vYf6&eH5-8R z*^9Ti@&$-l9LHqIOxuE8DEE8^DkVzwpmd)^<-b;>96U+Uo#w&>iSt^j@Ryzu`Vdxz)Pqj{@CAtqHb zGt>qB;7%!L=Ay`rJG{z>wI}hfi2H676CjEsYl;NXS(P@49JcQ|e3_AGuODsp#Np58 z09#=cQn%2rt0x({5sdVK5aQZGrv=^xsRk}E?6Hf*Kd363hge%<*DY%5C65ukeTZv| zTXIE{Zf`$Hmty}~mG7km@Q8q@qv=89Enh4p;g7$dw-ZBs+!|$a)E#9M`&HhBNFIwYBd`j#q%{6Wby;nURRQ&wv^9+^ltg zmTR_)+Nr^biMLnji-B40g*{b<$z?EgA@&6M0s)&(sR8>%Kph)R7KQPM+Ua((c~RCv zrBPkM`pS9S+*7GJv-QE4 zVEoK-*<9)7{=QLz-4vsu$N&*DwB{BXq=mTX zC`qWGugIX}Bqm(wVUl0Fe+1BzU@Cvo9@>>UK`0JfAn~aX+)=lyztCKAP$P47BR6XH zFCxj^qlgwPY=Z=3gMTg@^~v>eGubPS5ODDNS(^B8#kt6gtyv*kP>uee264HvP{ zBv4z8f#p(5Q5oAk2b(&T=9+7oygw4a#yEU-`{GiCb$aeS2y zdRXgtjglZC{i|ug{^;@PtB!}CfRT@nRX2?Iz2`2jh~^jPQ?h;b-DR+P$%hi*!PCLKI$P3@( zW!Y2YK{60?B?VyyaQ&pOlhY}~!EXJDNs19oeyiR=>_vzK<1eS*DUPa9;g8(Cgq6M| zDKCu1YFf7y>tDK4eLT)TL6V5pOTOfsPLVfSwQlNxnhtgrMGM>c8!SOY(u^i;oPWO1 zMDMqWMwk+7!VK+Iz@-H*F$8z;9j@e&GeXc~W3jo$S3cOg<;>B%sH^W&8TcUof=oJW z^Dh3H2h+e(Mb0_`NgkRoqsR2MUTkTUmJOVYV_vv0KR)QvR(=l*)YllD-U#l~`BGzY zl2?w@eGf~s-Dy9m%EauTHH#^uP9ktXD;ZFBuiHdVyF?xJ&(S|iw}1LSsQVQwPG+Am zF2rBv)&DgqnAx*uN195f z>(cEJ^(e`Lk#K}w`Q#~0Bc%D~J9#r}^aY^1g&zJA04n%>(=#GGf!T04XOy3zDJ12+ zX7>Z$rC(9kz;9yfdm@NSDoE%aE~->pHgG2Hht$6G>nQ_Xb@RdA6}yj%Zm~OFHzqH9 zsR8N9Y04~mSPWg%_Rs3~c@|z$!yd#F<@IGQ+iN_g@O$}sA) z=ppS$dGZ#9jCw1-r-Sw0`Db^K{>jc*EkOq=SZ}aHqgUgs4h5Fb1Z4gM zJS>>{ebCQy*<+Vc{x>gqWlsvf<^j@K)GvkwmBfJ^qs)5OtTMv;+Rf9qezvw8S#1dMGgB=|vo`qeh!lAoJ(&}j>R+oDzWhCq@e$&v9xOV@c31wG-ylh5 zs29Fr61GVFAF94Os;wtjxGnBlT#FYi1cyMOXz>N>LLqNapV7H*41$xIBOw+BQCrvFM-n@ zU`FO22*5Pc;pa;GAN=%~{9pXM^Z0y-_rLgg5sxhKtkVVfkCd#eA&9V7NAG^w30J2L zGkvZIQ3p8Lhy4%PTOs~O_PlOyM+@lZ2*>68e*E{jxSV*{zkDQCaq0V zaQ;+TT#|B2pG;2CNB!KFciJbT{jTp014#%C!`DSp2Z{pG($TiQ-_kf@>8ONp~RE&;>j_hT+lY z)H(*asfyIkp;{9Xy)v~2tt8dRrNW5Xv!DL>XA5&k-&;5h4}wM``atC034Y==&XL9^ zZoTna;@C2b8Q*M_WT1?-H@*B=^ZN8j^jZE!2vx+$Lu1`>_0z!rP>uv z{QfSBrR?3$D;i4myw__p1Hv!H+qJZe$e*%4qRY3d3;S~+{d+rC>^-xt|44uPcdZoX zSy;uMS)>0W^1S1}I5_}n0}dZ*5ZS-razBE5aI;d^7By^-T5GT|%aaFxm;IGLC*a>f zwgZu6D6{^%^GFj1wq^wk)ek7c53Nj^|9su>XC=lD2F~;p9*4%mOM{MDeSc>9okTEO zJ)Xr6FUu(Q+yB2_Eh`;4+#c-%{XOZKZb02L$X;5F=MJAx-CS77%3 zcHI7zP39GyZp2SA+E&(8A@{5M|KjwL;E*_O{ro8AJA+3}xg@jF6k30~u8C!5sZJ0&Q6per$M~ zZzFhKWOo$ql(Q{;xZie6w^rh7fLDkIqD$$tBE&h_J^kGV6jq?us;s@zVK{P0ip z4%uOh>eNc4>YF=gFt1slBN*%K{EdW7c-pInw2wQ3>(5_*<&Tj*oy%_^x>i2zwoA`d zGj{cg@BHl8i~Bh8eK$o5w5gQ;fz(JinJ53p3RWQ6JJ!j9Ac`uH&m~HCjST))bRTc( zP)tjzIS7q^;@D^_>)!86&H<+U;>D%(jKE$i=!m>1{ghonK16GdpZfRa*aQ-`{XQ^K zOVf<9B&y<$;GeFXhHqHT^vam*r=}A!G?U*%jIwGwWX16+!*^I%O%|EyWT~TZts!p7^zvU6)q73P@iXF9kt95#vdKgFz8etoT3#aa%ILtMD zI$x7=B~-Hgz0j0lHPZX(SSxRzD%$e6h$wsHsf&pLVj7!`)_Uia3ApIiD(7S*m`o-m ztzVZlTlSv`Sc;VlUot_4$g$Hj6Ra{wnu?)1sob?ckake)w8;Sm)MebF2 z!6N+#zT_{%rW-}tPz;OTT1I z&WALC?Y_~3e>ae7({lX}LxT9Z^mW{;)`(ha!r{h@s(~gj0`Mc}lRuCTrH9H(z6s-7 z?aGba!#RSn0viEH2>!@IzcfQy!Tq0qOhM#t2VA&nh4F4!h43d1>pI~)?bH;(7wp#^ zm+$_Ebxal5Rw%!o|FHs<5NnmbP%;H|F#W>*gN`TQYI31)gkyzriC&9Gvg)7Re+0wl z0b9ZGo;~A@7sT^5Xbm#qqXGBD=EOVN_fitpU$$ zlOB1dd7g>{PNyIyJ+M3a6XZdQyF^1N$MZaZx<8Thm1pu#`pF?n%MECft;V)>$kWz%s+~&#HP`_;nE0@%GxY?fvcXcnxI4qU%O?a%>RrVr>Na0hGF(<5P&cxiu z0=}bmyLH16iEdunKsJ2E_}Xfl{5S`>T!M2E$(2S0@L4s=Xv=g%5L?Q1>4_%`#EE)KfL}_+poV)ggcxqj*G3wQx6>Nd(8a}_InWd z0T*0nc(accRUO{GcQ6>cajt?MBAqeLrVLKq7u%-hjkWN!Yi8@g4WERPq*4ci5_Q=C zZiCy`Qp>;Bmg}CIr-QpN!Iw8%NXTR*`%ew#4>JO6E%0{{kjWVHHsKc-Sb2(IQ{_+K z_q!Jlmp1n{^*BBmeEkb0@qfn)DnFrU?SoZ`PR_GrKDp)CBO2Q*8ct{A)q~L=hoesN z-+R5*rwdAUOinzLR4QfHY(f`T4zSYxp6;N;FR=5#O6=F_&(OOwatG1Ls(W<`&-TLc zuqJm7?s?W0IfgPxsFaW`*JEv|z2Vy{P3y5QaN?)A2iN}gHE5*`y4_1_tpdUEf;J=h zwIGDe>Da}0o^$=zV`RgDf|#Xh-d9ucKb}gq*n(U>?bYH9Fytlyzc`S z@rl(O!%3feY0ZrEIYsEgaTAZ*9RKi-Q@#ExXG*mC_DUpEgC z>y9;X^qk|kE&7AWRCCso$#Qd?*qy1#Ula~BJ{l8)tr5S;zarOlIP-d^#B`ZgWWIr$ zWU+s9H1qp^O|Bgao31us?$zGGp)GXtOo4%W#?{0qSBsy0j$W5wSX??s79_&2Y-#mW zQ-)h=B_kI0()vqj%WTaf;SILozH~M!J%)d+EyKE~xJNI2V3X}Ur?FhYqPZFss*8~V z$5)g6Jf{nebFP7^i!}nr+!hBtPh6Opu6ZY5e4^Dv^7@O%brVY<)sLlb(T*1elHlwX zJ)60vjVbPvOyjxvHF#NJGS@Wl-+%kL+m-|ZbOf^L`}C$#Qn$y}MFuYHPjN^EIwMm$ zM_|=x^?)^}&Xrj#VVnU~?@yAI9f9eBJeyV^TfW8(!2Rs-)UiD%!_}6n@fFZG-cH5U zn9Bt(HOO^PFxeYwu)`K|!cs?5oscy(Npu)B#kh{ijNtY6d5>4j;bn|ixoZrc2w?R# zZM)z-3Y0E?|4Rc8b;HsdYE9Bgv+*fE9y1PrnIE6oP7{Hsnlfw|t=$eYMJDE)sDE0m z#dLMeozdHkD>hz`!dgL>)Tx6l0wu|o5z1-pCvB?eYR(G`kQgX`hRR3FxdSoL& z`{wrVFLN1~!g00Aq*>=DoKe+o`pIX%mriJQb6s>lsrrSu+aQ^G{FBIafkZoqdAVtj zyK$gofb!1crMK4WgYOtskTEWzZNGxAq6c&PB;B1GS4dvR1Dbaa9%lQSA}uJvemJDM zKSTc>Xw@9eUYUu2{YY1_17i+Tn_a5CbnKtl`g7XgHzisU=Mzw6}jEB$TY_ncZZOSpHW8 z`^Ho63v|L3pg{H^j@!{a69OM=t#p|OGb4^|$>93puxL+L^9*HhGxet-JFzgLtiKI5 ztJI_ywFGy>=v-#WX)Z=*R;F2PcG|KldOP>q*TR_?C@3XJI z)m&!*+NKJ9OnNt|+>FjadpM>|SfuxKDouj*yFD~r1XDv+?s)lOD{ux8q>91X0tEodMbovV;W0tc+(#&pp6(oqv6lt-R(wQ zrj3>9eNaE3aIon}lu~C##Bsr@;#v~qR{~&ubSIivCpKoXz=Rl!Nu!(q#*8hs+l_I7;FC5GN z<9@u@@08yt8ST&@1|_{t>;}eXdh;z(Wd03NQjtFsNsd5oi>>4AzV-}3GwluKJ|W6H zVraa%XYD|Pk1@7(>fL7%aIw?K@6MBLZ3A;HyXP${nu zH<5Q=Yvs?GX}9NVgP|E8I-nj>sAtaH0OlJ_5+7EURS4EM>Gj`(c7R_-e|lwYPM8+&(*lJJXD3>BOJiH8`jjHqHCI~(ie_nn&tZ{@Hh?HTH$%b3^*3P zMrW1==QF%S4?Zgg^{q2_GHuD&$B3K^|j+)+Y(YuYUB9QhdU)A9ryGLF)iIA*HKd_r8$Z2Zik!I>fOD@ z4iW3|$FcS;ZSA~CB~c+@M)gP$W$O?qA8+#G@7g%D<}T)NjRem7sk$X*!`#Wb35yV1 zs;)Dlr!ju76*q6m5>cZv>tz@MP+Hrjkuz=?=GDS^F>%!Qqq|gcJ3=_P(%Cna$L3!O zN0je@PHGpyz&yXt3-+W!kcJEE!sGGpFR!0>bN4YYYk+SB*IavT)1E`lmA+mAZCv!< z`H7Qyr#MVf;|!fLtlW zPv-sCRTXMY+sm6OgN{M$_QgyQaFS2MyVW6kWIreS{D-^=_z8^ft%Ju#JxI@S51)d|IW4)$fVh5D!&qRqgG^t`)I~Pp3tO#dV z{w3{A-l9vh;@z!ab(W-c*GM1Ww;h|+T9V3$KxsW$o6eON3zDQs2d`A{LHy)_`p*{U z5sL@5GN^MC#np0Wci=Tv)o?!-i3MD5zqsk=>Q{Cydjf$`D4bzx9 zm`}NeE6j};J#i&1b0+N3A_xAFGpGN zpfs%2*g(~1rj8`m7?yx8wtX<3`D~5b8hk`(&E0`ntrn&LJ0-kQ%Q#W)bcYoq zD6txZouExyygCeU2K{{uxM-tyT1pUuo}Z+&tPWg4?3Aq4|%oDHLbr= zzpxQoqafe#lte!~i!2=3Vh`^%YwCGdbvciFEcyezBuXq`Hk^GTYS4bzpTaZrL;oHU47zjuJ_%>dY8k&)4_O# ze??_wMWwT{vV1b3QZ7_iQ>YwP)lnnD*-ri5DKaynw;b@yX zZmL&9etCtHWeL5-&{i)>soLt#rr^FS$EqWmG=oNe415XfLnUj@+teDHm=@d$8oC+n z^ML5nV#IY7^+0x?ZmN4drJwUADdN|Jj*S44JQ){;bxs#og1AcE%xgzh6f=mY?KVPu zQ$8_EI|?0vzGwQ(5$&9NhjFs99Fjm=q|ae)+Lnd(u%#ed5r5aJ#Tnx z81-3ERbs*C`zbR>9?U49Ls6FYhN~Oy-Q8U)a;cuh{h;hx7yOc&uf*O-Zf@PGR><=% zG%p{QEX0VdXl%EhxuC1eFr8V(vjY?Rtm=z%;=R*VzMC+et=W_4uoUQvQdIUib~X-1 zLlTN$*C9I}amDzTDTL8=0{yb)jBeiGoE}7Er#fmNi)BUmEPYR{4y2w!_VZ}L-^SRejaP?#!}-WvwEG}Y-KC}KO(RcG4mT` zUh)PLAN@=$6XuU(RaZR(OM5hR(6d)oV54n5DK_=W^q|}leHByreu1XwK|Ox+?YnM5 z3B0ZQ&e$ISD+t}{Za|ZR!)tp@I2B5nwWot2_Q7@kJ5*>f2&jZpvOLkW@vWVH9Nl+T zn+_V2%?qjtSz5RP9R0$z(v+|{wN8*Z>br^uyWhV-N^IzEK>jO^jQ<1Sq!szPa$Uq! z-aC{CD6mjaQO3ZL$&z}BIQ3hkr)q@cfpyoRp57;UM)q?mskM?3d%jKH;8C7p)F_AIw-qiLdzXFZ zBqi(yChL$}dI0mzUOB#YRr?O=epE}SPrc^U4R?LmqcWsJn7&w^B7rNG*$&%ztk2D_ zSDUVwn&qv2#sT2t!+SF`oUXH(?*So?MdN1MXyKVVWAoW!L_=*9|_?@0w_ znL{w7e5Dr)?Pu8Me$NE3O_Bjtf+ee0ifX^-7$5ko^w^Rrc{{Z63eNp@sxNivl0=^I zqfm#Y2@WStw4^$B=l&`fcUqOVm;pE&Q{8KS30&Yq|-MbNZlcg zqHFxwZc2D9tpG0T);L@h?QIq+Tejst(H}8bdhJuJ%3g=?&G<`@A5qqXC|DAI)GRn^ z6c}DubS+>I8PsO8Q2VnKzu+fK524xXBtcWt&866&WwTb4WW)lvhqj6+%5#P7OU{QT z2to-cuv(W?@Qgi47Afv<$TVwrfANds{Jusi?_l~U;Y9V^SVS!Gj#SpW6`uz3rN||? zs60&msA$X8nbU0Fa)z{KFnwmCU}!UKue>Q=A3Iw_@lk#2db<}&0KsuK*r{!v+sT1h zlh1TuCJGG#LF6ZIp8%FfUB#?Wfn%D?E3RS~Uo)~9aC2dmBd_$(u-aR`1EtdLs48LR z4}?syeSCWFnV+9HV@8(}i#AZ%X0le2-RgaotC*_&(HQER%Fx8J7Qhk3;@=6+;jvkWZ&PlelPiC zrRiPbf;|7lN~U=-)Tz?vFb0!Y^Z5hvydnoId4rVgpL;n*va|fPfZ~HLzDEr}kds`X zu&UDB4j;DYR3Jfp_NRBaHa5NOC0UL`(b<4LnU5biBYd$%i0wwc&RfA&(yLZch{WoF zv1>FXgXN(%k_*S$v+AS<&&fjwE>BVw-l`NOV8zi@!eJ< zg#(Z~NhzCCu$}dO!KX>q>RLX_9+es;G+$ADF)@66Y~@ZT?ce)hsZy*@-jFLK&7bBGkpI{Lb*D%iwrO*_%-`b-kW8ygrc~h`&r06OI z5SP9Y+(F=;;?5NeM9vZ~1=xB!o;Vj5__>cD-47AuvQ8MmSS!P`g2#xgX<1g*t^ z4-UT)U0WaS)(Ev5^qNL{k5JGH%(SBrSodN#nXwmWsZ;+6C^_L zKR;E@X!=E1!FkBci6rlza?6By)T#u==y+(SovgV-h2)@bLG%5D2-NLvdUTEDkq#U@*%5&K6MzI~By+X%8;7&%6chNouG1RQz+<_g_0HJX zO9|j{o;{_61ahfGk7ORGPF7HESW1PZQ}=?LtndZmQ~rkvN!}wznWXC(fVn49BthX*CcbHA5wU3jNYO~_2^;e@b+Ln-PfM@KAs%pP{l4_87p8%}rZv~z+= z5@Yb}hC)w`i;l%Nm+=?}E(M@}Ld2TKr(|XiK0H%vg!kg!uG5mQj_d*RnncoN_~XA-=oVHJdGwe|c`im&3nYEwYI0 z$${qcI=KwD;^2cW_`XeKte}Oc^g{X`tl8^vXlMjmYPWW{GB^E|TDJ5gL}iW)W&!Ni z;K>jbI#SJQma~1T84QdPtITX=S}dy~TdcP3HWh$6J03of^+~lDnb*l{6pn41k#L$j z$X^WF)wlzhNZ{SZpez(bcQl>?`zQTUhS~`gSPr5ZB)cyvWy(s$ZK@X^T4*dwf5vm}tSS(|bxzz+egifC5$z{!4763ND(^DI4{rLs2zrkQZP6~O`3ZtA!Lq*flDKwOm zCdwnoU8EkteHGq)=UCHAGJ;_Sj|TXp@8`z$80rj0_)4AJVz|zIA%5D-__5CUTMJLW zhLl^Ct{N;iSjR-^cXlYT^+5a8l*(fP<8Qy#_tSImfV>E)s6j>*^aa38C{6f)0h;yq zaI$d{(z1kBNj%@_(LsovN_b@UH~0}|c7<<$JXGPwk3eI4NqGtcdNKT#=yka4ZpM}; zQ%gcpMEGEr<0aSNS0AxyEy#$1Ygu-jBRq1cfK;?-ERhh+j8V}NTNY) zfH(6NR3w2@Vws0mc_w-O`P_lR8yTj<d2VT(glsQV2%gqpC2C<&|%tihV$xhu#9VZ}n1T!)IP8eQ-Mmb0!I4JGyIuFML` zwH}9JmWv%IiS09zFQq1=hc2!>f$^n3MiuABX@mYOW|6nPeUQ$V*hwQ89o2V&Ha@c? z4Y%rdV~S?ILN$!OOQRy`cdnV(MKRgxriNkN-*$b1dq76JUL%$X*JPRbF8hEkmB%(z zw2(1G8A*GQ2z$azUj!&rzwEe~JdGMn0d4cJPgOvS!SQXVh@gFFk5k?FwcP0&c=B&~ z8rdW_Cs@HlpOHG^ZzAu37}V+Xl&|~AxtJ&Q(_Sq;Wo10s&IX3K1EbteiT($$=~O4t zv~2IAn8)*rnU0pvv)i}Qn)u2pPg6=JeOFBKSv?+LwHlSQh< zzv;J8VkDo|t`_BnU)aiKF(Tw*Mu}Kh2nu|$WY3F3F?_*kYb|svCOVTPlAzqv!EOwX zU@cSwFMj05D&TXZ+F0oD)Qq>N!L{1YKN6*7k4?hQUxNBe8u&#mDO(Fort$S8Jl8n#=Hx6~P@q7~*ReXL?nxcUn9y!5*KY4}jXu3JOKnqp z9bwl_t`WJBR^AH!OXI2dEa+UxF**jgh+cVU>D9U*@Vu4+d8kYak0d(HLS+xEW+GJx8Su?+M54xj#q8 zkLKk~iwm;^J1#VX;Y)#_TgK5cQ!(1L7MTt^k%%#|=EA;DlQsM7Ux%7FX+z=aFe8EW zw1VnKEgbejjXB+R?g{#tub-u*<9?uB3JnZ94%W9iCW~lLamPtsVDXBk<0J@VGK7eK z3x{dN<0*-Vmu2@D@S>D5PL5NMudyX(WF=Hhi8s;wOXvuSURWX~Z;SQSh#QP?UigXs z!M1CV3Y#bjfYOM=>}@RKe;AvxtSmRe)L- z*xi)WP|MmkTcVlNoT^5tOz$3!kMH_6ch`vQb+S_c>Afl$nWzH;TD5M?&vcwmZrQa7 zUfM6E*o6!;mBlx#fH)NL?xB?DuKgf^M9hTh9J(3mgc|S$h&ryZ_~ex9tJyaO{fwcR%E1(gdEt)Uyy>ym0 z=?jo+x}t(c znX~W`2d>qh?)-YM_c_-=Mi#P}=A@3N zb3|W&&fR`Bca$ z<)rSj$3Fm>^PREf7Lu%+LZiI2$5U}z3!PEPhe~PWhCFL>+3*fOj+jB!gNB0Vah)U( z`iO(wA3cAFreJ0=A1$>c1lgT~z@Zc+4b6SJfvljm5`#Kl;o6u1H$>x0xYKKLKJmMG zFV$K_GGwV-&Tq`-5XToC`y=$CXvp^4+3%DK*fT|~e|`O@C3Y*8+yqUtv07)QJoKf8 z9N9nO6J=shLbH9D$wF}WeTb%b&D4ZSiQ%=cFPD{;$z0j!DUM9X9OU?{=LL@IhHH$XchC5 zb5$0Hc_M_<`LFo_XdU->NW(KZT2T2^)&N>do)S=#Kk7;Q`=hvGEhD82sG4}aO{LMA zb((p^Q59O^&2<&MMhy9;$Gl=L6)(}?{LnmWK z=-S~=L633zF=!2G3E>w?xLbIwl)^a0pLc{`Ub#aXnq7UO#Or0sx>_X2x+v z{@k?X%A!F<#&vQrz2q!Sj^<8g)ye~06nesRM?Ri}qldwB^v6*N7fP)Y$IWCN%CJ6mnQIR?8%g&I`O6RdD-zV|Sdb%hNS1|@t z4ejhJy^lq83Cm<4H&hHUGyQLT@qwhbimYWY)40VXUMA^ui!3^HC6ao8w%|G05bdW#1Rw+Z1^emB zusnKj?5t?_Wf9O2w8Jsry;DFj4sGi5eknseUkeqNl4yKuMbEG|%glp2RqxwPUzi^` zRmO`YyN!(k8qo}m`>>Be7ztpvAMuN;iiAo@^hDvM@X=~2C3FWnmDDF^jF&cR$*bmW zzRv3Kx(>Zmm2gtpFQ;`DH)}m-VlK;cii^^^c4MlC|2mgLBKzlNA@7e4IBe1e5+Llj zV?ae)ZOWb`%fwLjdfs}n(YOl~$$dke4tCbVF2>rBLy1`_m3z8VS@Drwo=E{?jg>G$ zOB#LDr@1CdXy-b-m~CV6AyR*|R@PXGLuLqba2Zaz4Vi7bDdn>oLX^?=dmh(|T!Tw= z^R;PEtc5h0<#y8h^Ca}h1%Qf?KKbP_CuG}&?WH$<+l8`wNzU1E-g@w6n(kR9GLQO3 zHq^~~M`!^9mX;+Wn1m`*d#5raAgG*#ZCQ2BUzQ1K8xL>PV(W;ndMAQFMT|TFwe8`J zZ;vi@1!>_Ltw^4^>cwB~k|F4PdLl~~Lq`4c<)3S-mLNFCwrSTE5~s?DnaarY5JjJb zlsDgh2tkT9-??R%JW?`Cc~yooAwvw&njF@yMHc=Pf>9o%ua)3a907pUgze8Qhw6Bt z7AzcAr#Oj&^g*K<_ct^08OuIZzEkq`Bh59bqn@T}WhJDCH|5s!7FH`HKwEoK@66@| zen7o7q^?+IWMAKF8Zin(%1Y3)VyGiIe&t*mGn*5HI&oo%&wj#(dRDL(pA3W^uQ9Sq zHCa?6-O7ba1>-Gd;;hG#{m$H7V|4Bip)5EIcTP%G6({|yqm^k@-!R4YpHt!vhjlI) z7u~V~1sn{R561iWCntn?scPS!3Rg@CEMm)SG%HDj37{KnOIr$;{KkvTB48tH-+@Ao zZBIG<5Ey6J@a5$jDmzLk4X7#y$4L+#!p11M2vEEdo+xnvX}P)?7qbmF>3clwc5(FZ zABmua-V4mtCzrXN3J%u_<#q+6U6_4rwkexzl-HV_k`JcYCXH2=|EhvqDY@_CST|Wu zJC{l8HcvR(mzhgoa<|!OJ;Trkti^ymG&NYs?*@lJ1<;PLc!$Fmj*x(P?rtUaQi8y_ zFc+BI(an~rA=b$J+ddH;xX5Csjt9TP_byBaH~YMj;qGC4B}kZkH!+D2R6T1Aoa1+w zh2*)v+%<2sZ{D_4w*VoIb|=+&??HdDM>yXFQ8rk!gBIAPqRs5PVp*Yr<(|d~m1UZ0 zbSzz4^c1qrNz^-UD4``y>ts@1NkO^uK2Fkka9bACEF5s;{vB@t5KJn3J1?8W=UV-F zMK0x0I6qJ!-h#`2;%L4IsxOx%@f5zGBAA(-=i)d`M#5w)-y)2Lf)6_$c$={V^!^&x zM8hhyZb>yj%hEMW+XxPf^5yE8D8{a4bJ78z8HTjL1pk9Xsjmk=OBCz-kCm>q={<_g zu9w;*rs9}jUl)Iy{cL<`O9xHd#%`;S1xx|@;`tnr#*^A8Yp$oefE}vO*;+rNKH&bF zP9R7LuOc&%AGlr{HC6J+Y@{T+U(8@ahgdFj9CiGT@#69Aiol!{F`(!r9 zApT;gLMeq10Ng52=xWQ?V)0%UkPo$@=F7ioczY!INh7%NCSFU=DOTWFEmm9Lt>D0r z$v+nJNMhJhehjbzvgk|e|0XNobXDGfl1yfNRTO^K&FGHZF7ZTVDAN=rKommnh4PGZM z39T}+7u~2*uF3T&WrRc3#+!JNXXa~CxYO@S6Prs4@V4M5-}V8cD%4!e*KgUyM2rxv zd3v=IvWc-4?y1wpSf=5d@@49Wx(Z@$w)3Cd-{JS@zxwUyS_{Q*P*i=Q)k4^enhU-a z${eGP68Wg}&O9@8B=6S9jAC8KI(r9{=@K*hA(TipsRot(S5ruX4jYt?GB3O5@QS!e ziE={x52lB0UY}25R8%+0o|)2-FW|z0H^$ltrtz-*H?LQDITVZcwp5bpSz@cxMK&t( zi-}lUkQP-V$$PURCv~*q4y8bB0O!VXl9u}-=i_hRFn;eV>&r5e{?++Yllg6kxT@5i z+J&}+P3(y|^6_IYRAjsGfwh=3q`f1Jv`iOn7|gW$`>tLcis5?aQ4w*hC)Yq_jEMZi z8<-?7YbfJ%>n%^6W%DpamM&%EXJ482?^s^;(MgdxCJH;Vqux54swxd`jQCkwp7Cp; zQEqXxv+fu^)oagE>R(VTR{GiJG?w&LaAHMk5IX=xPw&Y>YAB8)R9@L|K^s&%UhZ4b zRKKXkAA&R>O}uJCkeIkjp#D}NEaE8lTKN2~t+!d_|G* z;7{4Hxmn^-EI(pgWzcIyA7+xY07yw-0qhmDgqPVEzkb}F4Ou7vi8(KB98UUcKZ!uu z7Fv{T^EM7pp!Cqjf>*h=4(UVNeJap%1ug6>b8>`su0E?~{OoFp!kz9RPO6N{O;%k{ zR&FMb+U(z!Q;N{kkghaGN}uJ>OKJ6~sOlrq7B+i&No-X2by@6Z&4rupE%I(nwS^@* zuC(h7oCkeN5zhKe_S^Cg&-zMJTSmZkiSjA7p|}nJpnH4f0~Du~jGkfq?NMJlr@o>@ zLkTpLZ&bggCFMHB?({=(s6?Bi5i>tFCD8bIic}8H;hwHn<_mvPNr}xbr(rvjK-*J`M=!#D;hD25Y5z_L*m(Z;rMWxbK&@_)Q=)8E z^3;)ipuM29EiI`|jyOuafL-_Y#wf3qXvd{gGpRE~Fm6ugCsGhR3kWR2n)Uz(CMX36 zMW`>iLwvgVO|)a)2V@eeEV)Ubp@p?oi++R}3IH2TZMU3L8l2_DoPE^V?IQ2;5F}0= zkp{8*fR5E8s(nCeNBkMxN|X5=F?&b2>uoWTjYl8N!mL`h^ygv8RV#`Q2GQh6qwJB4 zon6pIiW?o%4diEo&#G5IUh5?^`6Abzl6aD5LDp)S^@k)sF;_aZWBsg1q4j8EHHsE% z70S}ssAR(^gsgF63GV75ASOQ3Cpf((hms>4LHqdsq82J+gtbarbq$!zb(Wp26@}8L zB)l@eMZU%l96GmGcw!RhT3Pt|T)Ufe?Z|=j?dn<>;V2w81Y35LVJ5K;XpaMn@Gh|7 z6iLSMEu0zzrbE$mp8cj)q1A~c@eya&{(b%s*Q=tN2VC#xp*7v$e*?Gl{K7cYvXzMc zj%t~<0g}gu??jnr!kokhZDsKS-Q{qKXQqb58l-VeY+wvz_?itY6k1L_awNNJm<~T@GuFC@2ZnJCxXe?!hLNl|dorI00qesD~Hbup~Cb zcAZw@B%8;c6GvnC93s4<1m1|B65N@*lMxy2gB2Xl(G2o2xK*_041&CdLmyAGDNAyw zQy&)MvtS5xg6RiKG}mVQhRgJ)riQCb#nke@D?$_>g{w*H0MvvK`6Qm95bMlrYS(?9 zGr?W?>A)NLMeNcS29SK=futecPSx~u^zEb7l<$qklgLLRb;YcZ+M{`V$niW7s;2ur zB-shGDO&24#HNfF@6bq{tQf7^(fK0ABGk5isJH;>Np44dl?ke>${cn7VpQIA3PPSS zsTuy1>$a%jyth5S}ppp-9VA74D9GQpTJ&d>W88d5SJ1FCylG#Sjm#Fg1SER=!1a zA_^Z+rE`+Bh?&n>Kx=i!lBo_2VQQniw(^? zTq*tNCCDG`3poDGKPEuIfs=!MWV$Gswa8=+H81gZvR`_4!o5mS|Js)QDxRquNNvD*zxi^`_4l>c zBoxQ!zusG&fV^BYWXm4?Rsb3Y8EI)K*EiQrNk7~SYO1G;BuAoo6M5Wj=7UA`Hc=7A zj+#EcRKnd}pXCS1MoG=T#uR;tv6GZEjB#%(kJQ=ug+ZB$GbR-EoPR}|Dj0SpYh%4n z(L;Y;!Uc9u#*na>V zmC!gV-0_4W7iixJ?D7a+T<-p2nU?sGX5fy=x?aw543AtwJ<&~j<9d8COJcl+^ppMMv#6?q-kB)dwP9_g00&9mKx&NWI(SFkHRC98b{rv zghrRWg&+h=wT=eJ^pL9vR+FKmVrP01N zxx0@jBO}@-^+7fg{Z_5tGFEK_)T}q`;yvW`{PD<%_v+#6HJV(CY$88V@|Xg6fQj}u z<)rJUU@XhWE^ivbn5Wy;1I1uRJ>}`F0HSGOy$s|I#*bsNymj#46#}-2<+q=;Ei6$T zegcP6c30RMoq8k}RD?cY$&BO!GyS#nq~;}MpBVh?zRp|k&@JE)`-GWIEnvDaHq@jmu7v$FjJf_U z=thldCWwVenKPSiBCz0ezUwJ+{4T5&SNQq6rPD^2NerRQOfhY8bI8}S{zJ}t!n}Wp zYb<|!Q9@LTvf#>a!)gt~W?-32jrjQ`2gAc2V3+Fm;vtMsdX$ zTn0jcU2IxoYM>QR@y`4k);zu8Zp*}qH~Wf{dr^5Nd*Uw5S9YFZ1G>hY#jXt56DP?C z7yNlgSLRpP2i$?)_H)_w-OMlz?#VAelms@{)48-Fr$Xr+yYRVTcYt7=b0+MM1;CQ1 z)Qk#EI4;?DWz(+gOysG0Tn0QQk6PP=%09=t@eW;?M@=@_qR50=6w*JP^BbSCDaW~+ zcZsy}uXSc}4KyHUYR$=G_&N6=hIY2p+JFjFUQNIOSJdt4aFcf8aG_*Qw#C(E}`!>JV9|=s;F%!Md`+1;Nl3?xpLb$BC!O zl!e&U9{n!*OCyHHI*SJks(b5{yuGADXj~AQ^5LNIMxifRtOz`~2$xpV1QRjXPg8{fXXM$z`xEUMdD0uP{98K*FY%0JL~mp8d|C)Y?AKiqBJR;K-4M# z+vgS1PboBiaD6L!|9vhEqj~3_VndZV=WCrcnshuN;96)-bDW#>4WTKqZ$lw)SCF5D zwD?w_xt6;0DkJowZ$;@XT^Ui%MIP)us|VZg3MUWQ(8ZPWX+fT^Q(b9g{#{1~=FPF| zSJ8nnf>rkjr3mdG&H*~VEYDX0pDRKrzsFQcI|z;1uu1O=zOjjLR+^Oj$P&$4kt9|x ztfjF?+%0Ni$bqJwS z9tf=&pnRbRr^RWGbCcdm1a(|FFXBR_^jYWgDea|f>B_M;M57=hq`LEsO3_XzvX2Jo z@_7yYgFJY^TD;!z;hiFCnVYnjD*<>N2zY>wZMsL{?Nn`m?#m+smMl#$_$&o3WJb-xCfc8HzZTXi{CpVDl*>=~l+ znJnDAU_Qo7C6Rw=|jt%Y_p1sbIq+sZP;@Q)ez(Vq%exnJ~g| zU&wLS2Tj)}wzWJ>0uACSHaysc8qDKd3O4CVN0akWDllCkGw(L9AZvl%RvmjvYX@t5 zKUib=V8h>pP)miGi0y(kzDuF>V0l&up)L&myetKQ%m|54IpZ6kwH8eR4US^NtAz$A zLJj8kxHP4_EFqL8=Ua#pcQ_D=>Ri6*A}L`lO&xnmO9yK#DCLjxN$H!R9^9Sev3wSw z2||sI?elTHr7I)Do0)wul;OW{nwEV^i_E>$gP8M$78;7yF^;o(HEA1KBxE%`k{TQ~ z)MTf7lcru1Ata~H%~T|*iGbw1EM9AogDuu;En`FNBFn`}Tqj5^13GXX?CdX!JEa*& zzBJBxN9T1Z`8qeH(DFL7;1 zb%Eu4jN1i$MpDpn-h>teKo*UCAU*6#{8|Oe2w64MaXW@O zr9E{zY=tv@r$#)XaMtGqi96`VRsqr!daLd!T^QQ5Z=sHXwb-~R9703U*I;{0Q#s&&3$g!D!WfTAomB)jy~ycYPVu2;ht&u+-669@`sx1SO!wMX=^IAkt6Fa4 zeBrBn`4d-<96+UU%_pT3$yh*OGtMc!tU?(*K1T+n&Y5)Wkru8a=b= zzH8u&uDPXIJ@C=}TR?}nn)GA(twX(dR|&@bd;Pw|y{!_QKA*O|f_+@WrP*J4>u?&R zAoc8Ad%m~Tmk4)uWE(v^{01%XUJ+ExkvPmt&Cz~^Q{ ztX}x?nnjilb0u-5-1fnSe++BGqBT?th{bx{A0*s5SOcrztb|A%9K{;DI4x-B+sU>j zO6dwm)3#o8iQoyFgiv=&?2v%9*eqE75j>QGX?j^NdPdMat|YuxxE9_2rV-HF*rx>DpANb|%mQ|PS@-J$67&13g5{qH zp{^68=>EK;$$9fF2X}D_^;ToggPY5_{5ca{>{xMtrF5=jv%xDx_SzIPuh(wq{aU_J}J#hX$nno zu%`MBLP17qRVU^~_29Vf4s)zu5ArM;W;ss~EmT6@Z@K0?7FKm$8D`S&adVM5e~diA z>J?XY;vHw}y%)KBn$k8Q-A8Iw=PN^6jCX4)==<+M!sDDg^yR+btA1zKl)guyYHOsl zc$hUiBgk`!2B9mL8*dMMCWTPv5jCue!0fA^78=dnP5MD7Hy)PsQks-5cX81-JA`wg z!R}tTE67CRtOv)wwLAw3gjR>rBv4JmUrhy%LUS(N)tsC3hTt3Tax^rT9n#|LK=X81 zaX}^lfW9Ydd2VI zuASMof7BmB+wUe{^wyN=Mi#O_Vw3eVsK@!W(=DQ9>Q%WKIch=ixab;5y}(j;2Z2 z@5|DB!f|fW)uB#_D-!Q?DcJU9x`)HcnLR@ao&{m$;M9q$!OspUxUL4FD~F2jwargj zC>hh>&g!nuaRp7KlpstILjl2ALe&U|!teBG>QF3ijTAokSF}*vA~r72D5CUyMW|vM zV1-YN9f;DzP{m>zS3#y83Qx*WVpptpX)uf z(xf>;zM$r6$2LXxw@_TTQzWHpTp5+~`$$$xgH_zB2+Q+uKxhEQ#ig04#8ox)I@ZWJ zzSXiwh#hf(2P8o3Ib&T)El-nHlv|CfNq(+2=c>UdX>o_+lM=lE(LGpGT4HbFN~v1P zCw#CfMh^~kL}*dJJd8Q4tU>6?p>CwOtfqgVXF!TxZxMM4g0OX{!Tg%a(0E$jYeSSk za-JBx?mwX%`vlQ}v&Kd5*||PQN|VUY;EIE__yP44Y^W!luhM%-q4fKzlR2dq>l+9D zOZQZJy1o_77X%yj^2WiLn&rA_F&M1+z_UKRK2bR|ryzQfNI^~0oWD+g>ojfB_vN|z zM$`Q&pN1L??@l&pqriCr$mL*PMeCwQQo30}X> zuAM_(?!UEBmjL~@Hfn2(-dh`A3AysTkh^aU-n&oe-Nd&c z>I>A}2!X&{r_hTOCO7eZal%|`htEnVjVq;1LhZ0ss2#R*t(27FE;NgV8dhV*l}ol> z5v!LERheQ0I3q5#M&WFcS{~l(9x^FS%hS03T4}kOW*4+oWDwcp_A&>B#cAic|ow^4tGEi`(UP_K|?c; ze4DMU?Kee2DIZ+R!PY4EY7HQD?8RDm!q&h)J*LGc(nQWca88SJ3{`Wk5}n|w$upLH z1KP4)-ZygBH)9APjKt}us1HUt3|(R>cUF9!#TOzyZq0vPvKb_ad##EEN;-|5#>Loxcv} z)Y)@Jnb#a@KKdNj-f8^E3&!|K6tO$@QGMg&&(;l1w=#~43P?;Y#Gu`d_iNUfFwNJ$c{ z;rl5mMVe|MnDC)SZi{`?`j97QAA9-o2;?rQW9_5mq0;aderrve1dq~#`NVv&yb{or zX=qy!Co@V3C1aYZ%P677DM6RdHT2JF>h~?AuiFI9+l8@|Z-pM~i6Jh$@uUY!h*=?v zObIKUW;SP=f`^iL);_~~vjbL*d}`gB1Wj%1^OAimGQ2kd<4WCjdFr@&dt+tR^Qmpv zHikcZAFHjA(#-Y*=miOoQ<`+5s!3NMIbTMnf}ET54%ew6w0w0Kp?cx`Vx@_tRxg^) zj&`>G3@t_iiSMyS&alQv#1%OY4{4Vfyl`;dnH^J*guuE;#nNGX3jXZkuxC`lfw zh}edY2IPi-#F_Nl=PN|a=Xaq^dut()^m;fTm(kR*Y<5{ zWUENb=Q*N5F40gq|J=>*H0hhv-Lj!BuA1h|=fFU-8EwU4Lmd@l*5?{~N^wQUnW6Xh z)|Z!X9Qz(pJ}V#E0kx2HEY_r*LYsfZu+Hjbp-j**eC3?dZ=HQoieHBMNwCJ?a@ zY^ak%FG-){%JRH5==HgP#NAB~B^v9`2UV=Wncm--r$8LnO@H$e9unfZJs|gn)cL=l z`v-%?E(o#T6YO(zi0h{zu0I5t0|IhK(5q;!c78tO<-bxswKjajrE*zi0e)=5MS|{c zuOefbcZVD|#raqjq|5o$L9b6&WXyR{Ct*r)b=Dd#RFmFRO|dYaYeT738D2Dfv*VLe z9BF@7pt&Guv0jLMuP_QRKJZyCXu52miSwsl3zn|}iC%YBgL-U@^{Mlzbc`Gn-ZXij z`mih0yo`piY^WoGZ(J)iQE8z)K*LydDB6R9LRmlbyTdhMPr|kx?It?T^d1l^$b)l(RBc+eihSXN~xK|$JwV|+^pG8zB<=iCf zh^Q!Z&-t3rD!7%cKQ|&;0h?ac!ty>MdQF z&wfGXxbkX~5K8Ab@PPTmeiLOKXN^4razfyIWAKEyUhAu&cGxj+-Ysyhj4Co;8A9O` z;~J3l(mPxkxg+@Vq7@O-tQwG2LR@iwiMIva zLRaSVj~>dpdqaB+ySD1Ve2@qAU?i4@hr8TFU$4_3bmdU{g#2|*uut5*^9w=3EkijL z%c%K#(EZua`dTNXMcg~|lOgB&l7v>z2EFbGE#c9#^ZP@68uw|BmR~sJg5g;ed(Y~@ z=^puvo}E_+{nIMB#1E-Db-AfO-^3}#=~X#`SZtgoC|#LG>tsA&q;F?75?f=W=Jie> zrfO?&&iO=+rt=G=NzaB>9ZM{i!QS9xmP+vfFVM?SR;Q}TV!)On-O()2QS3?Hklk9fE!0byra!M&_m|-bHea;Qky#peokb#_nTfQ^3<+>>F6if!y8L0w z@GtB`UxlDkQMQ<$3rH!p^kUz0Ct?EM059f@wIX@|>8~uZ_UWYs((6hdYv z72L#-D<_56=dYIFu%_<`G;zfK?vP&l6xGFs^eM>h!JKtOkh2V{JhgMJ~bRhyaXA-4^B;hjg# zKhQMiAGuU+(n4WI{?^b=h&lHMv^+~~#x{H**ifJ2$~4PW5F^J0ng0;V1W;Nnur5wn z;c1hO2{YGU3Tsp2yxz@@Pf9-u`ScrsX0hN2r-sVM><-KF5c+;(OU~72Nqf ztcrOcH`6ak+YC@YQjlKJ}~9NzSl>Yx5pzhwVdLCk5U24|NmP z7FsQ?a(rk9%TONvA+!_r3~^NpF~ssebevQAOb8WI=kB2UgQ30p{=nxaK~rjGx;kao z5b7_%@)e0_G3ybVUS6I`aeBl)51JUNMrtk`YHECxvTz;=3CO>KJoxI0f*jYWp`F?Jptuk*%~_$P*S?Rd(iZrURALnGJm`hnzcALgu*U`dN9o?f##-w zXb43jpMXRg?jPd1ErhD73rU?gZx#Dif9!$JxIhzacx0gI zMfcc3TR8Cfb3m#Fk!ZS9ps9v`geI1vMP7^fY5$t~$P=doP^h`wk-|bDuLOK6}*YS>s1x z#8tm(i}E+GVH&s;;hnloxiH#AwBd-`E@jcdag3NepUJnkXcepaLOqdai?|beT z_C{Eeh8>mH)HwH2qg9h#Q!N2{;WK(Q;})uB*J81S-%I@!zZ~(lzVDW z)&(PFjXq~iA5NWq%~)GQJr~!hl`St;n$fEnKU$e=YF6!~9E&;k#K1Xf(F^B(-+>Lu zsWWQ7=iN&-W60zwc1{d@mLoJv;wjHB`YK6=#>$u0&*- zFNfYloa?Uwi67P;TmP@E;lJ@+bJ3dd10nXBiaFmJRy9PLh*S%!r!+dbZ2mTH1 z?rh>wcn+7#=!bhJjM}jX^n0B8b-1oeD1UVbcaOp#WND_mRA?}E&9=l7nqujMP44Q3#NFi-LQ|^5`Poitro^M@kOFZoPk+!E{l88h zRVBeLYAgx(tV1C+PS$gJaVnqnNfPD*WF7j^C&gZ0qbF<)JUgD~3l#x$Xc&A!rda=6 zf+6{bIu=%%rwA!A{#j^N?a)AH=z-Y>^l!QUswkcSB~pn62s8ysi>oUXNUt2)`3?nb zkF8y@cC2UW3`oZ-~zMJk#iSD}Hu-b*yF4A#q(6kL-K$apWIC9MePSP?6C z3koS9QE8haq}rBI#Do(bf!L5b2=gu?Ejs<4o`M_N^K4Pje4l8FPG6~LicWmU7ag*1Kp}}1zKn$3VG%y8pvZ?k8dFa z+$MH8;0_09ZR-)%#M3?KEU{tzEo5O&#dE~AMr&-N+a}bwza^HpnvQ!X@O8&@q##0Cqtt^u9H$Jpp7)m}K z4asI)w>}5<$G#o1?h3%KU)69+L&8`Rrnn}JjV&XxPo_~#ob6s zgxzHjH_u)x_xtEi(GaPH8g&VBi_%|yb{aG)H~a`8H=>i}Mhs>20C&?HVHio8D~K87 z*^S)2j2WCl;ws9PMp|u1CoF?eje@R82(+8ts0KKIc6b~R8YeAg?WB$!>(HkskQU%y zKP{5t4HZ1a2nMGm!eIfgqJ{P>N+_UZ7E0p)TFQp0qwVu<2p##hqu?E5Yo{GbZ0&s8 zIkeNZ%g~Mvg~L#2+hZFFI^!C*%iE3)MY5sLw#U|vw(}hdZ99i{w4HA|+RkCL&~_Qx z{UOSL@^>Zr!F75gm7s~^mm`Z#uil2Xt4Y~s#}H?1ybXMh9Ri>P6lcds=KLb|_;gunN9JimOH6E9kV+81}-skoXx|hT!yYC#*ij zYy$FW{glIjVmMFHqCwcTh%^4<;D36D`0PlW&L?z;J8hzwPrDRFj#!7+o`%NQ@o>gC zpl<-{a0?o|z!&RhEsFB?YI*tzddiXmJzmhgIw;Z`=Sg&O>f`QE{B&@Hz_%F69l!2{ zSn=#a;({yI#39gM=zgFh6Hv_F;))UyPcNgB>2UX5;yc*Eakmp5b$H;;_JKz487zqS z{wNZzK|pD%-_dEg)95A0y0US<9-(k{9(6tPR}um{`Y<0n$NSQtEj0vC+yO<4n)v|5 zdMlv3Z6f~R{2iT)<8DqmONcj12<8VKI5AQV+85|4BS;C9{Ybm%`2=Whqm$#r-HfNZ zN_G^bJ0ydRO1@Vcp%=pPf@WC?gx=Dh$gTx_ji3Wv&jjs3gccj&yBbCB^C-OP&FKQ2 zVqO?6y&>OWbm9s*SZ~~s3LR^}I{X~y<#ZOBpArqM@Y9ZWff6*wh!s9;Y}1ZT6x!9g zw2(r_SO^sB0)-7wnxMpI3j)Onv=`c;aA@b-j<)kvE$HQlN?x5udzxe}TA-f_`xPy4 z2j7NnrOpA0m8+UU%mFCIgn*)?E9r&YR($W>bjKk;c?O-h!Xr!Bau|gNdH|h;&tY(f z4vuHjFZUo3Z1oEY1r1;Wjss}l1~Xyadt?PmzF$RLfuYH22Wl>{vX>Z@!|D2 z;qf@_+7q-ofIdZep>WW3=;rgVX-?-$M9m*1I^^{egwWr!qbTl7O zGV<Ht#An3=SkR5ve1G%J>tg90rl((3^66LA+wfPfBY z(FJ#Cqv?|(H9hFi<62Pgn^9d5=la5DdVMKKjk!&PLHmFusZq2_i+uPG`D_m`_R&DI z6#d|8$K22s1bQjO%KvaT7yXpB38X2{*v6p3$}iIGUziNyU;s+rt;`2XXKKS zcH0Wqi@Gr*G-kJPwK;0WXrbqlV(VVoloylbAv;R*ViW9j1N6qOytu;wOQA$9>D}$k z1r%XEh(H})$^lo5954#~m!Kb9Cm1y5dg!(a(LjcF-Nr_*8Ww;qUnASU7<56}{g~1P zSBxc>l-+?4peWA^s(wGopc@G7ZwG7Ox^aj-!=Q@5qwDj_C2L*vwj|# z{YKG{-;qkkQYzt!_j5{ahdJQ=Sc{PI__JVaCh#v1^Cv*DZbBxNhms3a}m8dvJX(>3d1PEaCPG z>@b$va%c-|N++&Zu~hmvcEytRhi;(p(pg1|cyZ3?sww63Zc>W10n$;vJL=WT>Z{p} z4>W-PQ4)2=Ny?ZX&{OEd=*j5Mq98V`Z9#0aQU=HYn@n$31$3z4d!a>|FG@eS+A&}| zs{&r2SxK(bqXqrDNd|-|BX2NwA(vC1-@J^Wb~NC6wYhEDw7 zoKF4+^lGu(o4P1yu!9cL|2DcZEztGo1Zu~q*Ca&aD72b-cv4WzNzBeRf_6Bq&%wKZ zV(l@fA9T2reo7kJS*wF61m;MVG-;^&A}&T~;q%lC@_~gR4>9e|VX?)5-Yw`}^uNS8 z60=|6KD>Fe84GzC1&3{S@6=_gJ-*@&^nGSV@MJ+jTWk_(;jNnXn8{;Wp#P*3Po_7M z2U^U^fp+*D@HHoJnBJTnVyoZMEAqzqJ<#q=HUr;j&BMWxplyFw&(7H?t-isBI;+T_ z>lEy|(V?J_1M>F5cPO;&GR!!Kq3~^wZRVm;UgXK~^n?|rt z->jD5bdmwKm{u>y7k(SJOhLign<^aj;xgyQLM=w!(t-FL`wfx=@st$@PE zfj&i8p~ZYO(Dqf7c*C;Lf(Eo@GzNT876Y|!TIx6kdYpv=Rw;tku3fy25XSKg>B=%q z?GCZxe1mXfxfInEpruWQCz$gFKaATHc_1@T0tJOte@iFQ@TKPk{HC5-av`z-tW&%I zclt)@Br53Hl0a9aKuGI98+0*2Uoa^4X#(x5$WKd3f05AQ1^d%;=8hCOm%?j`@J>fS z$5Ot8js7M1@?~_x`4Z@%bmGc>Pe$n6k;0vv0sQb1k)50P+!J*jdzko#@1eGXjP^zAUV>;R9(i8ry3hbZHAO6)IC1}J@|qp9q~Q@oLe9FLtB zI2^)$U!J0^f~N>u^2?9pDf(Mn1|kfBo%Z+QAnmk+fa)7orELZjJi!v-`5*4|ZMH%S zbadNssIOTb$b>I$71@uG(sx&ql1p{SjuUc~H{F1M4^TUDj`k$bQlo%&Zb4ytehwO( zND*rjO}*K{CXjGWsRu@~Z3~;AEeHN(1_pOHkR3If?`#XWl&cfkLL;C?iPwJxJhuv#%-QJ+*3+;9Wy4zhLf^Kb4jJ1LGlLr04 zDDZ`T@E=$QJ!O^`=(Kyv)9WLF!@P8kBwyrQoG7DFc4;4XFN&;`m;>_kXDG}%xg0X+ zn~NjWUxR+&`yBlsrACr3bljNuLdVBR2aXA`okVBxbjVPiA=K3FxW5k$IHw;@wOgEG zM+lT$rKho$@VT`ko6=%54z!mUbbCQ7cv8?+<$o#dLA$1)Cta?AmlEB_L7HYo^G&Ydw%Q7FthVe zKP|W<=-YwqfOd$Ey9{MCroHF&w{B?!zQY-fd9m?@pZ$bRv|%vLUc3)aV5QL?XGct@ z(mAVT&^ZLu1;q{+;eZ_qikfU5sS8JP1RH$E(~0Xy@`d#8B`v@gBby~>#qkf&_A)XK zUO4BV0A);ZO+mNs#0FlVnf=DeAsM_5zK#E==SzxT+lt;GDEjt=7ON-0;f-AscRC(> zJ3TGd#s~s@yo^kM_Vt365ig+VnSl0s!vUiWc#0>5FNSr2;w&gE4+JAr1%+fl@wA}m z;Q{G;ho%?2<4q2UF)nz=Ncce68Wy07PZ46UM; z!$~8|7r6o_Y>b@I%Pf?JL3^s#Fz`Es(|7WCCP>jGB=&+ro~wyJuC_j%J?B**=UAEt z8jJESM>dAsc6??NL9ZllDdlZ!9Uo$rrx92E~H>F?-FkL9+G{li6V& zU`a|Qq?FC`Iww=+8$q)now#0VQd7rz&o$_ZLOWV~$HEK{Y&Diq~ z7VI#TGOAa;{W7Zb42H5wp}mZ1Z`j}zk*(B@$}%Nk3axSxh?kHjODc=1pvf_OOQ0e=-SJMCT z6k`K;x^0Iet!cr-j_%MOYc3HV)**AL0~EFdiV@yIi!X&?4r7 zYMo<8m-THHSftQu?S=O)3W~B3GH_}^R-7oWfpUq7e>iWav*@(G&|o%?$4n7YXY-&1 zhl0d&QE=vnJJ6M9FKs`;hyvRb79K~Yfj8Vm3BYy&x~ib}8+5#&j~evVg8s#z3kmw1 zL0={4pg{)&eILdr1d`3yK_L}Vax$I$bR{End>U4v4?%ZwPD3{(UB5w3@RUp| zzxbEQ?m+DuP{^M^kzY9kXpxsA2Rs2<#-)H@Ob94unFvFkOwjTsFKE6l=obtJeM9)mT@+fNWr;9->#!Y)bx>k{hO7gh;M4?y zQ#}PJY;@;8Lh`hnkP)Uf_tNQ7mspwO_yqQm)NJnY1Yr^^xw&RR>gzelMx z&_f8?kriQ=ld$L^agG71|LwQN^xep@Qe)oK(F7@h6BgosxLXrH9UOl;#_rnWc``E) zuHW$wHqp8hX;AD3uAqSZ3W_!i&{B%j9JqE?zOW|#Beuc;RM0C7y1Ag|7&N}~0lx1M z+A|ETj*VVyQ0&10$xiH|xYIXB(Prng0D6JYLYsn~E2w=(^g=;*Ftq0lgLWIk;UeK+ zCEJ?BLta{yvU-v19x?{dtql5WLHXTN*bBPdEa)nR_C7(^G^p}j!k|wH?NSDPT+jtO zC}P5C_W&jm!SM{G22r{oEo@;7A5!Eyv*$d zP)&_eZLp}MFY33lzG^uMiM&z#T;K4!*PuGvd7nYCqdVgMgF!bG)XvoC{LN*Cc3YwS zr9oE^)Yiv+dD+@CW?%Tw;$&_3#z*Mnlm~jOpdT_Q%1F=_e*i7~0q8y5bOXA&ptl(m z^G%>FEhft~4z_irt(DRW0_|=> zdy3)kK|zn}qL6+Sx+zv=*^7&N9NGFK?Td%$R*qg3nLhfzs}!UW@D^epcS+gir1+=*cDz z^=lFK#r{Y%+hNAW>EUNbkbmK7%iq_D_mwwiK+|4d=&GjQ8Bf*r`X#OClf>rl;H5>{J_A0d#5SvFQHwlFazgHZcjX283Oa$( z;E-qmbXJeGLk65Y&@Bv#5wb|D<1iSXD;#bSS{oZ`eQ?10vxQd6)PK=a zMnQuT7UgOgodJrH0O(DI7UO+D@fL95@HRnzYfy~8gVO?Z7FsRs=Qk)um_dt`6NMII zDnJoqK_M?tShb)uh}1z}Wl#b;sMYv+LQ&F`Rt5NNLMPBG>1pA+si3953EKYb8QZEq zd&YKv-yG8M8~nowFDcL1SM}Mn=HQMZ_nw17@(3chAQW-}(D8IKZ=e`k0otGGdU4Lo zA&tkv%arfc9td*ba6aSbNX?nMbyc9cR~>1v^UKpV?(wtspsTtmL=$(KVelu`@y& zi*BVIj51{A&7UGR9bL1dfwQN)XvJZ^m#U1}Y|ew*ms~G?grwttK#vu9KV(qtOO}!V zw4*hrKOZGD(%R;safAS!IU`V8NNksrJxcpQQ9F`6JB&c7WJ8hHmf%_v*m3Js#SZ8@ z6g#XWs2z*$&&#rh_UDn=4t;+ZoBn_iNtCoRr;Z)D8Mm<@Z@*1Y+8V=I=Vw>!z;y^J6gmU6xI?MZ&ScQV8f0w8B{mLIwAUyq#JmsBWz!fKU zF2U1(%hSWazVif64{}exZ=UWiPhXI*4~e&Hvp(gp=tF|@z7iICmK|vNdcrnC zOV4kcG&#Vf*w@U{uezr;?14i{&u?@%YkKN_L!+0TR@Pair|$H#D5X=GkA0GS7?uE9 z+66;FkyF6I&S&>$&)F)odiET-5^aZm3h}Hf>@fSRZ$Ro`jmPgPg>coqejcOyviHs= z@>EwP9z~Q~7a`2X@>F*MdYta3{uDdtl&2d>SQLmje@$n3s`~`#)5|+gVL`;ZSrwN3 z3n+mdP3Gv(s(!DOFq7yCZnqOv(QhXSqfaN(eUV}-_w-TobVK*_8S@ma4oI~cT@f#9 zpi;e>koxHax-ao!Oc77}F9ttl&_#sy8G|k$=-&-GkD&iF=$wN7$Dl746kB|-hrC7=Q3icG0rgdp40R4@Q7aU5 zK|y)EKxN=nboIV}2#LMHaNVCy=776z5kFkN0I;J$jUHf7eS7K420c_b6uyw-8~wEC zSaVjU1^gE0ev}qyMbM>_*4QVD_d~$bL&@3k6z#1t7K0~1krUt%57Ak|yp~WrMNTVW z(E3mmVGi!Z15P-=Vnuhl{&`=#OgF5$bXk#~y7YiV~BT02XK-UR}m zM`75KxZ9q7aNVvO8}81h6W1LKs{5SnXwY8@Eyf87-wOoY-k|3RiZ{>-t@7Q*pzUvJ zwDVPY&oR2~*s9x>grYoMhl<7`!!2YTpxYSq56Fo!#DG&>MtyVT1lf&?OD}grM^qRM*Jc z)$oe$ZfJG)wO-b}>ni*9FQxUeBEMY*jO!rfOU(e!N6i4VjOzf!coER0=q$eTh@i_G zbh4nU8FZqcYa0~r#DOo$_rmu^LEmD~YXsfcpjQcslBRILDnal?=}}OW7C?73=&6G4 zZP1?yx{pDBDClPm`h7uT&jYdb?aNjlY5QI%e%6r;HiDlA4J*OOS>9nDQHoOmhw#ZrD$(g-_}Zt(z$S0LHOQdP>s!UKxqsaFsoQN zXdAJ#HoR|NPPe{&$BzGE zTw@{fW|S5G>3TI#w4Z>Y<;4GhV%;Usn_AI3yC`zj9Q1=LR%(>og57q3;(e2XZYb#0 z2GzW2^V=>$+socsdkO9FhA&zOkO6HkmH|59JszO_5puQ;+U+HU`Xl6Q3ykB!OE5-Q zde>MuRubCwcabgM)rHoU4{sLKwiL8o z(%#mvrO{f#!J=4G1_^&_X$!pcB^#Mq{;uZ7JyJ z;zfp5?R&mKcM!f7T~1J|Ti=qL27ODi9cG?SqQ$f%`7K%(W2JS4ku2m`Tgu_P2S86Z zxe()9Kryma%BX&ui*2#6Sf{@#fxU#BM7#v~p}#_etrAy=AV+DNt9?x2{;nlKtF@l3 zyS2Sz+c3jvN0nXR5ZmkN#MRdG+K#gA9j(zP7uF1) z_C1%|t<&B&)pnm9W7<$;uszmI1ijK|p<`FJji_yya}BN5(styjy`+7=#P)N;q1W;k zsi^5Td_TiD0XW&Y@oD>6du-Ovl&{@^SkuCKpU&Fb5kvL9_7THg{A_2@?M!3e_Oyt8 zhT8vE6cVM~Ze0#QPodMIu;4QEgKN8W?&@*?&8l?bYD)s`XW90zw(ajV9JIY|V^d2` zFti&>Y&MNGEo`3ORA{d-9CSR@<_kq_D}H<7aJk{2d8nP%rm>a;KQ$cI7rs{G6$S0v zmpy8D_Jue1*Ozdv);WpNf`)apChM;+VL!9$R?e4P*k515bAYpIeFi(E32Rw=ZhQ^wK8CjVbG#=5jm`5(3^!8yVez2 zJVs*)geI9Mk*Z8j|Ymgpjbr*q?Dexn~%s4>QU-lQ(B%y}Sx~H`C>$^n0KVu$6|}zwj&n)hzHEmdikE!Wc**w#J;0z} z7qsucqqD}aZ(sIFjNPH++S_>F&jj7aphNNBwW!$yzIJu=$*t&;R0yLS?q!?+Nh*{+CI0qq46s<8o_IDTGn5|8z?upy=xY9o~9naG)3GMw~C~tLvb9 zX-~cs=Jo6>czeyOv*326q>lHU*iCuZS6kbC`*PVjTK2WQnf|Iuwzhg|8Tp4#?m#E5 z7F|xzz9rc@WmW^W>RXbnqOI)-rj+ZinP%%~X>Z3%bTx}z7u2_;wOKDCPkl?W&2-gN z`^er{v*bv(?X@NLOH)RT#wu~t45QUUnR!~gwEHcim516#T7)|+-5M%RYgwz96P zXdf}O>vVMu@<}EK>?tk06AY?#){OU1`j+IaBA`HHEQuI5R)UKa`W0A4uhzCcSrz+zM z!r6Y;#D9b3dnT-Ytw+NiVV-_mq^i@TNYzi1I>lamX>zFX1@%zPC-&=0x*zbg^9lRB z<}Kwo?R@f8lA`33uep3;Wjw?^owl!obMfXwC9LKITS_QreQNXPXC*9Mx@A}4Jd*ju z>Z=y)rwNjh(?q@N2uck6$69#)hw{9?9-sXZ`4rZ{$-l79XspKv-``7Y_PvCDTFlI} zKsule@;SM#PFLibBRf}px(THesPyR%=s!ydbR&6s7yU=rC+L3aPw};~@^k|i_G$BU zTX{N3I6rHi!dnr)elO?>`d>INN&oAoSDB}ayQf!op2F`DW>LAmnPT7^0rYM`HzSO5 zM~9y7p!J+|78E-g0mVAWf@(eSN`q?K4{;P)MdvoCwsaTlpqf6vraOez^y#M_tTDaR zL;7I%ZKTm1R9Uj^fv!Ob3v{AER}=JZgRUazy#`%L(EAL!f}m3jx}2a781%J*K4{Q! zf<9!>Wdwc1pi2q*m_e5m^a+D5F6fg6T~yGg4Z5(Pe>LcWf<9}|`33y}m=|a0n?dIl z^dAPDThQkXI+vjTGUyzF{@b9h5cIzWeVL%yppBpnwFpYeBhw<~UuMw%3i=9z{#(#F z4EirY=Q8N?g3fKwe+W9SLH{P`{04ni&;>iF=KRA+)_%^Xq#Sa&y||Rn&zaI3ZyA!I z(?1Q0G8pLJ4LXmYmcy$AMfqJyb4s*MePC%vIyQI(PSieiFZAJ#O!{=ZJk{C=Pm83B zh@_~`N^5ZD)MrRXoKICU6v<|u3`pyF=m*#K^(7CGge)0o#?y)God(s~_#T7mobY6W zt{@!R_m{iZ&?;ZcVI|?v%WSH~W+hv#m4oi?V(p-NTi98n4TQrrMqa$n4C~nO^|uLn zg`wR{P|J5iLG4_Ujzsn{8?}XSn9v&A#RlC*XfHFUaKPDHeZiS15_t=$f58rnOA zwq3V&zULW@b-$I>4d02vw>^bcGqiUJZP_OWy6r5wwa2!y;qZWPIMGP9hj1vn&VjG4 zGFZ-VxL-Jw)zP5UHPg#>Y4K-!`az4yeluhu1pxX^)8@KJ(6tSEtDvhG^lm}7HmH8% zI+g?0^t>i1AAhe<+fzmhUp(9I2p%LQH4psK}qgWfN+n;KNV zsM7xZ7Au*44`ms{LBF$N<<+mcyxq|LPGoqsK_3%zS%dyb(8Ub;q@e9G*!RXC5!yuz zho=Nx-=O+cmv+e(GPL@wmvs$#lki=_p!x+E%K_~)cq#>vUwAcTfDSsBL7x|NUV~zn z32<20pcu&jigAciGdw2fG6u!Izo1>tpi=}zZCyCrC+MmMy-QHE4GJyVBjEdbgWe=) z+06qQV>b`bmi<70VvG)G*^>k)_9Owi37sXjD+NUzUeL=0MQvSB)Y;&GGP|HC&w=7y zyn>!BD9WFLqHF>!_6jTL$%1~+pvMXNA%h+(=*JEEBSAl5(4z(Yj6n|<^m7LNo}gbe z=wX5$Xi)4}2rc^F$9Cv@AKPK(c;5w-nc;o?(J!_`fAov(FuRX_p|nIj^w+-scI?m} zy=8wGE2FpSzkd=xwqq;$HrNByHYhEd@j^S4F{b+s2edAcYwT!}j{4ZuuxLkuwtbAr z(kchEOpClL3J2``R!|)`YS&_tp;bGey*fP(x~d&*&_av$DYO{McvKk~K}a2Oxyta} zRQO(G&}{^@<3`G%j6Z;{j>FiotF48DjZIh1mQe|C(2)kTuZzahrnRxD3_mp-FdGm4 zeV=4I^nH@;(DzBUgDv~|7QiZ0?jp={-SQXc zNY4p^C(gl{78`WZ*|S@_x&hU>qz4T8T6%(~?O$iQ%g|!f3$(Uff)O&HHyhe@1hq9; z`@4wRhOup`0I z*g>ssEs4=T@U=5-8wlFoVrtjewt{rtseNA0zCWa~**2HX8e!b8*grGdul7bpB9`# z{j^{^yp;3B|Fd^(v36EPID}F_X|$52f)zNREg&Gs1A;NVsHp}MOuVHgDt*()3sFOY zF&H6U0*DXBC@&|7MvR(h(xg$5ZH%#Mq6IIdRby#r&`1!71ZZuI^X-}MOusU2_TD|G z2ikeqXYVur`e&^*Yt~%WnzaVH`}~ju$FilMTAF%(yGV`8LUO6rTNBXfwf`l7VVl&3 zy$Hz3h0^G?4$zemo_1Ef$I8dI4iVIvgD?AwOuu5-q$*xJ2zqa;n;swEJp)P}z5ijUwow_8IwMoROz> zrTnly_(AiC(LNi;!W+#yHAj6%c#^hUZ9mL6?{IZ-x3uBhpo3D9Uj9xgTYCo_O|=~9 zbtpd&ukr)AL}xc=fR<%#+A{hVih=S$=MYz9)pF#>E1w|>0NkhZq9gg7Dhs`GT9175 znNwf+cU^F5Eq7FtQNO7_v@tLYWX``Wpem#4m49MT&*$BNVV8ZUuh$WyP77+Mju>@4 z-w~r8k1A2090QtBpePHk7Eo9%Sr$>C|G%u(wlB)UB1{Y~joU=e*bDhz|br7iYm4IF^&^{62G}{r>!3tl} z46BOZv$VuO$>u(%S)a#oI`nBUr$e6xb2=b$3sU1$`=l&+CZO=F68i6eo-WYG0(yo( z{~pjji|F)4q)Jlq_~X8BS?{5bbbo06_R0Qc{Fd}RFKW{rG45RbNyKf zmKZd)ZgrHc_gL%QK8-RU9iXkV7u-El42)x{W3(pOQ`7y6<1fl~q4(69Cw*o$PdXiR zXRuGmI8|yXN2%O$(y4?s`P2-IhsjlJXfUo%_NgtWS?g}6!xrJ4cZRj15ed}(eUi{= z*1Fs2(7M~{(7M~{&_0gS;l&~+c^2l|q65Y~NeHWAQ|OE7G0v3S@tgB)XjlmR-lYmZjN&LDjn!i>Bz4QN=~BD?-i;uK2`Cq3%t6T z#JE=-V?x#T+!z=JWo;S115P5B%r{3de!WizI!CF$$+PzL7%P+`RFB*DWsIqvzAjMe ziyn&}3(R@SvwikE*FgFNvvbD@Hg`ZJwRb4bYFT~cD zvQxDGG$$X`{-x}zZxlJYvTq=LTW@k2+@dF8xtgzb6#ZE38+VGEl1<5J~% zIf-R69VKx>=46u#OYHlhH3j%qY9x-pzh4wRWPdfTF6s z_Q`${BcD3uIG$`PpL(WeKD{A&!l$0km#z6|JhiVwT7#!(4WMXEa|o!=9FqKc^f*Mb z88croWr*hlCpX_1+`MoyL?s$qLn-ljkrK>q&ZnMP`tW&4DWS59GoDXx8)81ZpP17* zCX>k!?FsWwQ%Y!0C@cBYX98Pu{UXbd<`6K)3z z_9n`zpM7y)s8IQLWm<({f$8)P0t z?J?U>*ESAbW-9jO$E_dCMFyyg%^P)Tu}FLgBs#gZu80zHz>NSD^2W+GM>p;we5=9v<=MssB<1U*6?!Oh8e;8P~e>vI&2OM-w^1B z0$Nk@Y{9!H@Mdjze2x8qZqclZJB)CEYL3T01oTbj{-pH$WkBoflNU)@W{JE?pxXk2 z=55>=&^j;Xm4e|{QMSIVq3+uo1;eic!)k#te`VdbE2Qji0>f(s$~=>G-|Aa?Upfmf-+5mF3$qO9iTd^n(M1@F(x_A*E5tE4RRc)nSn%q6OnBpuF>vdl1A&%(2% zEc1>khJQqRH5=(a16t3Em4cV|UMQDvj8B)ckBzKNxrF?y8Ah3-bcNt$_EhB(Qhm9U zeLUJwXHV4`K>mHXV0a=h)P1YRt;V2~eA?tWB<)aC4?QmYGT;yj?9`glNB2=vi_o+;2r0*X7l67SxC&IojOK=bY{^bPhj+5lT7 zF~H6N6gOHW6nYp?SS<;KMFS{ogM>np1BzRb6N+1J0o^Rn*?@jhptlC}MuC1Vpr01# z%>hM^vNi(_YwK}yI=t}4?T0pC;0N`k|5ZZwSUHSu?P34b#yUryJJ}kcL=U_6yB`n? z^jIhHyZ2k`vJKd8LwoDpy=SB>Bg?d9j6xeo%hubQz>Ariwb2v)nLt@Ky&1eopW$99 zOV3@`6xNQcE&Vs(rJpqryl)8%KNaY6y>Vc;Hp-^|1}Ock+RIO$0cGi-q|X2-FO2l zan`mOOIOFr)V2LZsLpu4`fih2zfGcf>-B2YSzOyzoyEAj`=q_(lDcKJp09Pca^Hp+ zAzgLndUdUjb4?6V?gN2A`!-r1tLEj(sagtLSJtd3*bzjnwJcHYqb;YDq*bBT)iR?t z@G2cRLOPmfMOkexdz|a3z{g%_S+0)j$aC*t9DP9>W*oa@owcKBmUds$%=uxy`Hc4O z{UW(2t81%RXY6Qwq5j)zf~!@FEQz_PQPx*QS@p9{iL!e35NjWpEh-;YMOmG(wXCLu z$$cE)<8D;$!T`#7ta#}q)iPCO6lJy6M*r%)w^@PGk6p}hJ0a+++W(Shua0J| z16~~%l#=r|LgPqqj$7`1VT`Fo7HZt;nWgih;|Y9Lb1YP&uMFPNH4yG^*4CBs8GXHh zVagR3N`kuuN;%o%=3}BdfYL~3%VLk4Z6)k3+Qt#T|jB+b{vTFddGn{ z9W(}`<3N07QR8$R$fy{XdcRv^1Ue4H=NV%qIu67+s^dVM4jl*LbeJv<MocPMzBO(iqu}Hg*ZiNb2dVOw7%v z&9X!EBYwMOiC+fns8ypwM;kk5z=HsnbdRa5I2{m! zkX(YO5Xh3Fb1LJTRueQ@y%(wxA04spv|1lD)7@%DeAH2Ylf(^bqyu9b2F4f3C5%U` zBLsE7``T!49nDhjqmL7t)Dip64?G#75le(l617@KI`nxFr?W<_Hup969V!v)Sf7@C z&LxcM>9cZ9k6O~U=Jgf&w)>n*TJt&`TJ!q6(D-#)cy0GNmmC{j$3n$z*D>@OZ~waR z)by;}>VVd#?KFCqCpi8r-r@y;p+0S=7_Nx2b%eJ1khH;TZCZPl>c`S*%e`@|M$lTR zS0#Fce7-H_2-Rmmc%OoDRG$O%@md!%tItk4J?<14Rp05*G;><RcLHdcNtPwIM1QpXE04OYGZ-KjZ+cfRTOD-Xz|n>XG5a z@cq_4_^vfry>6zf5tj#sTuno(E;Bj+uby?~x;nwBaslYo0lgTH z=1z_99`i}=8?VoaY?&J&)W*AM^b{^@OS=qZX|?8k5`gj+^18?MsT94NoOhmUk9os- z-im?RcvnV7H~`9ff^;l+?pSXu-x1?hpSPW)$MtCH`BmPJu03WOa%~B{;4SZUkL%Gq zU$}&)Wwpn=$GpC89Ji__pCPsfDDM#{PoJJ6wefcIa|OCP=$rS8pl=@zytxt!=m!H@ zpSwuBs9=-*LG+Q{ip;y6_1<6J9DJ!M5bql>JYZD}ti*ITD~Z*|=_y`%lXsO^=~ z2Hsm;_f79;=k4)zBzfI8y`z0sw4uhJl;pkO^$pc^FY0pvdPDV-(FQ#&@G@ge@TS`I zD{S@wI*uQ7- z?NRn8f|vLCq;>)ZYNr}+N+Xn|G|DrRfKrRhN^PT8nQKZupH+d{M*G{-;Wfr|6 z0_9nuI-!#(`)tc^KHmOq7m~>LoQp5SAK`_RCO#>%JM{L<^OZqF5ZzBg(IPrD)p9_Y z*42IxRMdAOFn9;!S-y2fMC;dnoIIen2MmN7P!*t7EZxQ2%IrbCH*JV2Khso>xF~G_ zl|y>BU1fy7II)*_>{zk&^zNPQipIwEooJb(#=0@IzB+g_KdT|rrbba06fv;Ur*E|! zedlr=BUa#q6Z}5PtF1*Pz0i$wuQvM#Ry|=6*aG4|GkSadWi78^fa#g(9mui#&gTI= zG;lBpqV|IA2ELF|xwdd!Wd~keJGoR?eKVxnoo95i2bY1yw!ZE>*rS_x#0VPdR81^@ z@oesVzpfDzg`b(#4L7i21;bBWUb-=XMoT|I%15ikaXQQg9pi=N&7hA}Y0Lbdk$%XoghBMznj-a^Z0Sgt>y+A?As8@6CZeO{(4mFP>EJ9%_?Aq-|oF{z?CX zf55XK;zxXw?mU-3(^86vxbS$pliBxXC&?B?QS3R6^C|ha#DeJg5IwHs4GE0JQF4|{ z1_y)qxb--G73cn0^StZLs$*XJHpSa@iB>bXIy=9(3>w=+8bsdZ9hICTB5(nSue)9h z$5)O3qg{vGwZ>f@kypdIX31UD$p`NJu$|?@f!hwlD0Aa}CyRPfKW}$~@Yd}ly)^DdVUP+b&f}zSi2GTR zPaANyU&a00030|9AlsXJY^YW*}AuVy6J#cqji*KNrWK$oK&NppbZ9 zM?Xh**PwV8#}G#+$6!~_`57vVAT10DV3L7>2}tt+F-UKKV^D}^h^N1wOT1AQ$WR7` q7BC4>CJAJNlzDi%xVZYE+Vt^TaRtaI1_m84$-tlnr2zl{0RR8ziY5>M diff --git a/adder/db/adder.map.cdb b/adder/db/adder.map.cdb deleted file mode 100644 index ca140c24f035192efb4c7dadc30a3d12370e0238..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2132 zcmV-a2&?xG000233jqLb0B!(300011WpZ@6CZqP*l000000MG^i000000K5VK z0000008|J7000000C)lHTsw~)H58r=3E|NILIJ8>R)7!`Xdzkzm45}$r9(hR1>H@V zl4>Q&q#+W&0Vy34LLf*W;=R0=1`q;5UORlB?Q`ec8GGzuX2o4yTbl8V{rQ~Z&u5P9 znY&xpb-h+q)xOVfGH1aJTvSJJA?a9kP3oj*p5K8h9&g<;FIv1fhT9uh=-B}%%Qe5Y!D`?M_e|tI7vVG6;x_%btr|p%~`j>BoY(~UgIDT(QV);UX)s-B; z_Bl+a-9ka)=AdYB*59hM3k@hTQap$TX_S+bx*_RcY0%cJFb#~?GAJud!%^V?d7;6Q zvBEUS%7HwrFb#T+TVWbXh@7u_Y+zXJhk_fOLWA4hc^*n^utcmd4P`NHLu82!mWDE6 z9=-{auTx}NOXbZAn+6$r?NU{EYgG3=6nyQno6;<=TqMXy-Y5@W<>I6@-!~i#4YcGQ zQG+}*Q>+LLdQb`t)+Y)Lg@z_L6mKZrP`m*QEcHxrt-d~AF7dl0*A4Bp1K%QcmqnL> zJlhO#^=GsEF)YS9V4WHI&zI7uwcqAg#iUIx{I8KV(B;ku*j;I@gdy#^K9Y1Bfs!`p7GLwu<`u1JivJDV&=x9nTZ)6 z!tL%`+wEJDjkUD#4(-li<7xN+Na7v!U=R;AXG230y_znd^L{ujFA3w9kNH!FnfY_dYY!ds&mCsw-(Ftk#j<#`VYrlZfNrz5 z=aev1-hUrXNG!px6lb5|*mS>KG`BezGyOYHS87~l&lmRHJb@_Eo-oiQ^C4tD;$^b) zNX+z)CT(G5TxQP+nO}ruY6kz4%tyO+BCxl5HNeh~U?+Yk%N9oUowL|tGU_(b_je%k zv593~4$Jf=E87Ox8IdXO)(9OSGcxX@#wa3llrMb+KJn17XL{VbPso72UxLhsx-$6~ zLybyCT_=3$Tgcq#${fXKMCK?y`Fr}!uAQU!q)a$C*)qtPn2qW?#v&whRNt*--BYXY zP+__tTcW>{qM!%avUAmuUt=_Vq_wUA^5`LFEvxerSyHiXUXF_ZpPxgfpAizs4}kQ~ zdjiR5lZX5+8_B5nVArPtJ>v78ryVcinFHGGsyxQEjB& zhohRP2vTo)`;mHw*^kuQxqjrS#TlW;&T|l?QV&w^3echlsh8j$i2!79$wMay(dlD1 zKDwwnfjb^LQMbO2T^C%KnZnC>Uaqu!^+sK-wHIRKIfv_li;u_qp65S&eichy{%vTh zg*oD5g7A%;F=`>PPH=vohi}2npG_*T!C20?-j>-vBoBBiPtW}!9QCf_p!}mg=Z?9x zfMfOCU)Y8PA$i38;Q7E}b=HTI{`SdZ9X_gCIb88j-c8uoT?kj^;l?f#(@S~$+g8^5 zeSef^yylpFibKXD)?e!7yNNy=^?u^@a{WO4F`jdHL31NG$z%3C11$AP3gKwqZ{DtT zkB{+8EM=*@yw9S^OKi1)$<|pn&&S3d_0w5A8r4|+qdEIu{cD1U*gzocnaJWe$DR-Rf!IbIu7md73!Ii0!%<>dj$o01p$DEDjromZ3>#_{)n6&(5&95>D%?FN~Ay4>3$JUVenU|HEIf2g~9m$N$(*?#1=~KE?~< zS`IIaLpi+Q|9s3C6D-L%#r%cql9W6&x9g#leg6Ug0RR7Z0TO3p00K@R76)Qy7pHh< z56^&jAnocG5)>Kl=^Nm4q2s?LD+41?egTMp0)8M3QV&(?=^C69ty}<6pa50F1f*f+ zdU(19IR-g0mS12VvthD5P#oz zPd_*RbxEI1dBF-`%B+DrkO)YbpKD0GpTCQ1-1}!-Agv4x24Iqb0i+6M7)X_Wh-;AV z;r>6ZAWt$dNPtNOhA1G7tjrUnj7=yrMF6Zo2CA$RNOJ?Rv%6!wzmu1%a|p;?f?!#g zyI6rdkgq|aa4!I@_VEl3;kjwBj}08&IY3!yWECJ!#ydwk`}q60dV0ot`Y$l4nZ(T? z1ylnvSs9O7A7@t|pLl0~Unh_r9tKgU9${oX@JM=L_x%G4*bNFmc`;-)$kuO_t$EB2 zw*CQ7i5zxi2%E3xeCp)@+k6736qbU((FYFli%&E7xfmRPaysbp;IOXbRQKm(hzH7P K05Jdn0RR8*3lRVS diff --git a/adder/db/adder.map.hdb b/adder/db/adder.map.hdb deleted file mode 100644 index 7d682f8cf646351c36b766534d2894174d943db7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7920 zcmZ{}1xy@3*Dj1NrMPzS;;zLOEyapcC=QD)zCdxONU>62af&Q0E{jXISSb$0-5rX% zEPDHX@1Okl-sEPUO$PT9jqaY+LqQ%j6#gMs*DB@D@H{d2hV@g!bs5nG& z)BKM>OU3!GJfZ)u^hH0&d6q)=KC>50DZl~aji|=T#lw}CZ!Z+X3gXY1>8JS#7JD#f zUzg9)9A`;_Cgq7gw=uOdee=t5J8rdyi7S8j&jU zPfz+w*JXQCtt&w}N1E)D(q>gILDvQ=4@W-fWj`A(+Jf$`4!hkAxl)ZAY=`C%9wdGw zVfs`DX&{n89-f8jdwNhc7t4MZ(Obz3nFk9|t_|#qp;ZjnUX%L%pQZ-s1W*3usSe*D zw^ba;A2@>Uric#U-vh5i8h*7Q9mWP>sSPJ9!nMOn!qy;hCdU`!7>+aOZ^ozoyyhO2 z@ZOfjUL@qq`zX0j2UO}e}NHW>S7@I=xSSt3+j8)mq-b^mtAms(#qXAuA3 z*NI7AaJXVGQre6P;~zW1I}{^Pwi2xS)}G?iP8_8^{59kPeSFw z%HF>nydsPixPGMbAzRm6J;mqp%?=DRAgre10q>k92Wb-T3{Up6F3cDJDG>|3id3R6 zP8!peHg-GmbIR(F9fkrClEyr}n2^Ohxi#Bu^qj8l+R5wo`dxh>}DT>q83& zSfH!gPM8}Ks_b|FSF)l3%!H92PD+lVaQ@#1zsjKL(BJyb%Yl8-YadKo$^r2|&@Ti- zFhcitTSgb{M;mozQX2t>xbu038GkPFj&_L?(!jNxYpg`9yZ?`+X3!EzrIcCG|ANcS z);n&@1HWB2h-aQu4w>*1wZ#`g0((mzjrFx(1SY5rn!L+n=cey2=$fmR(=xP2KGmjN zlVgo1L#sZh1fP`X#mcl!2B`63Q-L2U7@~fhm@>)`ElkD~er$@k(a%~(rDeelEHyul z-M!lxL-$LZ!9Tw>liT6H7pED3J+_q`W8?;w?tGfoZvwdXPaS45ByJ!qSc`+};h;BJ z^+U>7p5J$8yl^sC+lp~A02m-JaP&=cL$Dd&d?Mk&T}Wt<7G592v%c`F{j+x?+=00t z4jDELZXgybc{%D6R~GQ?UkctU>*-~gd| ze$kBQ6Mf?vZduD_*Y=K+{3b#oFItwGK|dtZ!c?E{XezWWz74v4aPP(r-s5-c;jnt< z#fc0)78G76anfpyUW|EdQqh#$utQ}aTTS`GH_^gv>DXdTZ$%DiYz8lEfvJ9TEnjU6 zuJJjpV8564F1@U7-}2KBK&;vEN7}ZI41UXuP8QS6rOy@T(UypOMyoR!FgO+{cy`N* ze;ybv$p;{P=o;%JxW^bv}ai476dwUpX#rq3MujHL*S z)OyeH)A;6-!V!Ahd(rad0DPZL^`02_@l>z>fya32Ke*PV>NJ-x;q2;gTfcu_ob3t8 z`46_TJ-6b1^2wF2Ml(2k6P`j=xQGdUl<7Yq&V6@@AMsG4RY$EbHvg@UpCsmc!+I(q zjDx!MwaI^^5-sIHRNFtrxR($Tr9zI#j~stVs_2xi3sU%Q4~M zn58Oup{Jm!-RBeyw0J9o=u|!XdW0A_0r7rBXB^h?bBvII|C5~mGWEZa^IxV62TMjS z{y&EBD5|+fwShB<4T1mI(t7i4{7@lb?vOvOuIUWKHk)*vCwaHP+0(#ui7m}iQhDBq z*7f7a0w}Gtz{zfA^gobbH$x`!Esm)m&Z&C5fam_B`jG5S7FmJHrL7?$)KToMUU^x< zlef<&&rDM|K_;Z&ADRDzDwqT-0wOS z!>AOm=ySIjVL9^Get+#1etvASw9HlzoczWy^_omgz6)b}AJCH;uif3dhf7ielF$7z zMZ#p|74g%vBA%F}ocWb^*M9@~O8<3CZvBf!ON9Dcnog-@fpsqDXGD~PSkj^uv?NNb ziEJ~DBd_ji1T^WUwC|$5?G+>3W3Fr!BL+whgJN<0c+zmE)ObkB9t@c#d#s*KB}wQY zj#7B(&4hdQ$(OyHx)$bNfHC}tC@)0440v3EQr>{tP5LD5@h3aJZlhT2rctSey!m_s zzEpC9@#m0x>aw())xi>jLCq^jpuXIo<~gJ#ajJIarWSxHpWOU{{a6}jOm+nkjv?_1iGf9fD{=EiyS{e&qgwu>pc#;UFt?_XIQ6Os>AaK5Mjv z`1X4X%nYV_yh|7hHjAuF-SDnE9I~GCl$>;mrhlF$!6<8SZzM3VFI!69zFb+{dJ_@W zn4raAsf#_}<(KbHHbV9)k|V(btx$|BVQ)tiy7}8UpKBxhppCjl(4~vP}jVnw^ z6N{F~N-kHrm|x#F37vrjVu1t~S2)-BJu9)bql@Ti?4q;@Hk#_>QBJr1KV!0pl=}WQ z$Q=N4Sh-Otae>B4GR(~IRM-f52fW*(97erEA<7_I(E%bH+cm68g$+V%Fo~NROl(*e z6j^1HQ>8e$Pz@CkR4C_^*3O_H!|urZ&FPSqI8!cWW}!20M(^toJ$?7OxJ9<@b46n3 zk8_6b*Eg(aEpC8Vf6Rd zo{!L3T$~g?Gk;&-aj3;M=cjlP0aF^<%EENQRD;=&N^098Y8o() zoK^e#vKLK)AXS@Qg^1E=u-pN^-vNJh>v8ST6Y5sVj!le5grWlU4ghHZUBQ!?0C`ZM z?6RGI?3=g*o+nwRg7;!+Cqi5uVxx2Lm z8Jw8vNJ0+fpfz}Y-+IxG7Tp@h9%g^|YI`&7TD)6q(mkzOTS*h!ieJrG|I8660f+`E ztjSk0uzO0ERF7iY=h^D+G-B{$#L0T)FzCHY2*E9tZ@Q_D3sg{&;bLY;h9wO4X#$b- z(XHmP+}x<_xJAMUcApkGt?M)=uPSAxkmvEOZM>}xCM>0GD2Tw>+Z%&GjYiJUDv&@3 zK*^pmgrE6|kr|u}bH!L|nbR(vHK_dVGZ{o06R0+Q2?Zi`mD-q@efs;BgwD)>sNA?k zX6EaGzH7)PK*${)w@B2rEXk0bD)o|i1XF@c1DE~Vmo+g+n^pFHW&D99E>i zk_}Kh?8)UIWsm8SCzkh-qQgCAyCzKKqwjCJH`8~=CXNr@QboMd z69h-Jko`~63`mK%L_L}>roh2^>VJ+n?fL%8<2N$Ym$f-HJx5~dFN z*z8Gh{Nnrg#i`Yk^u1S_T*q#c7j~;y2Cd3U%iPSzm|^W1#uGbJ$I7*ys`u!C?Qp_B zU~vHkcMF+=Ux8hrs1nR`K>@xmha2&Wn#xDi$}Uvm-#E>lV}%HQk@Lygw_$}R!rD_{ z`;g75k8UhNnmUgnX!nJGl)q>(Wj1Ym-I0w=y>P>xv5?+cc6V_2X>4u_lyLn>-O(U+ z+nBKv?V6M-b;#`?Mu`H#OJuFexGUR&^;vB5IpiHcHU(-*KOvieK)=>FQ#7pZ#`^u| z5LVYjnAm{IYo%DZgK0ikDi8MW z{>!cYo!c5t6I()})aY8VyI(fsY6;5OV;i&)emCcxCe@8%S~38p*$Yvi5q1RT;5>Je z^YYIin=dt0!ms;W{>(JET=s2qH@YIjd`7H0{Z+8dva8qrNW0$g?$a2C(bP@7Lqwvc z94l0DeXQ27>>FmQj(D$#t}XR9lIT5VT5;-^`kNKjjbT4DS!B@VPkNz3XI_Hvko43= zPUaODta&K9?ePZ@ZV))69B}IPgCWa%T~=sh+=!UN-U;}mFd(L=KEQtf-1%*x@(K620g;lZI;7v z4qslpbdHG&^~R`QABg&xF#>?FGNi#0`u$hX5gltAQr zB^iEZa3X9W^dzpOs{Z?=9j#y+UTn8{V6KDQ&DZQHSq#{1pX{9@&-)Ype`jGX!xgQ@ zV3V#k$rS^pdO>G2WJc(Cgk#-w9l&Uq{7_i9Ww`;IuB(+8=~toXrLxQ`>+j3@I6LSW zQ?WTDbVdpEqaiM3>Cc?s2;D~Y1j zNJ8=}Y?4bh!HVlE3hVn!rvb!a$N$PJ#?6~icQ)eYnc;s|9*1d!GG|AjK|%+}&b6Y02m^af7{qjyE3S($V!_QK2s;0Th$&5#SuElczV6%Zb-sPbY@!ccY74%*9m*=f?6${~J@?WFM4npV zCts#hhy4xD6Hl=Pfh5nMhqrI6UMqAnV9c1}YysgxFR+dYiBfUyVx?K72()((xi_GL z1GQ|>wpG})&m5VnGGi1tx+|Y?Qp4d%aT3_E-u$jZv2 z?#%c=Wo0(_ZlCSXQ<`d~OWnW~rXSGH=gHvL2C)?cxCNZ8p->Y~Dd(HH*i)CB@6?nu z1|)ERHQ40iC-|EQxYUOK_l*N#?5pT}7|9(`0DAk<^q%phG$M{>d50{D6N7)9dzSB<$+(dXqVV_>M5FAh3B#aFrApGBN)diKxRvCdTjimhoi|a z1V&f;$UF3@6qs03WKPA{2<{I;2Z z%Kk)0mL3gtcn$sa%RQUQH{fe?-@uWQ>?>a2dA*=yHz9Zr54g|X`8{cLbNuCXT}edI z7f2ml`9jb9OvRoHIOp(SAa3jY@X%?dehcKDL#JV9OVGV6_QsM_K70MhR7Uda{;-eN zno2teZj$5VdQ5wGG;CXkYB?Y%|VJ#653IR@eJXMm}3Q zJYZw%=;~PiHp`D6EK@_7e!Rc-pRYnNn|IMuD+qT-dij-O%!FN%8cGW7?uRepyN>>m zGQ1n5G48-642_#mM(!B&P>arPeh^I-L-h<^$FV(pXwj3&0;@aJBc^J5PaFMfwn&Nx zdwNmb+hDR4$2P=tIVV^*KJREKSQF?{CUrNzNnuwUaU z>)v*KV^i4j)fS>?i~+IjTE>tpHOyx6(Bzm9Bi7$~o7sLGuOc1b?k{Be#D+Aev|VO_ zb4as2nEPcN{!8FbyM=vQItF)vpOV$fuK1|ZpN8Z-)vVhVn4(mg%l1zX3b4!C_S|*b z*pP1%-qMfdFK<)-zVPw4KZHCk`jDg>RfU^X;PZ_=38KNiT2w%ne{;yM(Yhik19XZo zAa~8%Q_B>g+%gDlh!?JNH7)l07fOMyyN7AI@_6zzdPbxEL@KHr6ERB}v%e+gZHFgy zI@HL8?}oC^#y`AY5APFrQRZLg^M~^#wr=f*CKxF6hKhB)hD{uCykD>#0oOm7V`Pm)%?{h2hI4xH41lfDUcQ0 z)rSg>=JtiL7IANZAG3vQR=>{1N*@}me;zPg0(#XW%d6F?Xvid!1j&YK66?eJp@6ZP zk2~u4QcrW>6=kn;+Bi$D9^c#z!IRLq2i~YSDFE41cu3F;*T4h$ngGB29`c~_cI zlZz`FXZq(Nr)&=ZRhL?i@0)-!Q-E3<+15_Xxb<09DMRKa86=@t*{qXF+*e>WeugZ| z_58*y?;`8|U}}a(#ObeJ?|DKgy)-HxC-&Z&arQ=_F~IJj?xQizC`T9f-Ub(=SOp9 z@$Twi(=98Qvk7Wk6v49@AR33php!WapFocFBkc}ncFfR<(nHbl8zuQ4^hNXhx@I9? zY8k#lty(U9O76P#+gNymTVxFHg_cESmuq&kXI)!lBn_|X8kWKf@m;*ncT6*FaN;wwPMzVF7CSX+>yS6}^kUThX}Zu*S$qQIPdj66 zdGOIL5tt$Ax^hM1R^whn<0`;^xtHhpy=C>T*p}qdrg_kijS*g%b}&*3+3z~!PVce4 z=M(6!e!H|q_P$d8Fx@xBqWzv{SNW3l_Wekm7K@5wRRHo`yQ&^k-yTU{4X_nR}?jf4z9)ewA`#F);u7!kBIHhRw~o z>@4OAu0%o@jZK!d+vO3^pSCN-<3R?HrPO}ri{Ojz*E0(p4Vs@#vcv~A zZekL5rP$@EywoERh}j#z$sItD`>VnClXxf#ldo~&vOYpU zf3lopu+QDTb#V4akn>?}>eb+g`mE>ft0yws8q_UZ`m@HwU4jR~IXtHH34n(7^yG^k zsHdSqp+nyGb$;RxTqtDVkLo^WBsK7DSp50+5RF@K22$%)Sd2G>M3Kg0tmuj_)^UpA zQ=$raD5*SgeHkUMaib$Ff&>?)AiE| zP!Huq<;m-wOUPgQMw0Q=)u)B0!h%eciM3QC^i>D=qt>u&&f@PpQu9w}UhnZWOqe$X zkxUBZv%F|J0(mA@hO4$gcp5&?5ifk@@fj8IgQA`&%8+ceYG%w|z4?*e-YlRv zE*~@w)&_8A)xh)S6of}Q!hZOtgBHX*R8XoPG1=?Ua_2|UE{;aOWhK&`DQ+;cn)+p7 z&S1&1t7ed=hNIKIqldja`RZ!hLBpfT(tc>APE{qJG7(R^P5$F@@2&4gL?ZEqNX)mR zhId`og}j~otrzwdfQ9!0tM6(7N_uT6L;G`cyBhd<5s7uV+doTKQ4T8k55d?2y3wNM zpX5LvbcdBmRj7lFC%zyjxPt(|;udkGvHZ!unLqTv_>{2Ft&vy5ac2pjq-o_NoXM76*=-X3feBC`l6dEl|;> zuZEQQh4S?_s^%ov8>u=;T98__XWV;vC~sO6U|aMKD>ppUwK zTsSQq3KG#DNej5(=laCk*wo=PDJ-7O5nEmGqwJ+#6z$W6<=d2JEDtOiUHJ49K6U29 z$0|}I``{ADSNgEh>^$f+bJp@jKeeDL2YDn{^yP8XWby4Fst~Ep@jib1I~CvF32Loh z`0hr&Kv#r;p7iK|w&V*Ynq18b&FNul2MRH($c7!A+cfL#*Z-cVf;NcIl2#9&1B%uf zvn9cU@w;@)`sn8ceA(I}bUxF2hr?|TIwnavkidLnLa+S;c$5LPB0KsAf_b7;2kO$x W!o{qI_cJW&7^?#z|60&bPyY)(XO$-a diff --git a/adder/db/adder.map_bb.cdb b/adder/db/adder.map_bb.cdb deleted file mode 100644 index 1bf698d9b82dc9d90834e9c76b2729a0149f2b57..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 743 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXATP8cu2q0L+2%$BZAoO!6UBUP!Xm`+I0|5~O z%>{`Io~R!b~XkIs9{q<)#1o z`6u)qkX166xpV4WNs}MKE?3$YGfJ@=%hWGAv+elJvwDu{Kc)HB-;948{eJegkDoVb zJl-D2sNl0wdmdv2>%VAQx4Gh1ZZ7>+cWyd|CI9PJm6v5>(sh1uzYsQfqnOYvd+ny8 z{)3|*I(~@A-Q_;)uJugT(&qBwZ!6Lw_xJp~7VmMBzj}q}>X$#n_W%FpwQb_u({ZP_ zZ8F~!SLP^vwEvO&$HhMa|7g^S*mv#UlYH&dJTpymWT@-Ff5CAE|HABr+su+gH@Byp z@7}?GSnLwBM4;+ItJ{V8Gi9E9GdM22iP86mL8GtZ|2->L*8Hu%{$}s2`|7|z{Qtj# zQ7AE)fyqxnj6Eq`e0fDW|M`Xrg}1N7g+wIZzm$GZyzudURc&VFfI7yG2Bta%-XQ+a zS1+aJ2(OJ{2wcDrdYENN;7BekEFi;lN2|< zA;E0WnI+*dwZ0>J!uyB+?AO{PunGmFD(D}6!=m|_MKf9Wln>+00|ykC)g&bxPB}ia z-feyCw{_)CtM-OFtd}|p?6fEF9x}M!wD-Z2$1mQzeIoyGYv9aY**CqC4ysKKJl|C> zM9H6jATVz>+wU6(w2B%w9Xg@);NhP`?|!q3ZIEJJV576^fLP<-@{gHp_Uaa|N-sP; VD7X4a$oJ8_1@^4!_D(b&8P*8^7 z{2ztlg83i%CG~%3Z`320Pb&5AfPN*~u&O2*I4K`70MD^iXc2A}vCfs-I|<_bZ?GFhMgn^N z+#jDfATJ>^KYaxZD+Enk{aWty;7>X;jir92Wkr64|Hf+1dAl~N0I#U1V6_n4X*Z#5 z7iS5h{vY$K&U5^$epYxn@!RCUs*8lMTf5JVZt9rinIBs$%?*_*3wAj2Th7LyeUHR1 zghFY@$c#uM(Iij9mgo8EJI|C)@qYT{X39N6ZCe&s(TH}Z^YAV!UjXHdvclZIxgQNQ z;r}w(4aPhvhgwm;OJLmwWmXtxcDd+FE;JK7kt+(7Fa2^E-tHaPexKX+@pQLC`#|Sg z{;$ia;J-LdT$Ug=7sTvuma2_4^)$b=>ErPn44Eb2wj&3gvY9uzUiB0NQ;t6@c;HJYmcItx~iSqw| z%rKnGeBZyw7p^bNw+6zieLOXqKk;s8eSOb~BRTNH$=|m(=o_OQo+N7CQ8o2v&nIj= zy^SVpprKj2#o>$X5n;99m#@|Wy*r-{gCETh@h0cYi5;hEsF;ZDTc~*b<_$x_Akmss z?!?7$4BCSus*QQ)lYS@7vKKhv`!m{$2Q5m|4D#H8v*B2#PY>>!Ds&w=UH)nK)5k$* z4VlQJcw^P zu=MTdp;2qw49<#mbdfe9>mCI_jKG~I896Cm4nkE_t#jE*A1peY_hEs`lg>vCT6HJ7 z%WKLkaObz8f6sX}O%@*Nsl8Ai@xAy+v|CfVORPsTwTP3rSHtXJdhvB(DC2d>EH~-M zcv0++`&5u8oOkF%OK~M&h`CjRBJG+b?^4pbAfQk6J~r)&g6)`3chR=I4xw)kubz~& z{J#MMw&U7=fr>hWp*_5QQqr*?U{>|MJniZ~0=spK@X#ro2=OnNvack)SKY8JhlS&d>FCw$A@Ra3srt4T8 z3`qqlqO)}sK9v0^)B#O7|ijR7+^+TM~3O1Zb7HepfSVb{x5b41^28wSC%Ds2$OTHrOnE=`d4u02GaGnQ?@hae&p zf^quk0euL9if25jpUT9TSG{gBAM&nd-GO9jaZ{EPDgA9b_FmgD5r=%`zq0Sy?5O5S zvU+W;y;>AId7t|KA^txz@;?#(pBX8e3Pc?{YTPnT>03C*Fm^w?>(EpZ|Dt{RkYcg? z?!fR*2O9boK4^|LN!}zz{;V-<|L#tSiy<3&eIhD~8y!#R7%Bs~6CQh|>S>O~SPW8yTWS$$B@^=WDZ|lcv zCe~LgL0OfQ2iu7@Tt$z`*Wai`bofaH@O+wGjx#@ZS79BkDea6e#BA)8z5PX_5BS~U zI9K`Ls^-B#4VuH613Kp&IfXI%E&DG7BD-?9mPDcxzH;3w2XOC}tx`5R12X=%YyQt2 zexm%{KPogIWE}Lz-$$LFevSSRW;UF|mC$l$Qdq>7FnVV;meCI-0qE@(-;D)X9yBwH zT}<7=ouMmkqDw<1Y#Q3PKKnn#+9A7GjpP`9(T)oSSA7VI>+m;H^x9d!Y)qJhoNT(< z>^fx~xm!QKdQX0bz|Rgl%dp-irMTrHiKBSM4yJ+7#KI%8kAU)RJ$#vaz2tOR9&f&U zUzC>nVJnOtn>Y~>lF26ugq}N7+{VW~G{r76)30HKN`<*QCQ=qei*^u(&n&~el60uFGI@40n*};x4gXU^yDZ!LcM7{J8yR-ZFSNn{SUq$B|0#Am3VIzj68v=aKo73GDvk8S(yAA?FQYjPGj7Pwbu zOV(-|Wto~Xr>Q-%naS?xdV5y9%GXQREmzmWiurB2^S;#-y|Fy+dj%O9&z#%KNbi-i zs(C+lB#wjKagX&jHtnD0d}}79;ej)0@4P7ZX@D@d-?-VL+u%#Lbz;;lu7X0V?pN8( zcqOtw+l&<}6O-7iw^=D}qhpOZ=)qVPE^}1YOlxULfEOEj{qvRkI|YVecexusJI{(; zRa}>USUyq4`tv?gAyVw~Z|HIVxOQQ$Y@S+epIUDVQrx~I9P8AH{^DK|ohQdM(J|Qm zWTF_zO7Ar|fUw$jp}1{|eUMcQWTC$v7--{lhsQ2+(G#HX0APqgU~WcISW=V-oRQA0 zSh@9p$ayho@Q`L>&B$?Y%{8*upSryJY>|^*f#Ozz!hne$oSIY?@~Lhu+hF$UJEnqo zkAUEKeK75}4c0SJ!q%AyL@mTXthkxx&6E1v`5MVZvkdf{gQAHDTg_O^FEJ0Jm#*i7 zg`3M2_HzRy41OBbdo8n$$R8$4ORZ80masEWMIq+-LcP;*~_pI6*@@R5v~i4qHU#=%~P$Am*y_3q>v&(79( zNh@W;*0L#(GyZVbvgyf${qBn}h7V8rVTQ#7SN{)iltKp%C06)8cF+e!(~P7>t8Hfr zAFP4bB;;7Lotn;{iqlUjQgzP^y%em?iot#)t!yoU8j9XbLbKmT+3NJgMq*leT;?~- z^b$WxA&YfXnKpAYr@#lNG(ritXBXb!W$~*>cz>?jg?{kcO}O24muWZAIa#7*9#t1C zL!?$;SVAIlf0{S~vr8eo=d7A;`$C2`2V!E%lFO6hxNqbG%x8MTWMyj0XMk8>}fi*X(Y61Ikc%ev}rQ52`Bc0?R_+(S3RRw9HSS9@OL&7Ec75E7>Xj3 zF_+kFX{`ST#dc2mHI#0?q^rp%1j;&BffPK5c(6ajj=GfOZc6SkGwzgygNxK}YGx9r zC+h<97g7FWx*pl13H+-S6Kp_!ph!&-n4Xj{IKU#UX(0y|(Sf>W$NC#9p7VZ0vEX!W zJjy4>E|PuRpxR9Oj+1zSW;C0C|Fg~8lL5{0)teym>7H=3ged+acTsl8LVY=gP}G5| zAg0T}hX2*Ov3I^|yMs+*DYb9+A>i+mwY)2nZnC0Nn?W2>RS{GYBXMfivVvKCB;d`f3&cYS1L)S>*QZ_*7bwoJ5<^)`?P9+U)o&{jN2PHI6$Qode6`y9~m zM9ln2cr`{|b*-cwFU~vQ7vL+ygHrbLb|(>1nt#K6?D@GfNEPlDBrlSW05|TLL7k> zRVg~O{+V8WQ(5FIKVf=9g~A$r{Q0ugWusy|*|2-8aXNvoHIIoPHJi<)d6F=Yb4W>^ z36KV(=AvgB7>MGnQC9@MphK!k#yFg~SGX%0Pz*-h)%IxYW0<@sVbdmHHcz%)B}5E%%wRIdt?zLj(5G-ikfr`QvMOaEXUsj2VsFIO)cX zjB}QQ$j~J;q(C5BsolPli14mimklOnZ6Q#K?ar2udbaG>i<)Uqcr#!xQ zbW5r+jFClo$Zr}a=`+3V;Cf9S)$2<*8PS6|G5nseyDG4DZ=1l1iJ14Ckq6E-4E*;V zlv!_6QZ(r*R$e6PJ~AIKNsllkpAO#ogB4wpk~$KTmaMh`!OCst00|*XlP49$K)hb| zl@4DZ9=U=PDjn%H=fWt0eR=s+pYbXPs&yM?R0vY45V9Ou|q-jBcc4TVn}dELnf zJz!($upD~&-Jt=dU}X-Ub__nJE@N79+urU`2W}hWY-De-a%>2pp|7zbXKGSCZw*j! z7L6ySMh02~f}A7h+#-~hSzez86V?T3D3Zw$bPZQakCeXU5^FM0%>BKu!aU?6eEWCP zk+i*4n?|1}_TSI{dTZCuwpEACZ`j1Z_1j!kv#}&>g@In`@|=}ik92r33UKs^Es3 zd9^QRzRup=`(mJXyLr&_t6+DcECppZeJyZ{zHJMuytWs>d_Vm(Oz=eQP@QLmFg54>He9+(O06+)s;Z7MDGc?)+;Q$;PFnNxi8Vw`;l zvMM$9zV60}b=q~WkVhc}0lqOH+%{tsKrznK!UMJ@@fr;XuwDLka8XA*LI;;$*D=sN@so$==?4Z;e11mjQGz9I3X#HO7n} za?}xw?pHlylDV;|FDThE&C13hixI1}+sabE&rUyUm`JK@5Xg!jn>{nGtZ;U!V_eET zwsXC4=n?FP9amb4M!PSkjauv4wz$J!O;=X}O-I>IMn?Sym6hu6Q>Yvrf34hHdZHii z=&IY6y`$viGO^%!djOd_16{p6GoVf*K)f7v5Q4#f`R;kcR-EB#MU{AQ&Ys7GwTG7z z3txAXcENgJn8|K`UpvOX${c1T#rfVFpUYQFN4!+6`GbC6pma}tkdK!$x$%vEPV%^x zphZWip$B{`CwC+ESiUQlEFOl5%|nco>;C#)Ks=YdnTevkwozpqsm(B*c>zJq&1qNe zL~vw%G=MVFgHn6JhgjwJtpFkEni%1(kz*>Xu~6H1w1JOnRUQN#<0us_;@5e|3%NOq zyhumU1-|Jq3*On0zVv73d*riJ2?QFA%p;l^edi9}ij$2$UWl7gc#D6o$Eg+1rMQW7 z$y`}I{$wf+=AKWD*(Ju5Tx@OI$>g|?K(6~FZ+Ph2s8OW2PXmmiXrgF@#V_I-x`J+L;e~Wc#}_276+9-LOQ?Jp zJXEgbyG?MMyInK5`n8iVd|K{%%zE25 z8f(uRzI`6;0NT!&zG>PHgC`8p&>)!oj3tkX4DO`%MrsA)TOd!Ktw6vIlkpmJuAKIw zj^QUj;Y7wc`82)C)M58i;hnKQpB80@G!c>!$3bGHu4z25>xp8PFO%$~rK|35AYa9> zdgGc?>Akw6^N?%hp+zY;xzA_r4zcog7knem^Oc_u>8P~`K}dL*OQmpnyc?aC+Le8@ zX~r*vQ-OxWz&PKqENU=#b{(;pe+ z(Nzh-pVM3DLC!4PW)aV{i<;K(C*?!>(1M7;1% zytB{B*^fhbv%e=427pQI?OOXY-Ns)xw9V53%C&1kG&1EA<cU?Ls);hUwc?5`#qEu8_)9e!MI??F&v7#_l zqz1G?xAm3zmG44?$YK7R47*|5IhkudyJ(L{!J+&t#!TRl`p&=024s=(;B&4NYSV5} zSB241g_5s{b>I*)cOy@mJ#;5$LC2zyM}+*S-=BdR?`nr zHpVu7HTsck(N_YdEO+5}fUbmmIefFu$>OW3@66@NEcsO?$ z4bXU%O8hegv1Y!nHZux^ot-yvt#?p00I(Xu+J|U@sD^r(y3TONX8V!t>*VIo`_x7L zfGNn8+EukH0H$jf1omscm+Aj<-1T_#1T&Lb1i7`N*(%)v9|W)c7n~&8u3IS(N^W;t zYafVCra()pEk|@0$kib7EV3Q8@KKhf=YL&?=VxzJ|5OcS5)gGiys*%wd8RPSW(nX( zEEjiGMZ0%&bac`=2C!KTSLB|kVE?gaLDM|FA_s!qDZL|ktzh<<5FHl%O|BpKHktXw zyW~B@>HSxqG1kd2Ay;S+{I-3q<2w0Os3O*=OLl=j=QzY(6uLgtSr<^U&`gw>Zp7K1 z={v>hfPME_iU04rplx#JOBOTd!Pt z4h~li3Ja6HywHk#ZwTrUMw0*M54DR`Xz?35CRc z{XM4^UJ!sVrBn_hjeEeOLX~+D&v$iy9>OomLZ*=Mz3)JHG2XTUlF!f0H$?}rNNjyx ze)YH6=165rF`iF>dnklqk8!F3LIY5%*%5qPDqv4<=@Z}+=3UY!u9;RY714x>Je@s; z+7TB2;mKx}f-?I8f5$!I*KRg1>^igaDQBt*j`cXyTzD$J7gp3Z*6~IDrbU)G5oc_@ z0F@&0RrK_{A-nD=OkQW<#VMjXzuw&p^o`TtqXd8CIf~XPn53^%#2LQMy;N_}|8hi< zg>4{70dd)q_-MggQzqe#Z{oyLC6`lh)cta%d?Lx9c_L%s?W&-aHYvDF1#Lwfxx4xs i+77LLm5s}x$A4bt2Pr;hTX^S=ZZ;hBuQ&Jn{J#L#Cl(?A diff --git a/adder/db/adder.pre_map.cdb b/adder/db/adder.pre_map.cdb deleted file mode 100644 index 5723a1e60428c98f248539fdcb0e1a56277ca097..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2341 zcmV+=3EK7#000233jqLb0B!(300011WpZ@6CZe(Ks00000015~I000000PO() z0000003`_k000000C)lHTv>=5MHuc~FTB75L=nlp2%?DDHLm(%db7qDJR&TL2f-i# zZxaNJ?Pq+Xtbh$vwsJ|P$2G^hs>goeSd!w@t;op(dxIy4}MCXr3>K}-a4EMs% zDYzIVgwmo0BrKgRy zQ`+jf%35qab#kd{>(gWgXlCVUW2tO#d`~WuqC>%+AmsoQIZh`x zMQu`!L9SP2^%Cvuy&LP2^;3@P@yC*51wV5Cz%V==N16M@ESnNTw>W;_z)^12dY$9D z4jfNU+}HDd+~L6S3{N<2ci=eb3CFDt9MATI<7<+mc+N4ck55CEx_2z8?E~AnXE)@5@KCwPuf}`3# zq<5(&9G`OFc)2GWA9vum(i4skJ8-<(6OIo$aJ<12j`uroyx9|ucRO&r)f0|)IdHtg z6OMRqki1|Ov1t#Wua7gHaJ=1t<2p|`-r~UV9#1&l=)iHkCmgSH;P{Xy9ItfX_=qPQ zuW;b_geM%AJ8*o)6OI=G2sbYZ3V^lO>o(2!x8l&*l_ZWHQ8#LTWmODRs`F@bzu9x7`DUf z!1i@9Y)93B?TcdA=GB4i^J3U=TB`eFfs0Xr0eC_k*zo6P5#ChUCI`F-D?(vz=a5fe z6i@9oY89~HNpfhJ*Y+tVtW$}(&}%$g>B$dIJkmOal$NW&2IyrYV(_H z(RlR81md0^r#Ph)wbs*tPy4~AIO!72G-vXGPy4~AI4z+(XAOMX4?e{gcjftX;M0Ea z>0q2z+go<+S%#kZZL53|Q7{@}0&K z8n8UsWi0qlX8@;t{$iWG+GQ*w1}uD;MVuL-BmLzN1D21vjAe_kmo<)#6FBFxUG@=m zUZvGd`>RD>7M4zoqjv;%iAnOLeTe}Zg;d{Q{z8FM$}4eGPsxjKsb`w&b_hGaX4o@f zndktPw<=

;RTGDq>mO0W7l>vE-bBV!x=|U&I%%plpA^e_(-tm7$q~;H{6UQ^>T{*6qCj;~3enRFcab+KIy*)95BkggH)8{$b zWZ6X-Is#8+EW0z>MB2ki%uv*yiijoW@EqkTWBCzScxW(X3<=ufI?Xt%tP@!9r{R@+ zgg=>X!zeIk;%6ConbxCT^3g7Xk4C!eqYV{(bW@-E=zW8a=6Bgg>ni$ay3c*I&ETW3 z%RaiTqL1$Db06^|eBOG*eL*+ZqiZYr=+Qp+(PIW5t?06kmRIyq&cVq5A+wLMz^6UK zy=WKy!3A-vfgD!naAa90_I1mELx0CWOtfd(dv8X$ie0(yxQ`A{jOn*5SE#(HaIJ78Bp-_=55A(FLR9W32Q)00030|9AlsU}FFRP9PQsVrLhp zcxMmKfOsJ7>K76e8Sm*E;B%qlzaxx&}E0IeSFHbVSb8Rxo4+tK>jZF9c*`xMN4pQ!kKV3=Aw_l7RulgDDMmcMNfj z_j3*L@eB^Be52mN3RcvEq!i{_s8W9y*9of^FW?5N@PMj252OWv7-Ty{oqvdHknbk_ z-7K68yMPiVI2C$=6xwWEWX!?v2&hN_s?Y)$93VTK-5ulooxEI~L)ImIHst}!B6|cB z3L+qNaGT+w^>psu%`D)+*8wWvMN#DGf2(`%9X19jplA#bqk7WW-`8n|%OVzbh6O-5 LA0P$*00960M{ZNg diff --git a/adder/db/adder.pre_map.hdb b/adder/db/adder.pre_map.hdb deleted file mode 100644 index b6c7246de0c4061ab85296f3753eb926362965de..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7950 zcmZ{JWl$VI(7#(_J$))ji!cQ$vJ;f>Mu%isJSxvd?2fpqICkyBnhfp8%u0uM^0g zQAk*XQ9zVmP>f$lKtO`=JwmH1$^^qw`T;A9dJkDn4?hYERZ{7Pv2Ur|2?(XE zvFGKIXnRFGNF;;tU>{k8RJa)z0Q9)I#`5<8#o7$A6eJ?}PN)mM1F3C!Y#-1pY{LZ^ z*FBRW&>ZFf02R&>T(+7CABrZbrO{=Q>w-EfbB5lp$;LaU_i+0#^!nV1Lq1$$`sjoC z-(6REosn0O=*Q>>+1jxDD9drGpbqNtuz31g6w#xH9S8I;6WH#k{~w8rv5)*Y#yN-D zl_}P@6GgBz-(c10bWu9DH^AS-J+L^oTL`=vUmCcJxI#TfU&SUAVVG(~pL5~c{PO*{ z4-@h~WM>G?pZ9j6bZjH!2)h=&w$rKupi&J9xsKZi({QGmPocc0g@&Drp2&E3Tu1DE zeT13~{6EB6)_8qltRMiwXfF2~5;WbT)O=Odm2)!6yJSfbs;Q2+KGNOyt*#Qd4f~ws zuT)I=>gfCCuy9GFWz&>bdjM+p8aDlga~w(me5&H=K-WD5XuY{YgzcU#*!{0p^%z@RgwwYvG8aSC`?Uv{v!!$(N{|S54ua9a{ z`Rq|V{Ys7G#)2eJKitCVjFx;8AS;=E<(LN1m3CsWutNUD*U+a4*IDYrtq)7Lo~ifc z$aFfGfhCrH)F@H?HVd2iFy$_lp63v?;N!Pa2CuS;vUh%sv4DM>LuaN|{^K2oVxNzi z%)@HukW!c_zhMP&b8Ju6^0&wML}6r4rGb)z?MbjZ_Az!p!?ou?3VImr)KpQ#!mjSAukhq_g+Mq8zWcNwp~_M+1b^Xf?ieeb_+2%L3!udd`}S zujRT^5!1ll5QgzeHj0b3+U(ox$p@)F18nW1IpZ{7PO~^n=B#*d%WNol9GH`4iHy7I z;s=nq6y$19{;V)tGVlaHTLa0SiGP>CVe!XJViKT>yB;lbsa}Ru71OPX0#7;9Tsf0z z9aG0U1<*t6AG=Tb+B>(}E^pPuepQ2;io6e<`(;*{Pnic z>?d~Vxknv$&31Qq#C@wcKsMsgZ}{L6h)#-a8;gQGvaMYf7HynK!yz@ zW{;>Gw;e5-KPG}^i&q~N4J$bQ2MTLuPD-f;+fKn=j(?5aYISm8rR4u16V=HQ&m?~@ z$GgVvKg5OZV)>V;5<$zH&PQ8vTCh0x*8g>V^P)uzy?1bz;Kb@^uJ=sFv9dp5ig1z( z^F`!a)~becSrX!{o{!sJy4)=PGZ?n!UCo&$3~ovLk`%L*luSBV;^}HO*5-M&Vwqez zzbd|PQ&hL-I{&rvvtGJgy8Q57I;|5KvqQ7K zVx5H^N%Nex(r^e5d5Ki6Rk=>5MRr4O;h?6kFJ33z@n4$|0FoT^$90dwW%1EC&Zj>a z@ir!s7UVRMAOSjkKVYiNH+tP{$@_*W^E9K=)K8t0r7?>a2MD2S06NA95qg>W`EmBL zFta3k?S1ap7>t{Xrz5trF1Va0$LhYB!t^`9FYjLd_^u%IrfbUOC|tjt2ndOp=p*#m z3K*!roJPyj)e4CyA>Z<1)0w;Qa*Z5N*L@S*8z`G=72YM4(QSUm0N4`83F)zHBwfhU*_OgO&Y=75HSrj>#>0=hlq-hb zr+~YFJH=hQND+-KwcYEj2k3v);SVqWMXjGt(n<%=jrHOtP0NaFmmDOY|sw}v2 zwJ>~zJ-$)8WZ-Hs)3X<=gt=*?g-R=3HgdJ_m&5vVnD0Uo4urW{v@2jTSFC3$*r1&!bU0?eN(osdPC?ws1+dkVUqD(6i4jhs{;M zD)j96HPS57nO(lZMqUa@J9tgXG}%qh(KNNjSTUtdd4(E~QRLU6!yBiWbgw@OA!SR* z@Th6%lXsFvtfKXZSQH=LKtCiT7e(94oaq#TT8^qW?5(4t3Q@s*#oqSmSBO+1SSrzI zDiJ)D2t7(RaeIzgWSl!Kwop`#+0c?h;kWEB+=M2O;&Q{GVXl3g>KRKe5;YB(ErjC^ zndXY)P*HArM*tmN4Nu1pjK|MSXX3{kc6;m`Wo1ZXDk4VQuoC;og=T;I?o))ej-k45 zM_RU>1_FY7AfkG1b7L&SV!%GPET%OqB-pT<&N&7xBvG$B$gMhTrUd=Q0^o(m_&O?W zYfXU=0Sm0ezrkU=G<@PBhAO1Nl|{_l>^W)>94e!v3tv3H_Td4voE)i&sLW(^>FMfc zvmK!>BZBvC$j$GhpB%bn^b4uvUyCenf$snw&?p z3jF@YS9?YN3ZdafGvEH;j&l?h>|Y}qs={Zs}YiwEKgP;AK5ATkLL13gO0L7jwK|{ zb_=Io-byTqOcIHx5K&2qFRsZ%n0hF-84KTuN7nTE;aZ?XJz306Fjb^eMVWK0o4n=P; z+g_J$(}r_1UP=~XDf&<6uvzSiOY6@Fy%Sf6&Bp=v@tNczxrC7Bax+}GlwM^yQwCGk z&3IF)2#1M%tPq)jYZqxS9h=^%7>mIl3Zv1~PFQN^a}Q7LM5NZ*!+KGgJNM)4(}@tN z+UP@YI{vBF@9hq87Yc)GXi&?wj1|aA^bNki8S8y3Q--VBx;J6YH*{r>#7RWzw`?Y> zR3IY$vvE8AHXxy_S*Q9P;mbTPowh9Pwb` z2;!ct_ox!Mri9x1MY0A501MA*wQX0S1wY*~Iw820ocQhR-uRy4N(jj;NW@|H!+WE z#6KF!&;0)OL3AEb)sGsn5X)EyD)D`J+m}M4ZzS+vnb(?xIj?91!Z#uUThVvavB%74 z1>AiHAOuvDj={YwN8BpQv&mg95v1mSP32CqH!*saAPVgN$(MJ4Gd{qXo1FMdKsM(S zF3LS{%<tvOLU$DhAPu|KO>p03l8VzD%acEGA0SC}*?#TL~e!HxPDiuc{WC ziQ4SatHBOHi_W;1yEQ@<QBs1sye99W5h^2D8zZ2yMUZW1dzw1VhQaSHlts>z zn&8B;3>M>AV*^3|mDzrQ*ej$X!0(fNrN*iU} zP@_BCL-{dI1Fq=_55eK+QGSRkDo33sN_n1FbF!IC&zZLbgwaKO?adP%Ef9ihj}ec1 zQ8K0sI+)c%CN(~ik<*XxQxfyl*hvMuG49`6B>hbCj`-ZgA|uohg6mrHt!oNZhSpf9 z!CdgQkntZ?LdLumA;i!M&c_x&+%59#8<*> zZo9gKuOl|R$9PIuaaf{-dy-78kS!zsmBK^@(=H;lTnLFK$J%&+I&$PC(On#c&y}$K zFX6@GK6Y=zLklxHlfC_~08$5H;$)%#562HJQEyIW<8@b1Gw$$!J&uUfM0=R`b3@&j zAr?;Y>a*?lzmrlihm#oj4fA>r8_;?x40swk_vu>rveIB_`BE&KtBbbCSRO@~Y(#WZ zQT4K@8!h}vRnW_jqVb(Gz(n;<*%6x_rHSHEA8~Zwo{h9-C1~kcSM6q>;HIA}cp)1z> zBoG?^MEF_^)o%XEOs#~_>>|x4s2g(bq86XO^ zepcp36U7h{#e38jgO|bfu?XKih^bE`X$=(Tv-k7OTnCdw|0mAu ze)OKVd?*HI$we6Ui$@-R1qKq5ZVD0E_c0=47W%5|QlO?J6-&#g7@iBSIPQjR+!d0cqkE7vwdNqpIS3tR^3_rYXhE>u_o9Q2#t-znCHHlevdXnCY=J6oI8ji8 zu)l#6dli)|?w7e$xK(pyr)=S(%q@;bfv-*$&Rbc`DE~N)nk|-Xb#iU=nK%{afTfmx zh$d;u%4}#>@AL)uWR->a2vdF~lh8`BfSOu$JT)-!GwQ?eB3`Rex2fnD^&QhvZ z7Bl*!4^Or%1n`MTx(R=HsJ%FAA<;=Omx}T4ti4F6d6QJ+S7Xoq6}%y{`2jtyxQS3) zo{&}4^m04xC%@(erzo#9_ZzBa&-tQ55{8t(8!i0EH=XXkn^Z%`qnHpgnA?9pwr=g|QQYL9=|0(G?&jfeF|fEfHfHUKU!>u9mfQ_X=0uMMM~2JnH3I^~JLMr|h_`|9XZjnc~+(TvHf`orA6 z1(wpkdqvk|Q}ehef`jKZugGq3A42I^%<=+?`5D=DsZXs-a;)A{@etx$>sXrc)baki zyle!}j_scR@a>~Z5ly|;nkgV^4fWQx_SEa#PQv8%D&D?-!pp_a4w?Q3Sj*t^pMQcT zd?RJZM8qZj8VwcoNkH@t@+&(m%6|A5u+XZ>@{YPljqme0%O5CjzRhR3Mzr&v4o-d< z;+nn{$xb1e*nU8s_nSl?H47_*PuZ6+wq-uGu{{dpoajA(`~=IJ>)iGWV=LWSxmZ3S zDl*{7E2F)M+#neW`y{;df+F%I*l8w2p^bq2^WJnfdt{2w|NH+ zLzQq!1*g$o%`)j8-nAJS_P{S%9hb+345X{9M2ow~zJTGT=)GFGP&5hNzwAVNv+-hZ z&3pEc&a>kFg4-PA${EKA+hX-fkxbtoQC}^+j`wHL4`$b9-@UV6$!r+$7RoU=6KBGu zQ#7`}Ald0Nqz238y4aiaatn<8O}aD!h51?wF%5oGEvG+~5-_*JelNFt){XwvJ!olh zRZ77&dg+_;A%)b%d8GcQv3 zG5`|u9%XV(HQt60fWG%ENbY3j1nzD}w6>f;RN5(EgS_G!42q@-pYbb=IBXiX?kSTc zCwk2!Cur&=ClcA{b}dBdb_rh7?bbh|UQhD5a$&OftSPkHxM2%|H+0=7z_oD?_5?Yf zeO!8L?qIN?oRiTb48d4>yuIo!G+KZl4?R2aK4l=aY-i>1Jwis=;w`G6a7nK*`vBgK7*meMt3eTp>q}#*!!%kvaYx(r zdq=A!0r+x)j?$ zzw_B+_)|uo#HVyYbW-%Sip|k&^kdt*YXM)LPv%Y+b}~iE4Dp>Thw@K2A%B8se;h7o z?T+HiQy^SOSUZMsXcH;o}%m!QgVpKG@_pWFq8&&NA0c6uKcePv&V z+IAfJS1tW8lr74VvE(P~9h=*Ad~->Qd*k5J8k0V`(~DP5=oaKf(e^aCAzCDx^AEKD zGQ6w6u;nJYKCGk7FL0&(qh_|K<1Q_*|9rv6gwshhZ`^-TzIh>J-^nHJX6}b!p{BOk zmrtz)Bf#fQFQM3H*Ihq%Ol;PTu8iMl*nc>OHWG0WHLN{n z+`TW|Ew+@qwdZric=q5nJ?N~skHWvM8q+IdMRHqe_iOv{L4d~n(OGwmQRnTufSKa2 z`5gZkaENlC9CJH=F!2y>anRd&+doeV|0vz==l67rz?HreI7>J5@~a*0!{^nGPo`m{ z+QC!l+M$kR(e4fhkIqOtvhu2^M-;;=tW#0zR;g zyNgqvJUR@ zV3CLuwrud3XpWeyswHAL-<^fyl?>^Ex21=>2)R z1)9_$DsXSO3aHnb^==3xa_FZlTXKGo0cQvLhVWfZqF!CBBMU>U{E@N@*H37M$GKt* zKS@*6Gf#-PcJ+-c)*Pd^@7<%nF|_2dK&cU-62sx-ryN5nMcA~KJ*x%F6Ic`N{Ac9u z_vo08A(`1lZ-;i3E|B;ZzKFEY!#$H#Y9HxlNO1H`eNbf$n z_lC9$%96b$%(L>_`WB-9eWZN)`nEcm<7$KBPn{&&xj22Tkcp^FSem5h(|`W%o}L0w zLozj0$#uz~jTM)#r;VJPzx2t}Wm7rZv*Jj)JFG$?#TdqxaXwj>T9_#Q95HXJ?n^8+ z1u8L-y;QP}CdR0Do-PHx5_=iLsKl(a8}4|NSE)*Qv>bT+xU=m2xSOBXVDsAV*Fmw# zlvhcq*L1T&c3j1w_YcF1skY_PQ@=Ai^jgLbs3`$$Q`|CV1bNpG(%WS`k(gI>*+Iwd zl=`7#hQAWR2ktPTTP5|zK~>u=-u&zxFRE(rUBN#gCagrT*LHxpi3nAEAIGE{t@X6p z8oTb~`lbBU1e=MUBg#AB78*FvxB)1OW~~S7Eq)v=UD!pNDMKX6gH0x`q3o^>b`%|h4luZ={(gilO2u4y=St;G)2oi=LdKY-54_$U zn&uCQD;9Z5s@v+Xe^_zKNVONEj=f<~IRbq&Nav@vf$u{ZcrrM zDdj=zQQbu>txoxx!CSWq(Mb7fKU%S+;xuwBv%IS$gCoOpo^enh_=OJqKrKk6E6Y7v zNyR|1`P%tyXJ+{zO`k~<4_jeUK?S)piWb?O_qhi}9J?|J!1P^5*Dld(Qe)Ic4^_KL$k2vQwM?$v9iASho(@|K#@m{D-qpk z*Un0ozlAy$R9F(PH-rAJ|z&?(PyGxVuA;;10oc28ZCm-96|aH|PBS z!+p57R#)wB^{TzUhu(Wtb>kr*AXH%?BG~_f_`h!*h_j28gFUSvJ14D_o0Y8vEjJG@ zEhirb7e5C#C#N8-CdAp@${a$g{@L7ymYY^nkyaOCMk`}s<@zs8mLBn64FrTWivI=# zBeegC)HMGSJrPfgFtaUWyv~i()g|I7(uz>=i1bS0+VFq)_;|YukNu5a6^)95wzfC) z+pmm@y2ebuy`%+b;KuBcI$TDQ^X`=@M1Lm@FEpz*A&3bKrVNgV41U-C@Os>N;eB&N)!=cy*6C9#N|&Nom78hMa{3NL9iG38v-GLd zR2XI1-L@MY-5s_2&i%rlGvKke;A`}HfrBi6gjP4iAfT&spQ{-CGDvJFD+C#Dv6mO0 z0cwu}4xLy-x!S0m-FzeO9wsNnl!`A-eJ}%61A%a)4J6#DI2ljBU}j3BE#~22cv3Rt z2WGg*4gubjNd^7n$w6fuYUXw!^Jo4^staW=r%(0f4)|1VB5hOR(w>DB8cqi%<~w`d zv)l07=z;fWeqt_=Yw#%4tpFU69^~MC-d=OC=gU9zy&(DjK~J89OeMyQDsa?O(`I75 z*6;MuElY>Px*GS1vI41c9DJsqy551)f#*qcA@=Vtrq_s~!ls>H7^(%I)(m_8FPQSN zI!+dzvno=JSo+rr)q2ArSx(8YQS<;Z>Ve`gr)SUJNyig@2jp|)>wa`C<{R-fr2iM- zy+|HE&ib}dH<9}G=CbD5B0HrA2SaromTn^tSUwpH-Nk0sD9U`B_NZv=U_t8CyF?&i z&PB%g8~iP`Vh{`VEOvpRD~xiW70K7>5a;c}ib{Cy|IsrldidMA>=tx8eP!{Dr*MQr z=6jKK5v);GO6uN&jvWyu`nWCLFvv4gpO*t!Y0<{1(O}#y`^IqE7yS!9;!-hBm#Xr~ z?*PHIS#9U5b(&sv=Bn!?DG@HmXC_M`!f_vO6c?Y+>-T`%3l$Od=A%JRp~O3ALWf!u z?EE{d=<)b5aX$io@;)JIOWB2K`B31Bxh$TLzTez&|1_RpB+C>f8Y) zG=9+vkuPLMDy2_hJ}S@2;_EE=t_8qR_|X-V-C?m*>jjTpLHH7zu%r+bnUPu6>z-VQ z9gtb(�pnc^V@F;ZWO6=Jf=bNgvNUmmMpD)c4iDE%K9Dvt&JBiTpkPX9w}s1B$8 zYTFR=!VIKw>UGpZDsN_JZEilo41`s?^xNIl=Kb=zetv)aBdI(q>Cvw|gtZ}TWpf;pxYV)?sFKMgPQb{J%o&U~qJdonDH3Dp74>fTdJFgYuxM-ZjH+B1r zRC%Tp*i_1Rls~%fkL$XTXkazAhXW$2>PG^Mf$cv!iMH+|jolcM@k{8I$xxc)<$V!iFH zstVEcZOSC;-r#~LQ=D+>=hZ?g=VtQtPOD|(+89>IEFE^o1doRA3WdX=?}vC39$RS% zv=$!DF(RB+d$}{-r2zi#!{B0H(NnC#;~#RPx;|=%!hfekIZ@2XSv@{SF+x^MIG22FHfI)0JO!KQ(y$441mcz5Vg0^ zYfIAz@Lf!*heIH$2cJgx#n+|)@kS?nF*;ch75R^7xkeGxC)>l zQIRoA90nTKW}zP^9Df0Ig~vGMHY%Cle^y`Xu|*ENGd0hSFtgSB$`Kj?;X<(~L*9;L z*z5XE$pxa98xtoGG>ZVmrppliH!fnN!vNBZ&UzH8OCQ7JcOu%<3aPmUHWS=GgPWAp z7C(*8FR;mp{RoeEr(H;Sx5ZHV2TH~)C%Q*-+Mg&jplC&tL`1KXcAFqeXGWp~8u;@T z0I+!mfyST&fRUsJ?Kf`AZikuWRx4V%Eslnlxzs~xlE!^uYbEw|Os8?~^83fFlv-r4 z-qgLy^nMa?Z8bP~4*e!u*Z<%6chLeQ0^pz+Lv;Hf<@y@>{(y1NYXu zQey=QYTvK9i-=rk^Hu>ypX0Oej7*^u>gnU6t+PvMaaf&ay-;nq`jFwl%CQ80Ec%MI z_}g0G?f^QO0T;V262ypOfdr$HsHveP>n^G7CVZ6PIk_3yuY%zuu2ra?crC)2^%M}6 z^zBSeD`8vYK@eANSCZ=1q*Elo6mb~0!_gRaT936LsPX(D!9=p}ncE@qL3c~b@#Q30 zZZZ*(`(7JHhPOht;v>?{9p9q1!MqlLBz*s}3k~lf3|^nOq)W#udm*6E?R@=;b$_ii z8Y1)zy{k!e>&sB9r164#!pn>ac|!~`V|EC z2&fgDOkAXMi?PWqonqG0Fv4C~ByZ0#>kSDlS{PyWit&D;lD8a~^&D?uUFo!N-{2D- z%z8B@m{=+;+%fp%8MD4yF}{;U(%mTd*r;bj{0-II zj`l&DoAFJ6Uf2_Qvbm&rfB$s$^u3b$5nX6mz%H4}^d({Zm>hK^Ak?coo{l4lE{S_*H*j%0AVv8K0KCGi%T_~@ zM4$C|5LUi>j}RNuD5OKd7F(=jUbLN(U{+*CP1p7Q*eweCfsZzvcl1=9g=oVtd1Q`9 z>81#m3U)pcZ-`g`h^Blcan_TaXt#i=A=I@zu1Zj1$uJ%5y4K}Zr=+Px6WJU_Iu&=K z168ddWHm8tHNe*M$Xwu`GkrC%# z#?{)9L$RLXQ@&+wde*QF^k0Q9`uwwa?lRBU)l)Jb&R{}wumnqTo$-4GM`Het+P^{Vo|izg%)w1$3f4-Q3olS@03BYQ$z%|5P@(Yz@}80cGV9Vpq*YYW^)sLOMU zMVHF_oriCxIA|bPqOh|8GQD4Xy3nf%y=&e1ZW zFh3+t*=6~Cb6LN%uZqML^HmUbgJ^$q(@9z-gsd~iq_ZjK^9jaP6->YJ|l zWS4BCeJyiP5x5OYJybAk^ygLo%6Xk(ONwycQ2E%2HXKa+D$nS}hHvd>v)JJZhxXL& z1(pSVHS^42IJ=2$UG3TAm~#MYb*&^Ess$1%-;{Fi;BfE61a5E?%>C_nRFO|D8E|m# z0dEFAxS)?2QgZ4FnXVlLwv8ZO^O=IPPfuf8E2x|n1h%SCRULmEBlMaC6CT)K<7VvS zaPM$f!2ZolJL0Ci1-S4@3E?7*JCAoQWTzqM0Q~w+XNFK49Fp>(KjljOm$AM*P;ecK zytnZj%Z;lBV8W5~B*b{gtMib6Kke(K%@1~YEsGE!(gs-1FiWFZ!1A%%B*H}0!oV09 zKkY7$_eU8y;^|0-q2sWX3T2sb5Kn{kq9E(k~KPp9U~GN{srh z%$|H(Cz0P1ZqJ2f`~DMkUFF>f<9QMt4N@pMzfO!xowUtjSGTd&J_B9hgSDTq%ux~j zmbt{nun)fY!82n6;8=aGNviQBpzKUmoYL6Vs{BT+4ZT`DH@gh*! zjYy~4t3cg*{7;M_UyYLP2Z67sA~MBzvB-&Hm1|dXQce4Z9N4~Q{b#uxh)C8;C-6_e zdUAYR)?;_O)p|SVPI6rhkK{Xhp&f&6cjwUwDnpN}GcU76gz}z2REp}AYq8C%ggQto zmmvo~xt>WC^Cu}!UOx7~`KY3!wXK5z3>{vblrf__^88cTE*%hd53Fn}M0((=bV#T-Pg{_}>G zW$*ZpTiJQ&C>19QB_}DexyOzMKm!Nh^{gUNW#J-DLhrm(QpU#3PH+4!^%EB0dFVme z2=p;<<6Ef4^!IrBqVDWD9YA)TbfmAG;=5MHVor{LPI*uz0U#|hf;1`uhkgrBW`G4W zAWK`E)X$Uv!NTt={!$@-rI=yy&;J_qCWyI*Q@D4kxOc39&N0|+I$>Z^Dek@RD{#cR zINpWPU0$USorO86A22bNc1%% zIp?8APEwF;b^ZUn(cK6YJZ7uYAS!098Q@Y2;8YnQpbDbopjX8QFh@ot zfd+=@w}@y%=lwF}>p`IG5A>=@#DYeQ3Q-ZIQ4u^c1NsseeC=dZVJ(B`X}24q(f8V_ zJ6){I(eN%_oLDs*saV+h$kt5;sZv5}f-L8)}e7n4=C=X#lA03i`@5+zgAq-}T ziz8Bkh~TJGQI~JnjUHW*sED|$-RYmH%ro@)ff(ORP)b>r%&QwW3!L{-Gx7LKPa2<@kFdgkd!Z9h!Rj=L(&SaM&7V;gDI<`D}|6^ zAYShf9oKk@{mr+1?G8U#P*$k?srQ@BpA+NrHMy1wwZPL8KBhbDJ z^O(e(;-GiAKlXrWFKMTP8$g&c<(4(Tyf3$XEJ*V<_bqfCxHcc6WF*IHqYYDi5; zo15^{zy*R+W017E9y%o*IspY6{0erER_slbl}w#7E@!)PW9dBrH8*Y}l-`&)rQkcc zmUOJn-#y5Fx;t~MUwyjgHp-^)w#>v8so_M?yLtVCMUX0Tv_v2MM%K*JEogvMwF!V#fhri+ixsruDuA5eYw!4rLs(QGorV%tr9ZRWz@7xmHWsbr6BiX- zT=pe1y=LxuHBKIC3Dty}W*NBnOLV!9SN~||vjZpo=|^IdJeEZx4;$JJkk(5Sf^T;E z6j>*P{#3DS@U!tL@hR28yR9C-#j_~6epp*`(-dv%0tc8tVe|CV2Urmb$sm#RQOkuL zv}6?fMF#UiK`Tpt)oe66P0$KFdm(- zEcH;QDtdey^%GYaRW)!mha%JX*OgMa+lRavLy>mSA9E zYr8b^PHHpTw5HleBMJ!u{{;;Y{?p0RF~ro#WbtGwpgyObVpTJ#{Ap}H19SOgkL$R3 z@;y38!=(TY&I`%xvE_Fek+h4~+wH)y?fd2a;uVo-y&Syyd?Sr?qv zP(MGVr6)W34+!w_KJ=c_vN!y6Bpg#%1saFh1w6ySlNGkc7w+jM?h&`BtpRlRcNM)& zARogf^Q9J`XG1Mjdkypy;&fuV!K*6lA*{nTfB-E8|Ea^eY3+=qX@Y(L~QAU4eAM%{IB)j(==$e<_cc{VJkHr@8u!=tJ%25*M z>U}6;fd&oL;r>l?)2v`#umyMeL3jSnkLpPGs83C9IyP7G+STw{&SUe`na1;^$Dl{$ z&iGvL`4(uZ;%r^r4yq$XTwN_SNiUmy9Irg-=-w@HKWPRNPWFD_Ut%t+@qTR0C+C`v z_5D3j6_9b?o|1Lq@awx(qK9EMro)!Fx^q%)!&`Cn8-sVd6RA0tQBVthO>ypFOQpO( zIiqV*0Z-10C*k&|oc-sAA%}XGDdX@mZCOb6lvs&7_Rbx}1$aLqZW2+fRqFc{`mJE; zcJH+Pse6_Iv0FKIsxi4g%r$N&KX8QE1I4Z9{9LkeOHUWNm9DOxO9?0)ro7+U#; z(B(Q*pK>ppF8qY|9uo4{b%(67H$Of!?p%5oEJ8}e#AoXEO=0|+S!~I^eCtN<+#F|; z8uFh5a9bXpe1A9mP+6Lw@emJmh@qU$VJPTW2FMqOWtosz^x#+uoLqviufgvTDx8 znNToD(=Apk((2EDnRT(?aX@p?kudll3)$vR5nkVs^kF;G)5O|gYIhs)nozJ_&$vv# zU)^ocuCc#KyC{>C6jVD9C!pqxb1=**B4uT5ry@T#i7I%-n>J~^G^vpDqtBfeWVYS) zLGd!|0!)_XKHEI1h@5^_61&NXLF&q=gI6TPX%H2@c|_J*r5IQa?3{%6J~bgOGqw4R zy?2=_`GWIDGhf3dK5h z4Q&MHDg6K*>>Mh!f%{UaAbh`+)Y6;%APH|$9((w5X<9vEbuM1@ff66*OUu*}geS|o zw|D@XK=@vDTRB%0hOI@Dx56_-Qf7!F$-4G zXJ=J^{^LhWpi;<0Y68r8fD4I?<#&AG-ftTH1l$P z`QbBBN+2R`Y%dNfrj7_hpizLo2;4l))O&!ZKAe*2&5GY0>j^=7JpQ)mce+Vl-g@&r zmDP%_M+=NCb;C;<3ly^8%s>${{rths){g1%s-g@CGLxo_jFju}A zWnadi*0Wt=?L5&I5l4K-@>YCNMbeKnE*fQ{HKZ)Zp`iMb%b>C3AFTyR%t=lQw7r}@ z80y0(3f^+9sScqsa@wG}duz#-dC`wa|^zI>cb4%N3q}XD2_bF?NY$v@e5U`o5njHZpK6I zNFe?$H3TVHFu?}rP*xHjw#p_~^4R~@CTcnZ+i&^GQbve;?&Dv0 PsT!k4kb6P?&;0x!<(7UN diff --git a/adder/db/adder.sgdiff.cdb b/adder/db/adder.sgdiff.cdb deleted file mode 100644 index 1f4da8b4a1ad67d1cdcb94939adc93f0980c14b4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1696 zcmV;R24DFP000233jqLb0B!(300011WpZ@6CZgwI7000000Cfcb0000001N^E z000000H6i{000000C)lHnagfmMG%J17!nEN2!h0Y<4wZNfEA#{)kDhID3!+y3$%x!OF8a5+=B*D+Xs0jSUxUFpg_gSN&jrku;=HAP zDD`H>$lhMtWWNR(ZIk^HWVB6Ye$A%!PeOYnp{GA9aDOT|=xtGv^nm`ot~y*6n#JLc z)=EkD!GNQAuXGuUFSYUd^=Eiis`1d8u3$qruq;-nm6~q53k;MgSYK+o5r+rJ2cUn}z+22lv2V|{BjT4-t$@G2W35b&iEg`X(iM<5 zhWfoq1OuEW5qapt``b?Cq6mBn{$>lKH^@7pdGMB{va;qdzr`$ZQIY**}S4omE7 zE*3=NVt?1+_apX8E*{vf*f$)O*w4CH5RHp{zt;>t6+*iycprlQSTADVsh-f1M*bl| z-7R(sEBy4OV9#aPT|~=y*yn=1Fdp`)U@w(neqtq_6+^Ep#V|TsbbCENPscY z7e$Bc>^3BB3$QD7m^~7KZ3eWBOJz5wqdi zz_iPNB-b5TV0y;XtSx0cuvb;TDLeJBmj%08hMDszVq?cwtVO5neDmO_hjGk&Uxt~+ z6tS`6E7qb@4hZw$sE6s-u%w$p31%8o#CEi9ZC%R(i&*<=*I_abj@qpW*lVg1QLWf+ zw+9`tPwLj}4g`!UGgK=JnOVsc$eB@?Ikj62u*-8hU2{&~s5`am2u?qm+v$dL`c~bk zPw)FPIsL%h3b-+3zaxZUjdbRYLs>a9|AV|Jgxx|cdgm<8FEVYhWLQs;QGK8B2TAWU zjlFV}Y{yr6UNXM?kZicw*tj!o^nrT6`CgbxBpn`l) zC(3B+SNQfVp>W$x&q`i;x}tX@`zz%IJss5}*8%kSggJs6RlhTQJ?@-!vv&Z=6ZO#1~~>fdql!?M9$S#Fk}X+W`Aa-_ljQ4l) za&-<_m-N|`7c7hH5fEDhqz-N~JhYz9-Mg6u9QZmw1$-!qd_qGW6sHt$fCD7~Cd*chaMqA@^>8V1h(zD_e-7O}81NC4%0fEWM(0RR6^zA6m> diff --git a/adder/db/adder.sgdiff.hdb b/adder/db/adder.sgdiff.hdb deleted file mode 100644 index 12b22f8edaf742a0603fd4ba2a909155eb793e5a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7979 zcmZ{IWl$Wz(k;OW?#?0sg1fsD9D=(o?(PyufIxr^794^s?hxF=;%>|08rVgtXm>p} zZ@voRw#}ZMgAjuAZpS&Dh39`KtyO+*ADK_Cp(ZA8J`iyUku-lkKby`SR+UxVzRV?! ze@L1L%q^|bd%dlEee8M_DLa_sYV$o^?LZ$%(kh)D-rwglikI9*Lv1ywF*>kjMX`W> z-$|GZ*XgOu1{jMhhCcN4l*CEoxgrxsYj**c%sZ=3-3tTH^WO~^MIZ<)_V7i6tiZ^` zdG&uJT_}DEYlqK{pOsX{2u1#hLcY35!qx0cB+_WZBKhgVjZ!JOC;01@z)hqu4F06T z*P0?fjF!MocqY9^nstOHYTdWaN7MOflgzRde8HIVz*b=+oEFF=>4#XiX1=k+HjYw- zdGyHN9dh3=8}n~2F5k9X^FZ%kKDN~xI20tg(6TQ2{}aw!QO;DdK2bREMX_G?AD6>} zMo0b%BT1>~Cq{ygE*M|Uc>|A#E1 zQ#CVsV^eXQG2-x7E!Wsc{S#wWV`#UTN!3F(OPc2H44e%xVg88GX;!pj!Zevgh;8Yy z*-|5^u5Z_p(H^#3*+B%F#iq(ungZXRYPlv9t#4*0_`Ck(YB_t9d=hl}ERYJnin9r` z`Mw9U4axZ`gAlixCY-$U?p$@ z?VZr-ypG2~D0TNFgY)I*pd5^h5M|p$w39%?uoj-YOZ#_XFEBq1C_C}ies`w?+R@`x z*wbp5s9hI3$2G<7WgLKN@pdmfIKpRIp|yPx%)_b4_9SKR%VPK}Ymr>(&6sR|G`mP_ z5GZm_`>ad%IYO%ITyezd(=9bseCNTew0;X|1=e1^|RPQuswNsuC&UMydA&Zt)nU(VG zQ(?eOQPkrHRXNd`w8*x4#CYvIIss=-4*p27`5NdnmdLi&#drbn_lN1HQ+N(q;f}vp zg+wj*hl61l?;PqD2WtVabtcr-ZN9uYVyuA zsc2lrD$D-1tJDETDH2p(mw83YPA&N*V}U;U@LD`bp%lq{_&&c{__ke2+nD!lQJl<@ z9kZ4dbIJ;{)|AZhSfHPOKH7OG>(yTMfBq~@;p_f_HiUCm%M#KrLKJa;0T1HMhxMN`hVMnSz_md*fo>MTQknv^ zb1;=65?9TLPHoMRyfZ?a{{`((o7e39x}~OpI3;rL{QAFmXZSyP$4lX|Vk;2;)9_#B zKWca9OUeL^W#%vJ2Mt9rzbg6C2aKA$^a=*DOk_o{-HUtKhKl@c)-4q0j7FUEeqn^; zsuIy>z}E&A;_VMJOsA+8vZN{Mu@|BXq-r@ne|0w*Xn(k(MI6SD;vT||bM(D_>`fFGpeb9Pkeadh<#j(+RUO(IzW+SpC`#3C{e4v1VtRu>?5c!SFNldxH~`Q~d7+Vs6JI|?E4 zfw}5s#jidh38V_(tQ@Jtd^r&Ez#Vd-JEC?4oGk~&29df(IYRe4<07(Bvo}Ugum%S; z)!H_RMDHerYY@1QQ|(<%EHnfFt=-?V^Cw66yh;l=0K@^$GU{1s0M0X_R^rcH0(GQO zeZ8~2Nn*L0YO7YFnArhY%a^&A5tlMGp~r1EZlpE{UJ}6U^$i9@dbFXG#U$b{L6Rp$ z0r0N^#_aF?DgG6`bOm|c>P6hRWr0KD`=nZIHNawOC;sMDPu=Ky_r@F|ZrS(^x!Bg0 z9`TSNX^pCWrqeqp6E+pS*z}{$_75qlF_Knaq32<#aS2lq_hZOq#G#o>(3#cPG!fN z^OTuWjdbGk$2Qnnah&>yrjKphQ509*Ydq*#A@1mg1nSIHXJl!;77YsKlfT&^fu>nn zo4qagUH<$){qkZd^BUvq!J#xG!=!zmxt*i1$cnmNdea?rnbXjd$-qSKOt;{yW4l zLPf3yM`wLSL46Z9{xijxgAR}k!X6ro0&deup4px+I}{H1(@%;PV=8u!I9f+O)3FzX zrCvwFDpy!ppbX6SwH4bInnTsr^zG;4@X)zR)% zXIKGd835gw9=TZtM#VhbO$WF;RcIMyjrzQ;WC&xUr~=*rH+#iN%xyGp~Y&{3`9&I+hG|aYpv7>Q=4i!>WI4 zUUCxCgwIBkz3#id+!rNrqU>~`i69C1p3eX#uZr`b-F|BxF|BG=TRj6qKyC$TU=M!X zfeCkSTdRq;bG)L-aZMhKlE^Y@OEgN`;Yy+2REXG0y58yPuhhz}yW6e5XemrIXE~TE z$}1C+znK3ReuH{Mf0bAeo)xcD5(j4dJ_h{3`)!*S`D}P`TfbF^YxC2)$li&k*a)j_ zjCdqVi3180Y2hM?Ra>RM0YcWF%(oCZgPcOV+D&`U%MDcpSmr$Fg58T+Sj7x0w4nAa_Y&iz9yA(I;wFMBW_`rq2A-`pD0~ZC>h| zxTU8?H&&Z&N z^>#M*1v_brmi#J0ziBlfH6=(^UK}Bk(YIYvJwZ2va`hG|6eYf+lcU4EJm#L2s$#^u{#D@ZduDnZ;H>i+&~Vq=*Xp!?%jVOm2^)xMfzlKZApn z+!t)5_f2uj40LNC(CP5tt@XAK>Ag5k;OW!XiftNFe~Q8SsZ=*SGO@jj_$1=ASmGi^ z&II?9?a0zGN)+YefgDymb>f)MQm8BED%4Ru!&3qGa-Z)zYk_IMR90zOV9bUMs=9TQ zs0v+5l?GJ{qr~~u$Pf_QOj?uvGnqyS=OxGq1@6hf=GipXx+gZ2f!UuDm9LA>A*c1( zlao~lhY;rnX0`fY2Mx_1e2N#Xh=ET#BX|xE=x$%iS3lCZ{saji^q8qs?q44Kp*9ev z4{?Owy+9l_qc>ko4Qru&cX@+2DuTy(ikMVb+rdKP3zR-7i(H;tk1Y1W#CiJ53%}xA zm6FnKB>!S4ze-1Uiqs1l6qLIcfICa$2Jo#WY}ZSAjfWCA?hlbw^(duGDfxd`DPe7l z%UY27vA%?T*Ys;I+BGrdX5(M>^?KG_@Rol+UCk7T&FgE&BpCPkJD+NGsJ!pnyO1_VwQr~N|xARSoKbd zan=uHz^33i1zUjc%RSDyeEi#9m6Q@BDKCx@NhF}B;#MbPL}Ic=opGs|Yu8~2&;dRC zQVgO%d~tefVoJ7AsMWsWt=?pn%_?1A=(o(X>Vol>iyrfxx+x|75_3shdBLnaXxPJL z@!dxP90W?$CLJL&1AO~RNaV+1;OMJ?T59OGIipU)l6ldm`*3xq#mqfrsczQHP%{QP zmT)(7gL%Xi@x;0RYqe&O0zHI z^*=o7WA5kVE0j>H`+LzQ<=s_Qj3_cIEOo8qhL*jn;hlXi=4jo2C>pciog&lRZ6$GE z5OU>)rlc%?pc5DwthA9e3th&F>~sz0Ks zL*d(BzNHM-AbyBNr#$O1FLl4K10A?k(Lsq>;px*u-;?A+vfh7Kg$ZxX$ZmQGW%A+} z!q#kEA}7w~tjBC0CJ3B`WSVwV)`*P$N*Im)6QXrbbsF}Rqn}7h$zr418ysxmuG5zv zN1$yS7U#TSNCWW<4=I|Cg3UhMRRfNiu!g^3+$(xd`{CP=ph8eYn|ZhmdMWQbf>nIG z|2+g5th_}YJgI+pTssb`I;Q)sW<|>AtqX_BAR(T|-^k^kk;Y6k0`T#+Mf2oyJCVck zJ*DGcGL@&4=3&bCoFjOg#No99+gzZMds)*^e06fDVZx&|H?>xbOX}S6Psy=L^P`&(azsau3Q?_e-W&@thRn-AQv3Bg zS`2MfQhzR-z;xX~C3X-fZE$cTOp)*Y?OoA^-zBUQV!yze8@c{y!tH_gL}TaAkJGj=o|?jnOgll8 zofdtdEDOYYtIz-2%w047M#j?wAkojRo`$bacnDm%gYF^}DG*ui;79e5s@Kj|N=nZ& z?k$%3q-Gc{p9}DVdV`SMe=BBf;qNkSdj|Igy^3PPXAcH7UU9;WBg_u_sg@d(OY!nk zeBX-dAj_e}Qzwk+lkBF3spj?pw^6xr!7=?HTK8l{3N}1Qbbl?adzK;v2OeW|e+;er zPsJ<@>5>(2tP)X7KNGEcnbV;C@1G5dqB0+HnDA`FaDserg)v-Xu{loq0!cQ?v$}%~ za(FL~OFQ!~FJ<=jkZ1O5Ejt=mR|NdjgkcL0UAWY};kQuL1B7kE`}PNsZXps<+tAKf zSuAdu2dnAmsJ?)^-<~AQhjmq;jDff?K7#LXFj}It~qOC7X&Ewo3C+_}DNS>Xzb%<)R zr4IX%Z&I-iD+>`|tC8i}$;?-bX;nUKdOg^$#>Bh%B@@ndQsbHMn@NtEx?3 zDs+}@_O|p_9`q1nwa}?WkZIY4v*H!S1w?rgvq`DOv9Wh_D-TYMl7=uQDku!IbJIyr zT*WYI&XXjXv0BdAHfA;T-#)nZn9MpHAe)rr^BfmcveOw>^Go~=c~<{KUj!oMO6#eh z9U^u_zQy)D(4+2y&h(f4l)mTk`Usiy^q|hJ&@nGF7is~)Nv^s^LTpUG@1-Br&fVB^ zO;X6mu`w+)OtU8{$FFa(tH`LvZR+p&o+%UfHO|F8iU4dL=h-K=v{zDjcIO5=Q!igR z3bnE>e$?Aq>a~luJWFUki1>4?Wg0xehmt7zhXjf{y&q}YAbtoCHQ8vl&75yytC;wZ zc5%=3)caSWkGb2Luo)0cv?uV1afDpYW{Cux;4x914dfo$a^kxZ;MSBBfh{=fqGpjH>9F>7nv3|%XPGkU7mc8)Af zyazDY3ulU}>s}!BfvriMaR+mzp1^Dd^I25SJcEk&{`Jn^<&JIu@&VVids2|kj_?;K z;a#73{o2fgP_a8&F0oNx&`mfO7Rq6W!JR~kR&?JVD#+km=Gf{)bSu> zAwb2&ns>)MNu{o)&X5$ibFi)IkraZzR=LdL3KnDV|MNf>6dvMm3!Y$Y{!E#ae3~q} z`AQTTwMkU?^8(~EH@yXwL4RX#Hp52#@CosTYe^+ z(@}XN*IO=F6NKrpU&FIF&^QOdFdXW-n~bnTliw=@Sp4Q)?>ovwb2~nSJTd&VMKzYe zNd9LltP5a(`FVDK?p*&+@bzm!yyq7ah<||&#J{$1t9_(+t3CW}%oT5CDJ{6K4$iob z8y*TWj(BJJN|C(ssVVt|^a~PsUqry9vTSrVh?FJx*s z^6rV-L%R-4lw}W@hwEH<&TK4_S7wgwOesW2{C?oiQ3B^=F$BeT@PK=VJ`HgcJfy2( zz|PbmXh-M|q$=@Ug%hbi$GAJCv zKK8*x!F!*P!SCglHl%Y`AGs-wZ-xb|TWQwf4VxX^Ro0X4)|i~wICC;EB4gv5s35i3 zl5$l)bz086%7T9Vvw@!NVThBez$k;3=y9?7K*LS?*3(FwI=7TkwT&$l?n$O(K5eDw zi3J;Lq>z*;h})-Cgr;BlvIyL-dEw7|IOR9Pcb)F4-ewR9q+iq9$>6xZ8J_5vwz|kH z1*C6x)Inwns_xM#L`nRfjw@frw_aX~*S2k|JF&FtmI`4o@&LK@!6X7*$PQmRO8Y{K2q|B#z zradmkst{W+q)?)zU2o@LJ|so~?S0w#-Lb-ExR5xv07RFw>&eHhB$O@kSwcE=qc^mY z$_am?VcfI#CM3af%?E~h3eI_UY|M09qDy_gqxe)7=4x~FWVmic@HH>o1%b)~%U#@D z-Tm17_4?f*Lube+lcx^Y2K_gagXAu@Oo^ycUO&5BOOHPo%+}v1yHNJD@%OqS=Z00l zv@K^RK)1&?T%r!9VT^U$S^w=(9ETGFWWK0-YZ_4_b2^E zHxsIJ$w0rII63fRl{NUCoaS@U3f9f1VO@Rqd;HY}-G=OXgRO6JQXB!H9hAn04b6=) z1)}k%Usd*?=q9tZ0#3&R1L`&6!6H{79j052!aC!ohby_)9T+RRuv?n;(ekYh{Vupx z*Dq>SrKjX**SY8~UEK$Kw`0gh{RMbPPcp+fOJyUE6cV|wnEwEgM@`*LHZANAPYXB_ zWX@O8Zhrd#wq8OA#?zgHdhO@f1bCNH9Zwi|;v97g11aXF>{K^AOEOB2kqLDB%?(&5 zkcrhq?55fd+&!@E%{No9=jp;q&ciRj_dG14wxitq(Dxd{_4#|PXT}jB=A=Dg8uPPczE5- z%{bJG5z5@rOR3(fe&?!DePL_6*bkG8PYM5Vg%ummawSq!&a=;gjpJpF=*Xfi$2ow|%=!HT6C zN6X!i>ZAZWuV{=X2(Yy|fWmX(oJw?HRkO&O6*qks6hW&hLc^W?vX7izUod8AoAKhD zB$C?J(>Ns{npqaD|5J+yWqKUa8DeV9hXECp!7qx$$CyA{?mtx|T%Zg}BC>fvO|mQi z%qOK|Bea7EW26Uw#S3N_#@Q4Vg!|3%$rU^<(>er=C&n(DL0~}~|K3Q~W*XTMhDmW; zI5>N`KM3WcN+@lVMjuxKmwB8EFrZ`c5XDlS64xZ2o0=CtvmB7;KTG8>AD{HuulN_1 z?t}>*ir!)!tyi91B^FL2hVdc}JEphYt0k27pNabA`6$YEzjh^5|EY0--#}WzBDDXv U^niDQt($3>E(aI?^q>6sA4Y?nVE_OC diff --git a/adder/db/adder.tan.qmsg b/adder/db/adder.tan.qmsg deleted file mode 100644 index 3fcee9e..0000000 --- a/adder/db/adder.tan.qmsg +++ /dev/null @@ -1,6 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 08:49:26 2022 " "Info: Processing started: Mon Mar 07 08:49:26 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off adder -c adder --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off adder -c adder --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} -{ "Info" "ITDB_FULL_TPD_RESULT" "A1 CO 19.344 ns Longest " "Info: Longest tpd from source pin \"A1\" to destination pin \"CO\" is 19.344 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.994 ns) 0.994 ns A1 1 PIN PIN_57 2 " "Info: 1: + IC(0.000 ns) + CELL(0.994 ns) = 0.994 ns; Loc. = PIN_57; Fanout = 2; PIN Node = 'A1'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A1 } "NODE_NAME" } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 1824 80 248 1840 "A1" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(6.202 ns) + CELL(0.651 ns) 7.847 ns 7400:inst8\|4~0 2 COMB LCCOMB_X1_Y7_N12 4 " "Info: 2: + IC(6.202 ns) + CELL(0.651 ns) = 7.847 ns; Loc. = LCCOMB_X1_Y7_N12; Fanout = 4; COMB Node = '7400:inst8\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "6.853 ns" { A1 7400:inst8|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.391 ns) + CELL(0.206 ns) 8.444 ns 7400:inst13\|4~1 3 COMB LCCOMB_X1_Y7_N8 2 " "Info: 3: + IC(0.391 ns) + CELL(0.206 ns) = 8.444 ns; Loc. = LCCOMB_X1_Y7_N8; Fanout = 2; COMB Node = '7400:inst13\|4~1'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.597 ns" { 7400:inst8|4~0 7400:inst13|4~1 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.387 ns) + CELL(0.370 ns) 9.201 ns 7400:inst18\|4~0 4 COMB LCCOMB_X1_Y7_N4 2 " "Info: 4: + IC(0.387 ns) + CELL(0.370 ns) = 9.201 ns; Loc. = LCCOMB_X1_Y7_N4; Fanout = 2; COMB Node = '7400:inst18\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.757 ns" { 7400:inst13|4~1 7400:inst18|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.387 ns) + CELL(0.370 ns) 9.958 ns 7400:inst23\|4~9 5 COMB LCCOMB_X1_Y7_N6 2 " "Info: 5: + IC(0.387 ns) + CELL(0.370 ns) = 9.958 ns; Loc. = LCCOMB_X1_Y7_N6; Fanout = 2; COMB Node = '7400:inst23\|4~9'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.757 ns" { 7400:inst18|4~0 7400:inst23|4~9 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.412 ns) + CELL(0.650 ns) 11.020 ns 7400:inst28\|4~0 6 COMB LCCOMB_X1_Y7_N0 3 " "Info: 6: + IC(0.412 ns) + CELL(0.650 ns) = 11.020 ns; Loc. = LCCOMB_X1_Y7_N0; Fanout = 3; COMB Node = '7400:inst28\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "1.062 ns" { 7400:inst23|4~9 7400:inst28|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.736 ns) + CELL(0.206 ns) 12.962 ns 7400:inst33\|4~0 7 COMB LCCOMB_X1_Y15_N24 2 " "Info: 7: + IC(1.736 ns) + CELL(0.206 ns) = 12.962 ns; Loc. = LCCOMB_X1_Y15_N24; Fanout = 2; COMB Node = '7400:inst33\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "1.942 ns" { 7400:inst28|4~0 7400:inst33|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.396 ns) + CELL(0.651 ns) 14.009 ns 7400:inst38\|4~0 8 COMB LCCOMB_X1_Y15_N4 1 " "Info: 8: + IC(0.396 ns) + CELL(0.651 ns) = 14.009 ns; Loc. = LCCOMB_X1_Y15_N4; Fanout = 1; COMB Node = '7400:inst38\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "1.047 ns" { 7400:inst33|4~0 7400:inst38|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(2.039 ns) + CELL(3.296 ns) 19.344 ns CO 9 PIN PIN_58 0 " "Info: 9: + IC(2.039 ns) + CELL(3.296 ns) = 19.344 ns; Loc. = PIN_58; Fanout = 0; PIN Node = 'CO'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "5.335 ns" { 7400:inst38|4~0 CO } "NODE_NAME" } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 32 536 712 48 "CO" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "7.394 ns ( 38.22 % ) " "Info: Total cell delay = 7.394 ns ( 38.22 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "11.950 ns ( 61.78 % ) " "Info: Total interconnect delay = 11.950 ns ( 61.78 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "19.344 ns" { A1 7400:inst8|4~0 7400:inst13|4~1 7400:inst18|4~0 7400:inst23|4~9 7400:inst28|4~0 7400:inst33|4~0 7400:inst38|4~0 CO } "NODE_NAME" } } { "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "19.344 ns" { A1 {} A1~combout {} 7400:inst8|4~0 {} 7400:inst13|4~1 {} 7400:inst18|4~0 {} 7400:inst23|4~9 {} 7400:inst28|4~0 {} 7400:inst33|4~0 {} 7400:inst38|4~0 {} CO {} } { 0.000ns 0.000ns 6.202ns 0.391ns 0.387ns 0.387ns 0.412ns 1.736ns 0.396ns 2.039ns } { 0.000ns 0.994ns 0.651ns 0.206ns 0.370ns 0.370ns 0.650ns 0.206ns 0.651ns 3.296ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 0 s Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "212 " "Info: Peak virtual memory: 212 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 08:49:27 2022 " "Info: Processing ended: Mon Mar 07 08:49:27 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/adder/db/adder.tmw_info b/adder/db/adder.tmw_info deleted file mode 100644 index f9d7d70..0000000 --- a/adder/db/adder.tmw_info +++ /dev/null @@ -1,6 +0,0 @@ -start_full_compilation:s:00:00:06 -start_analysis_synthesis:s:00:00:02-start_full_compilation -start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:00:02-start_full_compilation -start_assembler:s:00:00:01-start_full_compilation -start_timing_analyzer:s:00:00:01-start_full_compilation diff --git a/adder/incremental_db/compiled_partitions/adder.root_partition.cmp.atm b/adder/incremental_db/compiled_partitions/adder.root_partition.cmp.atm deleted file mode 100644 index 0a8e135269ebe02b81270b8b907654b2975e80ec..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8870 zcmYk9WmuF^w}z3fp-Wn%yFPPhM^hh25E+rE@4RN1`#-TzVABM z*}wLFueI*yeb!okRu%3mgnuU>``FCPXGORn#6J{8l}o5%R0I7i==TYd{IsBht@7K^ zi2w`3_-gvLg?gQ*#kD09zV1hVAc4Aa{*RdAB~}|3#d@U}%n*VW)pcQjb+glg3s(u=N7oj zuq&&Z9gU#uNe`NJm*z_@sLS!??3zGs=+qg3!3Uw`zvqWn=0WLUp???V9(5^={~|tT zi%U2@Ejbp4bhm|d06_C7)BNAFF!BzfWF1 z9HiYJoqWE#URheZKAr`Hc4j>J_>0eVZA^BrT7*1+qIai?mYT~nEe6VJ-OcwgC9T*g%+P#%t`ur3s84}R)C>s>;=Sp(+P|+)DsCAzN z{bU8$a&`Up#6A_%VR|RZP|<1fyM*s04sv{Ve4d23>BeN&=d3%6ld|_meGfaD6Qve4 zzns(>;Zfi8L$h6Q*VOZR=59}$p@)^4&F_!>r>}mu8ZlPiZXrHriie_D$&PtIeOFHl z`VA76X~vX!f~3MfYm1F!f!t>Dy8)EXG^v^x0&)U>%d3y^%cx)SaVyHlQ3Xr5(jIext2i}QNpef~E$ zIt%dr>h5y3GjRxZb?)r{a5hWf`Z#&82FQMBpI1Iz=>-a%U41=#s7OZ+TlgOD9z2zU zYr1DTyOyVZ>frA04IIdOzCpgcJCOcdIFTjvoV_lY&-=KMRTROfn3w17@l{?_>|_1i z?1S<`nwZ<8%%7mS0=OBWttGn(uk& zT8Jp0BT2`oV4S%*{hM1Eds#c2LhbDtPZSfpD_#J1PaHF}>Jh)SfXlrsp}g8Im=xd_ zYcI`0^JTjeYs_IS^prX3(ve#^{t;RpmSGwR7beHaukI3_#^NfZH5H$x!g&~>&AuuM zNY2KN7C-9W@~mA^-@2qlhu__GyGf&2w5mr16Ka@$YS0nQr)aW^>~7B{!q-Db~$%D(uF zw5WJn-nKwm%B_*jds|IPpbaF9)hx(IXtw zulhgNapnHLw@trh5YzazdZ7`}7RHldi%U^v!GH7zXyG-=K-w=c{-)!4B|eF4M1HSR z|8D5=$2g}S59r~`U-J_gk9Y)ycm*{v!^c9P1vc01z(knWr3D$^<%ZhZXPEi@uJKZ{ zq)pgX?Y^tA(2)5DO!zPEi{y@IBgUZcJ`I{{?HgL!XC+d zs-bD&-0jdPZ@?e;Y7&Mi;;;O)AK{XQE+W`IXbO*^4fAMn9mPVF+gTW$r-SGPMbn4@z!w3RtN8!!Ur#-phvyowf)?nsh&hYQ;oRp5qv8zQ5hHJjXMb{B;&F zTfPBAZJj0-Qn7n`u-f;@dE;Y^%UA{tQ%D#=cAu#zbRbCVK^D%#M2~-(1_73Bu5r-2OyPB@!_L0!r(6_vDFt zcxNe@paXd(ppMT8_}1$RJq$$$xD?4pP}AWUxrYGLB;kGcE`lj9=!9g*hENPuRxQEm zG$FoFSmXeS@it8|5(zO-KOsJvW?=;&x|4 z+)~@;a43CdBpm8W#4G(dv=okaxd*qS4CxRXdGoo&NfQ0xQ7mpynKFl#@>A?_A4*Yg z?P2#T^H*D@n>j_Bioe<{hc1UAn2jR73FF;vj{JB$vq3~p8WR5W`NXr4kXy08et(eF z9ZrdWRR59xWGo&Bn6$lbE39H9Juj&n`|UfY{aQZhQ&)Nb%UnWeG!wIBc~?|0cyEZdif$-iKdBlU=Lo9_y6V{j?C^r#rg`MFb=qSjDKU;smt8x^0hWh=-VzEtXBE*<42eSGXDm`fITlv$R~4>)kQ+D z$%7SUkZJLCmtsdC4{=3Ey1rHIvO0VBax~KeZ!TEXscYtMCjSO|?LF!%ShG|;AY*q$ z1SZ7l(xt3>=~i;Da_KS?T-mXk^#Vp65VXrY-R9q@s=6o9hJE<2?D0jW2mVwr8qrF! zQ&-k(aAm{pik!fPUS=(SHzVxBs7sf+)TNo0t4Zm-xyI%3Xy)mQu;zb-Ico25Ucqj^ z$8*gEmr7Q4Jy-q7t3BD(Ou+R#cs*N}!MPt1N8h52uE)C~tczs`u^F83OM3t@1yEj> z&&kf52Z;GI#Y;k#e=rkAo2rU>)k=xg!P@c3IT`Q$eK;o8@4kqO`|z7}Bbi34aEwgO zHT)j$gYYiS0YrT8~G$42pR6RsaBEs^<04~aaP^vIV60h-f zaSHk(+UoLyaSGPD5-eSEYO+bUbUIzAcnBBrsDlvl|_q4-%z-&e`}j83iZd4((0 z_91a688d`&2(jpe0prs82>QW~dO6_56_dNu2(<>wUf zYLbE4e+L7#N@uG;gBV+Ps{j$0+4ITjdcMIizc~R_?eK2KEk-OYaNoq6zdSk6QQJ&^ zoAp|&K-$ewOX7CQIm9gKfReqN65u@&y@tqN{w-L^k0-oxTQ&-{v7i^%mZqsIv&R?7 z*R0JfX>SI%{o)v0rShjhULbN=RF9!fd_h^l|x=Zm) zQ6D#H{)04(+BT++YNtT;c9pVasGfZW&V7R-nvVXl^A|)_JH8&kF4#pFM%!|{VSkRPMI2h z0Jg4Z^k{<^x0D@{_EI@$RJS?KvsPVKkS)I&q7m}& z%AI&-h!DFa(rwx;apL!I9mv}v!UY%Z6M*@71GK~-sVQGYwoBO zzI)1F&X}p4X24gZixolcpA{Pa9;G>F{x4m?%*+0ucsMh~uUyZSs|OSPLbst!Ib<{AuuGHnsv*op_|tHpCu z8|sb?4P8v~)qhX8jcr#sTB~D+W;jx)nQHTXvsX?@Bp&*i8clASfY{!cJj@r)YwNk5!eC zzu8X8ps{dY1{{#CmYNSv|?+V)OSV!kTY|2b}=t?4fB#WB%XX`~HlWK>Up5La(Q zjNiRxYvW}P!l&Dq1sfmHR+sZCaJj}cmC!Bo+I+9Ra*(&NEs*Eo$#oJ)2Q#-~4U|`C zXM-IL87SZJHwog*Ri;ZwHyVcP1(8@gMe-RDcf%m!lXZ;Uu*(~S6Nk^U<|KuCCrLGF z_iiN9>~cd5bJX>+JjH`e>~c=7z9z8G5D*bOrSEn9)LKIvh`nN4kf`&WjVeK5=gPNb zCBh?cy*6YAfg>x3CzsJ1nm~{j;daKLEX~=0bwQjSs_xiibq;{2?p&kL*@eKi43dcKo z6W#P)X4fQs@&(Hr4AsctRXef@7Mt%c{hw~(I3PaZB(>fh*yW8`-ItYC#eXtzhJO_i!{8+LYJG#Fd(7-vohpaqmL8YY|~(Kfk)v zRVk436KJ5@<1GV9m`2@Yd6A=IiFFP$xGLW?=3F#;euL(ym9+)hE#!W^Lu!Jm*uogI z{8}cRN1(@5;)ivDD-{OyIc~f35OQ)f8&RxTsmMbXmcloQjXZFcWK!4qqXulafRz|S za}r2K9N74>NXqQZt{TbN?2cHNzMr{QyWP{`&qp)am~w)4y{RP_@m;R+Xi; z5_+sC@GP0T%4H13VJMJMU46|)g{LRCE2+o27zKVVMLvpJ#pPS#lvH~fEb_MhQPh^a zJH=twqPWfCGm|Z-`dYtY_~g6MXq^f{fh>RQR8u;p6*YMCM_=SXRQR=e|A9cu)1IYu z9xy)X?YjB27D2(0AW;rCRG4OCTQrBebS$O1id3NyR3IxzzONsP`tJBxy2dbb+KJ~^ zv!@d zF_+7Qpp^(i^P7XB*8>unMtzF#lwf`c@fR~7i{)^@UhRO2ZRC%}oZ zQio!+`VltRsQ0@(`O{V#nsG({wIgT4t0e6u7Bm}?=1%5MstxZ~83AQyRX-kdSM82_ z7}?9V1z&)bi{UFq6-eV{MkC=xf07Y2nczKht!pcl;yQ*4b%rUzg$w4CNg{*P4`?Y= z&O7d56NzPOu3C^1` zE7c8d%Pw4db=D2h20=4c(0Um=r{#M7ny0NUmx{>srHwgGYd@t zfgEa|)rj%Q2S(l8O+^EhbS{tR(?fE&#p)kR(6u@S)CrdJYK% zs@$ucGXSvj8eskD89&QVm`(uACr4cqX<62soK9N_#W;mAW&)2 zjfcekVcPORc6mN>CsT<={b^{XtigS=ihTe-vme2ytYIFjjZ?G{4`W@$UvO3Iy`%cu z$N(=vaz=+Ux$|E-y@kCO+m}>DWa=jkJ5t!fF2pC!NfHNUX-4bpQSDdke>=UiB7DXu zh#vVneS<|1uv(8%MU9J_m*&vNuYS;6{q@qsOZz-h+&!N}eEr)u`s*zHQBI`S2|>Wf zIZ9^wPlR4K)Xj@vPYDHL&_j$JrBpgD`~O$ zSf%A>04CiYd1{THwc@Bi2g5?oWtOSz$w=xZdAvr7i}0}*pwuMjTc3`ptQTNFV7?XA zo!kOWE+`Gz+y^@|L(T)sQIS~Re91<_Fwy}y{WQ&e&;>hdtx+Ge9%}=>QUtNvutEyT zL51WLxLp9CD|5qn;4ms0@0$=s^r=LKrNmBJTgFKuHhIC}nu37iBtTG} zpX-1C(Snw#4bbHbP!sNfTA(QKlK@;uUK`^~CdJV5&G{_O+4J>W-Ax&}F5gT>P4kcd zc1YfW7fVCIFo=$V!nS`A+m#!PL4h6xc4KZjH(mr`xb`JB0N&bAfa2VSEd&g}Zdz*} zdVJ^Y2SJ!GAq9&H9IpMV*lyfl+kRbJ*`zNEvV!cigfn0PDcMw8S>rl|C-*KL+kQG# z!GOwxf;Kvee^#`Smg)pYK(a}$hW~X20@(H?Cj;2tQl!SY4sY1JeAf!W;+_ShKnq$x zTjbFb0!QjKR@&R-OMW|Ul#fWOsnBztqL{P#auQN9u6d3i4%P@ISoMzVwLQO}#`diRrv~kDgNe33Mn_T4W;#@!dGs5PO6l~#x-2>=OnN7b6}4Xf;f6eM?-&8cdVRVm|Bq6Nluyfj z=Zb6OzW-2-F!PVp`d`u;C3=2`n|z!iyrVxA%Bj(|EZ$QCSQ${VeF>QzsrzZdA0UarB=vN zzI`yiP#_MpQ36sM8c~d6@&mV#qu?LDb!%* z()8+x&b*K8M#6te#q$rMQ}sL1XwE*?S)<;$xH|O}ab=)-)o8@DvW=dGgq<06C9f~N zu7F)-JN+VB+=GZor*ry0N0DGQnG*CAI^Afsa=|fAA21i0ES_$p3O>X@w%oNEu4<8U zvJIWH$w@T<~*+PtJBQ&|i0$>v*D(jloJ)bb5v+FlFLacSH8Z8RB^j` zANgAVpB1MFS`x{cm*qZ&K}>Rg^_xY}Zdz#@B|aO z;K9jOj3ipTx{)z z2~)gS=C#=lEx~@2{D+HG;YJa@`|Zln=4=OezX}Yat*I)j-tHhp`6A&x*dFB-oKR67 zdiMNYNAY@fILzR|Wuj+GCFj4|zu16$Z1$FI;9X3dYCX=tJEFs3!y)?uC5vpL@6#qHI@V%ziqU>!(;T}%k#&WTzCp|UB@;T~^P|x5*c#wbFZFvn zII!G~L|%Npe8^rvzVvO+A`3@_4r zNmPsBe_gQ9nrx=wj~AL7L&4?cB(02x`U9vmC$_`a>lCodge7xMLQR9PPv3?<(7)fY z@Gcxdu`YUxoBCb=TQTRu=eiL{OjU|dtu|qjytG`p|HKG*IG>gdm5z599D|RSv=bhq z2BA|SHC-V|g6g!#WyUb~G)8&4eI>?6X=#c}S>ygGCx=+GmU6p5NSJi2gO}8Kfhgci z*T51pZ2FM`Qvg4MNG_6rcK?D-Lt5^S3Pyl4-3&&|u(ttgBL0i!s~V1=l2UX5eC4}F8l=S)6Glhi_b+F78Ob~n=y)+liq#?L zo2JTk$LK1J6Q60q8@}Jm7t8cA40M)z8I}H}(CE358Kgb(82WEO;F@Lr|!N zeaZG=14vK#x9+STgex0-eb)a2H=YJHw`UL(Z}B>qdm6c${4VWHycHqHz$$?Fef9tr zcZXPiC3i=8>=(efUiN@Lc0$J8Tn}S_dIzfcG*R=A>aUV>_9r*XgD1h4tjk*0|J62l(-s#ZJFSk_skDX z0j4go)HU;7Y72vM2}^7JMM^irgK-X_8n~xD*D2xlgn@oNlB;1K6R3{TYPf>N7uu^1 zCAs2f51@_94mK_t0KV{$l#=4)k4+)W?M1Yn&(_$RI@tBj$WwM{&-gcZWR?+`VIx$} z?wf|HvIpx0bo+~?i5FWg3gpx(vL|QFYygbYay{~}QQy`4V)zXtJ`1JAmf$yZv#PMW zkt)r1os;vxBtlgUqzal%XEc7NZQ4MO%+1x6Dc~iI$1VfS461}KbJ7Y3mPlk(?r#$1 zN6S`B1~*Qg_+Rx1%nMH2tgu3e!~=5NH(3MIb*KB;a#|hx{kH4mdnBSOkqN{7gUG*(D&X6xj2Jl?Ji+EnDOeCX*F|j+akSJ2N-3x6bmeJqt<5Q97 zXx*JZr;-SMo2vkm_?xgxm#)q|5!L&vI5?o|rtWiafW}C)gUi@rhv#w5H{5QLDY$6x zU1}`v%ILQp{|U#5iPw&MrV;)c$vQb{7JM^xTIAHyPD;rjiZl>|Fy33G2wRgJXX5B@ z$X+TufTpJW;m_9O**a?drasSiH|d}i_rh=O44NvKKN(##UW;f)%QXeDGj)Q7sVmY! z^@0`uqIK15-2C!L2l$06(g%&1(>xNKJUh*h!yld<)rxuu}6%%9^`LAd9%aw1r>UNt-}NGIktMiVXN?bX+#MvVlKAQ z!gQ)a5&m+F513enbpRipE%jx5oZ3re=LVIVymw0$;`mJ=${6xlY`>F1!J@Ai&_dmm zruG0001Zob6p*ciK1_zAnE)hnsEB$u`!9zous{2%#NzlE4D#p6(6D z7?XGhY{nm*X6CfNef7#85yoM(*-R4*7p1W*zm^_Jj~+jg;q(-B!}VR{-`pnXb@vUz zwvGQzG|fhxW#UB+KOL>Z$VvP#Xq}#-PhlFNH1<%qsLAoS7|p%dzX?zhhRgT_EyEk% zMT<0W;dG23gcHnBnB001io-?nn-h5=DZc{#^phBME{8+3cA_NU8M82OaTzMh=>&Hu zOp|q*poPEmVzh|DRhGIy{2pi#M&3>62RGvC*M8tG(>WZ@z0bbup>-7AM9yjjNg*$h zze<;)Dp|ZY_qP#6kK@qwoy41?dFZAqFG#Y?{8B&Q_gZC#P$%!pI`ZOpd57Y3_8-qp zM1&+1#p1xNViDR6gSnr9lNULMb(ob5UGQQC9B{aLoX%o5^4H=fotwz>pa>_$C70ff z!&}2c?{kzkE|&81;$|)2tk+B5b!JNsE%U6-eYO&<6A|DyKe^>#^PDR`tM>^nu#=#> zqEq-W04{0~hdkywz`Li?$qxdaC!=>l&+eY4k*D;4&wBLPo%W8@eFVaZhG4~S3U&e1+ zko&V2%=x(=Q9HYD8xrTd^`~y+iB9k%LT!znYUU}?2tk_l1G9f1tlj$TMKO$+KU%Ny zN+XE#&y;uQG)Db?`(5kRB3&-0c}_U{G5^zktD&>h=hY@uN1AbpAwD0HV=oe&cIddj zAcDsKK>zY)sF(F0h?obP2I*?%iIVeJaAF4Gq~tRE{Yj`8oVvfC9MKQv-WPrv8>U4G zB?QXPM~GB@`mXg=;0FJ0eGXXU^8d0y-zpOB&@DmjHXsu?D-YcoCdXH6w_fp-SIONP z3UM_UU3M<`EnY2_&P~iyUUvtV!~R8YG(GQ+yMvFtQSbZ&^{69_oIIG;{B!(r#_ zqW1>wf8DUWoq5=t8Ip#zEEj3n>7`Cb`W-t!fQH^b>v69BHUga@^KQ)~l)!3FA zE13+FEiThIWJfhtRbzUgUu~l*UxECOYOJcp^g_NGW>vld^&iz(RgD=1^HZ}bUxE0K zYHUmI=XhCF(&x{U-To1-sv0XFC<)$rqU7^rcknpK2G^4(#q8No(g7gwDNIa2>~BJ3 zV$>c#QsXxZqD5-bfSuhEmE>POi=_Z$*<45ZBW`QKMxd3TPo0}#F>5+U^;Ba3rSl|10@$r1Dv-N4v?J3l+X!1R&An)AYg6_C64Hu`gsl2g* z$GC+B+*@M8hYlaSE8kLaXu}7C4+0+)PC#a=!6D`!SY&}i3V%_N23asf!4QRsvk(A7 zcnnc61Z9w!ibHW0@?l70a7ZBw#Do|Sk%`+w2nY>l;cqUvdCl@>=?tg+tMP;tF{>&cvD^TeYR8-o5g&EhAkJQ{X!*4f;%03eL3+8;NzCesvuwnqZhzdJgt4{iq z4?y;PkUQvZ?qFg&6M=c2 z*@8wg0bJn@L*fqBRGMWJRArmt14f%Z@rSSEaNGU<5@LWcKDCYevVw#LYw8H7(%{U)-9ibIGESRfZNkwaPcE4UOtVYWsd$9hJL#DM*6Z9Hisix6 zSd$Pdxr78B7cvD*>K+%cCDU?aZ9=T%6N=A_mh+u(6|m%0F1%qBT8FcCqpX10e(^GI z!-FQ~UBgGoDHJr5NkGojHN!{Vi-Q|$6=Ef?P|!@qHH_6*6HNAT$c9(=Js!4?Ug7ii zu^p2?QSu7KSJ7ljiPSkdl*oOov0foj@e0Knpw-2@Yx{%3uk96{Z8yLIjQ^_jT#128B zlX2Y+K`igwA&s?4iIP>C?FbWdP3?{_Cc8aEwo0v+xNH5Htx~dIkL1>2@7ms_X;rLJ z@x_Wl|J!wT7NzpgX{=F7RE$!w_$}mr{o1#@Ly$DqCM7C1smTgPHmy2GSzhQPvPnv` z!(n7)?QiTCBl9*qXiDBScvMVM(=>AJXW_aP26;mgX{<#`R4h`fd|%P3dtH&q<`tC< z((kesb2LbwzlZIZ^r?zLD!xZq=p&=f#-dE_VU4v%sfs;HY4Ns6?aHXUHIO#e9i=Mn zC}Ra}F{_5Qb*v7s;B3O)|TboQf$ZehWj0 zURr10P+cB6jWq?SiYds7w}NiQ(6Z#m~T=oxkS|e9=RHe0G+pw{d;@o%lK6sSiK-8sq$E z;fK>S_M&MHXuAkeWEUqR??%izS&|#B*8Kmul;i}?^6q!9_yN{siVbEMm|=rda)i-b z{CumCr?2Mvbnf4HaRPT|)Ls~5VcX2M=xnBI9>(^<*e3gUW_QOjrQ)V zj6&AXRUqcG(+mrD_T}xD)p8RL@(>TcH7U9EC8OC{UV~ys1?1rDcXroHMWju6uKh8q_rO z{{y3pQZ_MSFB~Jg79*Q7GNU%LEPlDms7rO-oY^+v^RuVZ9T;WP(RA*uy{PuoQXXU$yA6$EQLr+Q@I^cxSg$1BwYmog*?<$DYnYpRPs=!a5st- zG7+rOPgF7yTLl42C6Wr@SfzQH5(I_{;wpU?t3*=CgQ-f9bcG_R;7y6jxKznRRLV_M zxPq$mCY3-~Y4jQ@m6P+}2Lh>(B~f87TEl~_*4%^HaGC}_pAIhu-JiK0?tB<^xD4+8 z)Vu6V`d#=^^8Vx#`eX9}2=RH0Ke60F%5P!x3s3PD3(rmb&)&UC+!->Tf#kUP+$$^F wHlL6lS47%iSQJ~%)cr9>B}iA`f5R2tuG0001ZoV{9EZ`(K!evW?y(Y)*eLCQ;)gFe`f19;c5o1;gb3}4L} z>)L_rY*B2xzkNlDl64FvN|xJ~f~2CE`M$X{^!fQYy5GL;SDRjk3BLfC#woVOHX4?QgXe^&D)dOw~2 zjVOBf1ASV~P=6t{kZ=5WcZ3)j-ZJh;bPQHa=C$sP9 z9eQ*38rbo=LxVwD@SCgF*y+i%?wCCPY?DpKN*3aPWtLIJd*3I;*ICVJ2?NtC3 z`@#RiLd<$PTdsqXq4<9?9QQiI$#5|0w?C67=K&80y+F{el)2jnfBDw%IzN3}pwE{%~R;5-d_8LrWhq z4^#{ESqoOmZ4%wA5Je%4-L|IPMxn|fvw5l2$LA+rVs!QNB>mA9Mi2Xw?uVP3{(THF z4y4`l_Gc`Yo)8WpGZ;Pg?|b9XsNZ{x{x)_D@DPrSqmeR@r4VCBAV!SS8(7BR3PY_X z-2|?bl4|cLNAXO|W{}yel=BX#Qm2C1tb}W=1ijHQ*SY8r!O`Pl<)&7IC<4^dQl}=7 zBOms3p%b2hII^RwqlvHx(4)&_Rm*CZGG9HE#dIB}*@{N-vQAQh6GD`kW2M6QSGqgW4rB z@?+zAcvkBgqY$ru@=-(TLT#jsg(o1X&>T9vK4A1V?401DRpw}xH&D^5n2|obI_ATWWkDxgu%1!9d4%; z<@FV1TJQD>y_@7SRi0++2)$qc!>Sa2`pBG0u%pka;sKTq#b7_HtX)Id^xQydn`?X> zeZTKk9O86rAwpR)7%4-j1Vj}XQ8yW_($W&Qu&0&zxVWjU*JBw;1G?H1N(0(j!^nkPP;t21`LFLjWD-r#-oCi-tf=3KI11Z$BLW<8#}LE=)C2(56$JgtT5mj;&j5G z`-qcEOI}PEk03i3asrZd0=r7#a|#O|+)pustWv!gIiL?%zd}~>hO%O|>F zJ|US(PvU8gnhZuWpK!_5oX|MA6y_E<<)5O>1<9$^~>J9*xP2y{y>HG@Y?YuCMNC1^11l`6{Lf zA(N7sqb1w)2f-hgF=8iwB6GM1cdK+7#YL^OEpPB!sq}lp{8P!w<^vv1!EijsWtj~% zCJXN>1h806*6py#S8 diff --git a/adder/incremental_db/compiled_partitions/adder.root_partition.map.atm b/adder/incremental_db/compiled_partitions/adder.root_partition.map.atm deleted file mode 100644 index 929c37e733d88efd62805d0a03beab9ff04c83e2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6004 zcmV-)7mMhu4*>uG0001Zob6p(bKZgA-#cPq}J_4wBGiN#D9jOZxOlvS%;f=aX-bOX-9C zQBs;stEoXljTCPy8XWNGMX=^ zP%x?nf4r?KDmj{dmu9Qw%W5e-OrFLI>0v&5j#3{aXhV9K&Bxznlj%41>kpIZ-P7tG zzuu4koZOA2m-+15eDwT`Ni*ot__M@Ij z9q8s12#&uFR$mu)^T`Wq#QHWLkFg6Eo1b*Y-$pPSW9f2DrJWzg%0qXf85q61JWcLK zU!TU()4J&Bwb+(g7p#JRPnM5BY$*9WiN<{a9Ud*E@0&$2Ss=mLC>ArQ^JN7~BU&t2 zL7dpplt#0#ke)}=)x+p+xtarMzkc5g2nhrgu_QKxC>f~@OJuZy{>&%;!P%HBmh;Ki z)p9mp{47cRr|}4yosS=2jBAp!`FkDic9^Wk534z&$NhLYnmjFjKF893j;HsK_b;gt zwcEhNdzk(3Za!v<;9ru|km)Dc_(WtvB-#8$ZT>!yjlO*{8^S-s|nD9P)(BcPYN~+SPjN=wwn8+yZ@1h6#OcE z8Gn_WXkkHx>$<|}>iO%KjR>lO^siW1EOlG?HV=o3Ij@bys+&yj$A3Ytq8lb9lo04T zpeELJdj9wM`NQaW0x*DD?C*C^v*|b@#NFfM1*tG}dxP-O>bjlV@W$~2*Xu!9d7aUA zZd}{h&1iXEC+s<$Z>bQS6?XYzlB3ojGoZhe-wyeNe_dPkjsK79A{JjPGmOsE8r%!D2HzJ$18P5s_ zZrANyVF{o_l;rkqpg--#x}%T}RO(o_L1^`Q-oP4c#t{sB_$|=RhC)}qH2?~R1Ixd1 zpvaoC0Tli4aa$IebAkadUu;p)Rb5w9MTZrA*8bx8?eN!ubrsrP+rbw8+K{2|dr*BB zdm475%tk-hjH72e9;OU?z60dAaoXFQFG1Mz;eR^_?SNm#Z{PM>!{C;m+qMRlG4Pc1 zT$!I0v{U*t?7^J1!@k=~=@3@Br#!vp)q9JbbZLfFfUJcjFQHPw% z5Q=V^!_qyk=WMcZ)wy2F>U|DlmF%vzZNVEKD(hSA1I1uc5+#sD*uEXw9nXe_+#5IW ze8~H01A7KQmK(#iH5_=Mj|-iFZ^A;s(#KL@~lqaWjZ_dH-UI3mw?V#{Hj`|Gf0 zM~u$t_8n+`=*M!q*6-;hKvvBnRn2a;(-_8`1&x7>Ev zgOP_WuKY)`&4PdW10OlH(_2sAZVnF3zIHopC=eT2dZCCeoX)L9l=MPkUE8esAnaHJ z`x@5Sr5B08cmeNAFSKA$_JAb>I~|B*ir028-ALkDw)0~F#|GqocKhK?-~TZeVG676 z(zT=6Uo)Q9QaBZW9k+{&>$-!hrQ3p!AtTvOubpK&05C6rgas@QI}`T3z#VLq0U*nC zc0ZO{@LOO*w;{vLR0dh=HIOW`m&x=A)Wh>L_;NN=BxKUwa1a$ZW2tBOBOn@bIarKP z@wyP^0q?w8lj!UWCO$Up8o{&yrojlKH0=D@nHz*x9pHYQ%?}}gah=^%-}{m**8|Go zGC&@W@Y`e{-r)Ca(KB(s&iMNr|C{WLauSWw?QO<~W7t#_wHFR<`&c({AmE^|STPGQ z+XJctouMVx2rR-aQ-RT2LBvowdGS7-1%1axreg)&&>c8_cRLCmp;j#;S_9OF!0Cs=VwVK zh-hHUeN#RJdD@M*U?ZkASi{aB66IEB=*0AfzQ;%zW4f$wjMuf?0aI0@u76C!y#o4= z!NWay#XT0thkHX76!)aYeU1C$;9kj$dqXZC_XJ=q^KegNxR-O|UJ(@cw8njn`{UrA zWXHWyKJF>NTH)bdjp1I&jeAk`e6_}Xjr-%^o@U3r0D8U(uqHg*YcbrD+_)COym5+M^V6E|RZ^m%1<;J}(DDKS~_ciX1gL@-8?)CCHnlM&CjV961)XaVw=H1%!jcsQH6B||fSr{3h!gZD@u~Tps<${T*fFmly zhfeZ`wM^o%E`nz{BDY9W22^6WUD*`XjV_##62C z)o4mXQ}*L9`svj@`_}GwJ;!xnb+AxbK$I>u)c@lPC_}EvSoGOJAvAJhA5Uh#OwSv!K*XqY~TNKIohx{>KeoLaA zU&x!XKa}@;_ljNRaJZ383Fs1*XsC^g234CHX~Z%&llh?`L$-`rJ8Tu#t&K2&T0XKn z2Jo(hoqG~eZxjHo+Gz3lZR{*kD}~)M^IFZNy$Hi?D~I=gzV?#+aF2d~C!9!oF;O+) z2JR`%xb~v(;e-xg&CI902xAQ-wHH!N?S)oQdx3684pO@s-L|XCge$FdWj{p4_{#B> zF0yebGCxRBTGx-Q@YF7qDu#YCwF^&#aRmj5p9o8l69&o&EtlF=9|o({t~9og{WO?f z`e`tLOQXdHQtUKXD+Nd<0x8#KyDm(OMGYj;fJEf%Kzes@!ZGa^fJ~bAm5{^USAs&B zumddkmyyqsQDsq(m9%8EYFaXSH7yyVf|d+)JMx~jtI_Rtb)`s^4KR}ZY$Icb$2Y)m zzl^z^m`$OW1zBL6W_Tu;t`XHN%mm{JJd!T(Xa<3Y`lFuW|IRArgoz|bin(Sb#oXF{ zu9ldq8SjZ1Z}wxb44}tnyzF!;pN$@m?f%e6>D!IKRU0ktkzNuz(%a%6=_M@E1V4;? zHoGwQAXN_Z|9qSM4X1hEeSy$XZFV(v&yi?{_Z$iG2tCD@oK=MpCVVS-Z@5xT6^2w$ zg@JC<3R>z_WWtr!yt1F~W_;!7nio?dSX>bER2HOqaauY3o+D3MXebIyuC&nO(!%85 zMS+IAq2!Ym!o*S~rG;8eX`xk9TIdy&7SL^@_UqO{?vRkn9(KXl;qiqW9&};1Gq9j? zViY9hIL+|HoMx!T>0AuD)M#;I@JZ}e)fPVnpQ6atQ#LL$dKY9-WDj$| zWh8)i!ARdAF%NH$P-GB#zIZHE7;jxtUo@+!FE%TwFH*UZ`XW_oeX-UT59y2916>$9 zJifk&8`;bi%6WPf}_^KJW zL#r9ML+ikuT3#HK7jFpMQ4bu^f0w*qW-_~~jusfW!)c}Tz#W2tJKU!mXgqL7mg=K@lF@jwlvv`0hb>_8IY z;sKf-xI;4p?x-k~RTQ*F&cn>YM8hSGm}S%h*4$Tm=qp}+}Q&h*SVon$%EGg!y8MC9-F*`Nm zJ;-?960>u_ynP?zWe;%`9JBLdBc;deP>k8(zFtP-F+2R%%TyMwlk2V;O&Fu1a(Mse zTk6@vbu=Na*r4g*Iy6JLj>;}L&UpY^7|&Nidr_+yuA^2nTt}_Lb!w&Spwji0aGfIV zWoG&Vd;L@`FkFYzO6fa|APyRq!4(v-$0e`?PfnoWuI4(&tO*mRQ#r){^VP2G0S;Q} zZyr$$2;c)L9uSZMBy@hM`L^tZIlx>4NjM;74+zkNxNd-^2L#ZA0Ra;wm)#=j)#hq7 z14q?r29B!L3>;PKz|q>=k`9?$ZwUk_;zoA1JI0($kp)pu;3%&dF*X-55P&P(;$Z+h z(MEEJHboZ3#hnyw)r@gds~O{_RWrs-t7F`1(RNU@Wsg~4?C|)a&19B5JN>cQL@7eF zahl<7;WnvqTG7@_wcJ$spyfvMSZ+!k zV~<+0SMCdpZR51Ul@g|=$)}VOAPV>fkg6OofYclkqABW;1g)BJ16noX2DEC%4QO@T zKrPn}$u)exBcohv7IBb5V<*Sh3$yI`z=FaCc+Ci5{;268a_Yelxrvs`{1Nqu53QQv z0(uq01)$qX1*KapCQd9S-Vk1<74b5O#vTNaH!p1zW&ZrwiWue(Ni%=w0rN-CWB!Qh zAJePpAJePpAJePoAA@ddwfR%awL@|(d-Ptj2(O;bE_P;b)2f>nZwioWyk^8Oe`vb- zqaHMWj9liAsLuoGdNrk+QBCP)R#3XtV&cSNB6~b1<15FP6FR%GoV@~2ocY6PMGW&t zO*4PA1LlvJLqeFs3W#d@$Bb(F$BZia$DrG!Hh*flc1W&ekE1mU5o=9$VJ3bko4d-3 zkZYV~#4vxfbn{0)X#O;FnLonB(I%vuL8~d<)M`pMt%B067855H6K{Ap?oeR$yX1rs zT>{JQY%0$D;j|)#`J=O&clct$*twmDDbKO+T4YO+T4Y`^joSaZpfX zzc+v!x;Wr{f`UDHgdQxTKtCC$74G|@O`WJk2#Uwacs`onJ${!SiPRX~-;d{wUyx{k z%<1TPyofj?{wei5zYCoAQ8%Q9^(r1V)As?SK;P{k0Nud$fG<1k3yH0V3+d8_&IR7k zx19^A4?H=z?G3J-zzr@Wh8;-tfn61GZW4@nx^MEH5g zn%bzA_Ak;=*~rX7D$55n3kX5BU1yu|YoCgN1l_0B5dlIS$u~xt)46-V< zC!vZ6Mxg-`D9EbSo`fnQh#*4)s$^AbPeK(DyebB$a6wkR_9RphL5DL8ph{N7_9Rph z!7XWkVHjl9Y)?X!ax6pmFBrDATdl6csPIV43+a&<_@Uv44j+_#ZQ_TD9|S)rW?*3| z<5vYf6jlVk;@feLl%-)21#3~T7M0~<1qxQLU@Zz(itnC0QudYQVmSrV@GX=_ibb$a ztOILQS@T#0R*kv%H`QTq*L`bnEkzs3+u3FN_zrF^-v?H|fv zrlF{NBn;tl4ef}`bkpTOoHnuobN9S*oEojvv2ICq<@6jpAk#j?OL>GKl;cB+lc<;x zH+LV#JMD-$X8%z~{5KD)R3xnGyI56I_=_q~pQ*9S#kKwXMJDC)7kQ6mtnl~?H3~zU zCYQ)xG>&=}C()77wif1^Kr5FtcUP{SqJZck^;zBJ5Jn1zFhuGz+3mBrpF?P7xg28O z<_(uakYk#2yBtC-lS8Pf93mo7G4j|HY30)0mD@}~TT`Gu(}Y#Ej#|Ob(-^ahQlg1t}sTS;TKd6 zn9yd)PB!zcPBx`Dmo~d_t29M~!!P3`Do8AgWJ4>7Ju@ZEh*m_hqSb_%MGjceg=qe{ zt?0Mzz^g^*ifb`IgtDSZVtUi8Xd;pott7T`N$UQyP*!xpiAD}s(Iu<6%3d9pFTH4S z(u-XxY?dUwIOiqfG%9Aq>>jaZNh9W*`YU|Inwq%p=Cqbfs)|yGDYM~FB6$v!#HJca z^BfS7JO^sR03-W72WGhkd(1uOdbmtgRf+=~DbsV1=BYD_IOMWXV}$Y?(8R(`^BfSN zJO_zgY?9_VAVPT#5>8RF-*Z6Za=8SN-^wPG0v-B#nVtgt$|NGuEGIY?|8k~Gf& z5z2FrFfHkR&p|P+y1br)eGWXn=b*qdV^k>5ftr}!G|vGQ$#am{$fjwI11gf^AmKQq z`~3z)DfiqMwExE4_u>wgsz#ZTixUkMr%*8?Hp|?6PdloAtP%4Mu8U+sC$`mSngvaT zvY-=&2;FZ%8|5+>RdgPWg+0lp?)j5t|3Lxo&RNTth@+r>WI{JJ3^`# zBbOWS)@fnG>ui@hF*%j~7WScIn$-_FuCQ-pt%^KnqR9)qp6Gc#=`Kd7X->W;KfaYB zzUINJ7%E8(&N!sA=~b=4ea0(Z;i>YJSh{IkK}n_~%E=X*B)L)gh?y32xiHCH8#2ap zyxplLJ7MF&b+x#aSVOpT)_Hv4kM4*=Z%iDM6MyYp1gyOM$@{$_F?Vs-w8(~C*XI&; zw4%;}?Zm!%t^`HPLp-sIr+f2h-1=xG*sbOl5E2@#A+rJ%csK%NUAZ_41txgvIVrGP_Q=%hbfv(eQ6L45G`H94* z^kqV(Z859<439J8V>ZRV6YG@*n(__s8ggD0VqWZS*#5)Wr_Tik`+3`}nR?X^os6-? zY3*e~_myOWllxZoAUgn1eLP`15`dyFfFSWIODg70O+d98@S5< zQdr~&-UQxI;R}X>As4NH&;07&5^=o?F!s|m1<~TejG^(W!Xon^)P}pBIGm1ZtL^cP zNQe5$O$Y#@OQ;8sqy5U}bgWXrA)tB(KcHI0PahdS2p}~O4(9;`l7;!qjZoT`N}LV= zQ3aK%10Z3<7mnos+mNvAB*I?~&i(%H5aUm@#NmT$@cl5mDfUIYCC>JrMRcd=-G<6YAW`)I?&s%Y`K$I*42({{xz(;ttPtt=Uqt>`d^ zyK@-IBNgTObR=k5@50xg>MuX@OF4`j2w6NNGupsBwk$nwy;76MGn`|!@Ba)TJ;VNHl>GO3KN$(cNC&NYPl+)7n^P`CXq(#f0}-cb4brCsp+ k<|BQt>7nvsm)PL(0rqM@XAhB7PT`Zwc5>HMsI_g-PlJwnsQ>@~ diff --git a/adder/incremental_db/compiled_partitions/adder.root_partition.map.hdbx b/adder/incremental_db/compiled_partitions/adder.root_partition.map.hdbx deleted file mode 100644 index 9fc6925279c57b56765b67dd121099d982a806db..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3202 zcmV-|41M#g4*>uG0001Zob6p(bKAHXeU5(xMlVff+?crVmdrlLin6P&ZK;x+PWqyu zD9C1461BV}@n+KBUVPxi5KU`Quahve9->$v_z^e&4h|1M>f{9V!tG5ITwN#VdG7_n zu8aRp48uj;Rl*}r9FDeO?qZe_Rl%D~A21$&%=c5tYdQq|w8M82Oav3Vi>1}RM zm?qmaLCavpW3-IIb(XqB;u>ffM*J!aHdpfO=fTEbr3?7F;2#2?qiqykMc#T1NuelF zuufO9Dp|Z2x0jJckK@n}yo4{%BJ|TW-y~UPacZy;*IH*^p-%kMHsW!-x^4IScdk)%_7La$%~xBI?76h&O|Xc0&qBclFnm43byhh-K&UmD8g}Z$~nLC zL~C&LHb-gaWF@~SZq@?ccDo9EZ@%Jam1p&Cvz2Ha%K#sP5W8QOZwWAAOOy!N2Q302cY;ziiMS6$v-!nxIYxklA=^j;<|R;A?b_o{5xa$;}oD zaWNd9chAHno-J42RV-4T_lD=A!C8Mido!5yhVT00{+nYoxENhdkI~!l@O(BIUXFYH zV>BA~XOs6A(_i|N!Q>d7_4;RLB6T>Ks>$yLlfe{1OwQiSrrpt~dwSM?0av^nT*#a= z8MQaOyqJpX8*nK0uld@Wm1XLT#)I?0bnvb}o4z0QJIAQg>rTYw`xg_L3@N|%`=gGG z97q0a75W)P2&BEmf=4gsi{*32I7S2;Onfp2{v^f=K>te#k|{vK2avEs3XrhFsvuDT z5;=f`P2v+4cbZr;_ z784*H)RB}#r8=tektOa;P7mrxN}^I7-QgrdfOJqtpot1~WK{(u(Dp$cfhH;@HoGby zfwT|m2sBZlj_j&{1j;_BBO!`%gq$i}i~F?R-~bRvqEa2*xlK$4nUf^}f*}fqC`=xO02sn!h=L&~gUnQZ zl}8~ThBO9WDP)0|5CbAId3gu{q2Va}EfkKZS>DXu(QI%rnTmuG(aVWw;{L@nYv0;N z7m26)o4nhb4u%)A(GXg4JRDB%ZGI@sokl(H_Qu1vmls)-qG5j?4=+dMx3W?BCJB<8 zne59&lS1PKn>g_{J}-}Sgl)!x6q^Y0*VdO03IVg(7Mn)i2W zO#tqzGSs2A#<*FDo~jUR8-mYfti@FA1G>S2HbuSwMmtH1yY6TOT>r+W-3fPRQiTSMGg}x1|a)y zqz)TkjIKpZgd6aaTgHf8MD9W$;E^N3_#l$3&^33suCetYQl}fbQo2 zCU;N}n4y^~=^#@I6%Mcz4q$DiOQuDaHYwrz*rSS+;$PdgVdz6>?CtPAU#TH0rOY(6e<;RcEy`w`e$sUm5hMfXTmY$FTkcy!o;wLB^C1eA66 z)rQIftu^Vej!TCvz$v3lyKeo5swMcgblH<;lYIhA$EC|2FU{1d zS?8xvtPYUY+H_dUrz0TkGqpTRu2hqatK`I%8~zA>i)mb#XNcdR<+>8y}w zt5w!K?iKCyq{(;R5Yh7LB$Z@RPOx#!5K(uh;MSUTSj(-GRFd%tV>MO*Q+*Y(`^2sg4OrY4NN??W&@>1&+4XgQPkh zBx40pF{g&8)U_q58ju7x@kxpAyjjq0pyt7k^Q1&kMW=b21s|)5ZKMDlZ;m;|!yqQ9 znOD^9Dzvr69Mv)AOkC`(HqDxwX{P!PRJG;oCX(fMw&iH^$kKk=M6yqH>DY4eweM1( z(mDf$n(FXqtu04&Y&lju(Nd@6)je;twVoW++8}6_PqVmnjH@E0T5`;NGK8zGPTl4a zE_0tW;qDt9T6+T>R=$a)hH!V@#nf6qj_UYv1Q+{^N!_~>sHIFzO!edNH}UolhFrrY zai(L)vEr$S(m6TuV)!vk`748`Z{E({irS;kAG}q{ch2v;mcKSR3*Z+8W1N3m2H`A? zc{Ixb?Gz!3?DAm5ujE{kCHdicEB;?7N#4d=-Tc9e-}hUk*kYE28Ma6zM;I;SuLD|n z`g&o`7Qq#d6Sz8~?$RPl*JZ9l=X2BG7`sc)@!4|enz$&Gx880=F`~RS#_KOC3Ry!} zfmqB>GA#W0r`<|f^PQ8oe17JSP$3%>EQ7fs%x6vN41 z?qB00p`n-F~gTy>0?on@6CZh8^`0000003ieb0000007?M> z000000Q>|1000000C)lHS3PgkKoB*bLVQRmQ(j4hZg+hjp~~Ggq)Ktkb%ZD&Xb=L5 zl=%sil$0qcDf1I3Q>ILrGUDraGrMd1dF``*KvtUb?9AJlw{O>uvR<#(8O9v;5B`mL zJ)$Omp~;P{*MGu25nb-U7WWy( zmZp|FTHkS`3rCFPj+Q%G-%)?(IWOdnhC3SH(P>AnX}F`QWqn7h3u`UxqpGS?n)!Z4 zml?`TXy$0tcYvHu%)pDu=*rlB1PiORD{ z^ycdE$|5-%7cli0=F$dv8nQ{qrp#=~Y*e&Vk6PN4tW8;1Wueij0}Tz)d!WQ9Qt~o|V(Jk}X;DO3QKTd_EGnr-sDkifPf+wm zO2C7|Rju5X5HZTlpJoiDD4U{eigGE+DN6KQR!$-`%8Pw1EBgw`;=V1@s-|36Ii*Oy zTv<8kkhJB>%FT<(a%JU?homc4HtbySzaaN5Y;^#;hI4v5%ZBjrz~4Ol$k-LcS23WU z!oI`55A%Nt;mA#gEBJTulyksChU#~Te~tY#%fyd+qEF*_1tfm_P#F@s71gVj?N!V6 zs^xmsa#U*@DqO-=IDHu+=aTM-NO%I=i77uXPAfuM;JwZ}Bx` zj%6<3YZ=;By-cKIsF|E;tn)M0p?lO?hxjzsp|_*0j_u|{FHmcpZa#!)tK+))(8Xx2 zqurk1lwTbkz5e)edid<{=*@os00960cmWb%V*mmWAq2$1?tbw;{?3jep8i0FXK={r z-d#r+K`Iy=z$60$6OfhxVvy1R*PvjaB3HkV_~4KrPe1o~ATua3Inua^8LXTIswoOc z!_4<}4Gwm6cZDc*jbF1IWFi9t2bg4F04bFMVkgI7*NAuzPuC#FAZL%r_yGT)ka$l& zH-B#KbqWx31)y3$28aN$Z?HSU^})^_uD*^REbH~z!OD=$=Z7lxbOE~8(+z0H-xf^` ma7Zw~oD4D) - + diff --git a/adder_8b/db/adder_8b.cmp.bpm b/adder_8b/db/adder_8b.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..276f82bf36d05b7a504b9b3e7928845e80b81d6d GIT binary patch literal 674 zcmV;T0$u$N000233jqLb0B!(300011WpZ@6CZjuQA0000004M?g000000HXi^ z000000HOi_000000C)lHRl82ZKoAV?M+Y60h#Ciooq$Avl!gilBuXoZT^J>?ahzYI z;2-z_N*W3tX2-eNoGg(<2oOS?blJP{?C$JDaq>LRcPo|3o5bI==S0)1XmTW;$i@PO z_1*DS`l8P~K4dM7g7zg&9p(E+{4&n`&&IE=SJts1bFa&;*Zhmq=GnPl-6B#Y@*-Xp zoI@f|1;o>=C&TfSBS4Ymxy*uxMV$j8D+X~JL>`&7PlUbdil9c(-6Q9jSz3p^+#_;S zVV%e->nMj=p3bgWsu`R$>egC2L{t;!cU3o4x>^h01}1UUHAU1}A~jX1byOueu6n&B zRk7gSWt?0|XdXLB8efN4=^V@;er-!Vu;(a!Ns{I8=TUzp-p*a-woA@6CZrywU000000BaTi000000Mr8j z000000FM{|000000C)lHoej`kMR~_hUP(X@luAKCO+utf28B?Fk{DPBF%m#6jvuWc zD4-RMfR2SK^#*PI0CWn@;4s?G%d{e6r>$)%)LN%@lv=H!1!;}8m9$ksGzjul63p%Y zxBEQj>^=9Kd+wX}1Dm(Y?AhICpYMJ4IeX5zZ|L{?{RKr)?6mf0v;ZDgrW4suSOM)#{7h{mE6od{VLcfo*%P{=ml{T)p5Xp*<=k zSXf%GjO(yEp3gh3kDKsv9PhiVaq)9H?|bXKd_0xb+=pj(BNu{&zX={Gf;spUFZxI%tGvnmB*}Hx#GAN9dm3X z5aeOaxB(BT52?50oCggyA$Oc2Pu*tegPf)k;#C)DsBbTdO~9P5!*%ZIHSqtdo_GfM!Hc4^TQBu0-tMzpE;z_^{M>&|PwuAVbmQn7 zkBc);7wu}&lXGfRG|3#Y|H(G#cH}ll*H*rqgCT9Adu#+9o6-ww#d=>->r>#x%Se4JaKlZpG&DZtn+7CNg{M5A{Jibns zKHD;VU7x)k*{PXf|D#XRG@V_1-#bj|G}1}Wk#wYS=b<)uU+1y7&pZ#|f1#GVj!ymX zdrK0aGUs|2Xn3udwU!@&kxWx?bm$W z^VnNz>;A1JP!>hoy1%Jo-9Ko0!vkAsDIOP-9rN$9`FdP9{j$>&zvrvT`I|4p-(8sI zc~0a!uM*;Qj7vJF4*?8PL6a8%1m^c@OVtye0fRBp59sTY7gLF>$h$;o&sDyacWtsWr3!hHDY0K+d zd;^OnUteGUYy1GvGJieCEh|m*S7#ffY5rp!^Ih~^^Uk01Q=3Fxq10 zAKsGa+hXTZJ95uP?D+oJlI@Q_&T_!}Ee6iE7`R%hHu<2qd$bKNd{>&E4I2{YqUf2Q ziQ`)`8{T6!e6u5tzta)NZ_koVlMfEJ^UFKveV*;uM1SRx$!{npJg^aHQmR<0l$;( z-PxWuitdJVm7k@csE>j9`Sf=6b3d&!KW}(Hvu zq+L<)f+@FQz1i@g3z#j6HXHt2h|Jgb^d-`tGyQRMV1x8-wBZ!9;efZHVY3aK)owN$ zxWD*whVDhd{1I;Zqr{(U?jvpGAhzLb+YiXNN;0+Tz>AG)c^2B2fy!*IqvSOD;B@oB zo+Er9)xu|5*6}jU{X{%Yo`ZGtZ<)NG$o>2Zvtgmd;-j^7@bmVUTlUi{WrL0=VZ$8Q z;5ngg!>^bP551L0;Iqk~ja@bzC;b&iAv}RLyvl6Y*#(6MmQIlVQa`+O4s2MQLS-m7zt(JcWyhYr&(;Ap`F`?PJJ0ynbN8{bkG!?!)Pyjb zd227zp??4QHM8;Z4jZ4U&Ll{!H1(J$r9^ zhd!IJdS#1^=bDZ0(}yj}4{AF4e%pL!sl!D^E>-Jo)+{sjQyUnck1cj9<@P?R(NQHX z($@;AEF09N#;3jyT0dcuV>bv(*x@g2COz@jcSRzkRKQK>uPvE;h&+vBjHf z1g%?*7OP5HuvQD}B0*lEs?`rkn^l6c5`Qbm-X-)nLDs|(ct!CQjjzxcPjK=`R%>B< z7Yc$Vig)AqB0-gfdf1Y_5Mwnf^Q#djalBFEkEC&t^u&)?@(w|DcoR1Yv@a1fw-0^Y zV_%P$VBs5PhCTKM;b6ItREU71g?FAHO6Va1qns6jP8j62*uwWHL7$wU5f}T<()c%2 z)7MQj$LezvR`HhDLc3B>wIOMVB%sF%dQ!p-z`mrh_E~sef(Zi0XAAnO5&|Bye9L&f zL6c3+@th&tN#P=0WK@16Q zoYP9^grLtCl(${#QuQV&t>Weqi-gOWo6rh6oA6*}UJx_zu@k|~6^N3i6>f-+9I29CcZXxm=g8nN*!+93BX zqlZU=eoT-`N3?mC@W?HLYjB95k{6)+UTncVSkSsJxC?-lbVNE`hF1?C?_7{8n{!yrCU4JXAn!QkRl#c!Rl_T@hlw=YdpQi<251ebI%M~ zva^q@>CCgUrb9nn`O~Gxi=*_Pu6F-$g%N`=PI5UC}Zy-q|Ld6YVMfgr}K?_&jToy{6mGa!C{oo`jZhk|>JzvMW z_PT_M@)x{W7nM439yacMaPV(EY>MJuanKDf{&mI}$_u+kwZ(~{1oOPO@^!o$Z%kMy z|2n;zrsQ<=U3vQ5$|DK0bbl*7pWn>|CV;l;>PppP8H~KN;f)*f@N&gz88>^Lu+c3u z?xHb0kMf*?V2Yyk0t}ow-nG{z%osLrjgD{i{CLWR^hAM5!H{soL4-`q% zGY*;w<%xM-ZD=Q!*#_+-gqlhfHcd)7mbVzxgg0)?=UyKjHh7(YlC)BJje|hcR4U~) zj)yW=uFdnX5XX4P#uwnte5%xsE(+3?4h!Xm9pQOWCDX24oAPd4jyGuKK-6MuzrR?$t?IHKvylPkCX}Bd?e(gr z&!3n~s!u4VSGLK}B~uM$@tDyygrAq$4P_#)C-W!O;sz~jP?s9Z6B^2{1num*;S-WF zsfrtTwXQBTmU-lcjafAOTqQPN3)giK-3{|NHyo0=;paLUq~}ZwWu8O>G0kJ$r0SG5#rEx@P}w~; zgBlTTtIOK|F=`5?hG->PpX~AZXi9fkn`LPq{zj);)dPp+(0Dgmm*~}ES#Tr z3cWPy-=XT8VxHbGIWsKJqCts2Xh@A^o}3%V4OhzGNmcF?^K?^?8_vo$Mg5!EJc$N! z10S&Fc{H#osP2Y&nj6>@`J>ft;Re}MjQ`q&gY;(A{M<0g4Y;f99+)~vq8F!X+ap&yyy#2aaaX_=N3s9BvjDY)7RAE zdxO&TaiR25hRsh;_(isX;He+2#vin0Sai`d+i+k$OI1*6UikIjGb#X>|K2Q}pW5jY zZ!TRwY6$%LprVgGEaDrg6?h()u!6&^JyZNNZazfnK~EAX^<4}c~ToQ6;S{F)&_APUxvO(vah=KRjZN*&YTYLQH} zvU_6wND(3j1{oP}qzVlmO75=wDM(QD@}euO&;OL&c1Os0a_eE&IhQ2WM;$!3 ziRa0QqRx|S>s+S9^NZrSZkQ)-*kym-DxRx`c}hiol982=^*d}wPv7&kHQHsK(x-{% zv|@=kQsC5Mo_xn4u_~eJcE#s&nt2kNJYrTQRNXF~?4cHavOlX5s_riG#Gg`i*W#0V zT#G-m>@5jX(32c8)3$3V<)TSfl#FY@It)D0fL3VGK`?H0A=c6&|DVFU-n+=VKTz$=!ZXqz&5k zJbUs@yLh z(EVRLOFui$O1~tLr!bXh@85`@-BB_-62kSiZc1G}ApdM_lo;hEyf>#N{F}|U$$hV! z$=nK)ZJ8qOfn-xP+$5QaJbqE>{^3zz`H^u?ajm`Tl#r?KSKbJYg zPZRct8})0(OYyQH)2|s1?nNOxqkc=IA7iNz+j_p*{}-7p!hdBk1wg!G^?lj5eR;6) zk^M0aD!gRxS2KH!Pq5bbCB|oq5dD8_eC)|mxzzJ%vUj`XNqdhFX$JYFxWC=((AP27 zt0p(`yFda(AC53P&|!$50Jl6d>Bn1%=Jgdn;XPdorzW7E^BUr(iLYh)HRC1yHfH)Y z<0U`;mFdS5j~;0QGAWh+(s-K^u6jZFvPHjycejLrr`vq5S#Gj-nbw1O;$DAY*yHpG z;XoeJ_)&`kkDT{i&Y8!LSZFSJy`?W6psmAEXEOI*2rb-C}! z*p7bnMy8F7w zX}Zrt&r@E52mG4%TVkYjphW##CIIzY^=rlxf%H2RV)5g=){K|p&-Kf3Ae292rBAs^ z&obcD@zQK{Jxm7@aFiCpX36#${)HSZfv%~1$L?FKTh3E`vp3=Kx27u5f1~j9hB?ah zyqm~|?f5PSW$~-yIli}#=xrW&e!q8ky2H|R*8 z0^Y=@sFIE5jj20rpp-HP@%DLZO2$DfYKf0Xp3Riza!mGT_#*!PPsgiAI}4NypodQY zdK*C_G_WReN?$cEZtY$?%z(${@oh52VzX$Kt~z0KRW__L+C_nl)wT8c%g_7y17|t7 zeVk0gID1u9oDpKN9%zwZSpEBEYwHlljAmlIqF6dpUXa3)q9Y7=MIW(6!)a=M&(17p4g7E8bqFt^=In6W}4qVGAjxtYWvvskd zkYR|^h{_Z*2GjwHp$T>rbk^qEmES*mSVIZ@)P@d|E&p<2ZF_{vw-E88=Nax{dzC*m ze?1=J%3Fy0oo1X{{$XdOFbWcV=eqse>$?NfrT{n5cNUFSfmGr6X<;bMyWHzD18^Q4 zB{VyTBHoZ0vOrdZk@=3K{rkO9{>OV F|Np$soLv9_ literal 0 HcmV?d00001 diff --git a/adder/db/adder.cmp.ecobp b/adder_8b/db/adder_8b.cmp.ecobp similarity index 100% rename from adder/db/adder.cmp.ecobp rename to adder_8b/db/adder_8b.cmp.ecobp diff --git a/adder_8b/db/adder_8b.cmp.hdb b/adder_8b/db/adder_8b.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..ae45bbee303bab1ceefc9900962580b325c7b51e GIT binary patch literal 8222 zcmZ8h1ymGFxJ3aM1Sut!?rx-(SV6jxMoJc>yK50aU3+Wd ze|6rOd(NHjoAZ4$bLP&)M?*s^#d(6}_;-N+&UGza-E5p3X+^ntX{9}E>@8^d1q5k% zg?RXcdH8vGMQODxT|I3emb4llAa=C;v|38EdY0z2vKBV(f6KsRPyY5mLmQ_2htT4m z{may}|FZX!{dDX!N}cpxu_t`&;nKfg)wG|Vez-}((P*5URP;^W0p|O4FyRXH& zjI4+7tgL-@TM;8KFh_>>Uc=#Gn8bYa8ohVbt$~*@&)Rb$H9}berumg4o#w-$UEiZe z-!hfTb4e}Z@`t1MkC%auUfR>ekc-2mMlbvX*+Okw`{5KASB}Jb7~KP<#R2ymmj`7+ zaD{z0N^HBW=zGaaFyn#Q!&z{!Ca_g@1(%zk5IR_W=r7+}rEs|>VH^qQ1vpkk+mWpI z;tg}Z3B<4b4Tajm&65G0lXH(g`U089p6ZFq&?of1;uHLv+2}oaR8mw!y^9y_&SFKu z{D1Kgcs5cN`P8zoY(RSltV@Nw60B-S!jNn;l63B$xFNp7^1u z!{|ne50mm*aPA962U;6+MGBFzg|^?+E3v=-U!wz`RRpFNj{?<@RTi%pZ|(WlZ*yO# z+W^^Q)Sca8>o+H# zFWpZzAJBrgw5D1tj+g0H#v!b$=_JHfOPOl|Lt#f3Hk+i-G@;d{w9q^K%zOzcN$W+p zWM@+p)-f1+Tbz#;e|Nl=&$Q|GcOU7IgwPtdP#XDIw6Ne*%T(c@?BZu3jMD&3vz4%? zx6cl+7qUF$9H2dBZr`oGRAcCN{E6d7@lLg@nsogdC(;PZ7WSJfm+IJWPRUnjtbACi4gstGr`LMk`oLzH%cfOhl_VN( zXHYhMhT<;_lzUIr6Sx;*f=jhIcE3vRLqXdkDg7dvY7LeDz*Vi`FHlsq{I*^m3t6F! zB}L?Mv1KLyAT72mO>@@Anw0W{fy+I+;cm=<`PmrD7wQh|*a@?_=r}?2y00^MvgXMO zuS$u_-NR+Zw_hw2|7E_l3N!GT$KM3VlT}RShc%U893Gt2urY0dj!4!{OdBNgLmRs; zOE+_->q7ITTOrJ{5Jp`LJAe1mu9dkKky67{1=y@Bc6yJP_YKlx8eXMK&ijO@(1X;d z5W4ggSjpM%q!V%x&UO$Yf0?_f)_if@uDxjF6bA@7FGa6 zdFq-2bxcbITCexN{j5yy(KaudLj;`Xy;x5zLzRIkn9WjN6DTCGpIFR2jTyuRz3(^g zF~}D%7y|9NGe`L7rFW-s)DNY*j|3Rx+a1b!RSxQG@q1M+>R62{q0A*k!irN%gbU-8 zT*xiU!0(hO=5Pky&c7SotD=(l*@vdmCdP=-;Qhyn^|@Fd*lZq##WL+hS2mjDW~dyb zO+FZg0Fd@j#8KxFpEKpsYhw0LXxH&*PbslBQ9k946fU5|z`dY6rABJ@P*zC|#Qh&3 z{I4qhO9=m?3PggYDewD;0y;Zv!B^~N>RH+RWpsAeuPwMTLZyE$!l`uc+LGP2>QtU$e{sK+L5$(YWVdDiOfM<5%EvFKm?RE|b*jAtC4llF|KXcc|2 z{iJq7P4tU*8Ep*e>AX(PY(9)|=UPkSVSTX{Cgrou6n=(w)Yc&aGcS0nSrp8i@Fg6Q z98W{02a+bHDQ1xA+Qsq_(v=SnpC;U$K!BuWN}|T*2>)I9M*eR?BQau{ke&o{_lt`T z4;55a(AIR8@*J+uO!QMpqu24bej{MPhr2_>V@mpfVIiBCaDZtcm6(uSx%!fg9*NMW z*XBXU6kI@H8Wa&s+RB?=r{}`OiBaXrNa8@Rmi%Ji(YBdCF_MY%@ymw$SwD?g!1I~Q z4*^6omyiJQ8GN$<%9&{M0E`)M?~QXw;Foi3{YT-M{0|Nbk5aib!DnFzlgOIoM@4&{ z_6sk>N6AJ6uNj&K1FpKVPixF2vN|#`GA`SfqLLv1*cwn;5Ag@Kau!=Qk@g2%H^nEU zBL_uFb1n0)Dl?y1AX%;6M!kokU*P2;1Zj(&Ny(H3ZKRe?2JAiv_L!8+wLTZ959Rh? zMY_4o`nw)sp8?SKMkCtsdwsOh4Aok#6*-O+iG0=o(<<8dr#Ha5)C2aDQKRcE^0dkm z72Qk4@<-~Jn^?%ZI!cUs>UEKim8O7tOYL!4a;-AdTqPDSq?c)3dB#z$0g@8`j3hSq1a zAXkS#ZO6516_U4cy`rB$%<>zW_c#Ep+3`A)z>5bSW%dnKUu#{k3%0ec}&m+Z#e4ZkttMEf&t780q3Za%v0ZqOfQf$Zc*5dyCZBK>i(9yl}Jf14sJ z=d?II=_D_K5Tp;CheR5&G3*7+l`e5|%rmoJ!%C3Yn*BT$Olg5;IYyEbE73akB1Vq) z^8J05{QT?;iRg&N$EC`c=)u1HZhuLf&o6i>_5`rNfKR0B)9rtP%mW)#>3&woKRsW|E*5`B7A~?w>V#1Ft}w3|sg7C^Q1U(ns7b zLSBnpT{Tj>oj<6r@P{?$26IXqnl8LFk`pg7a%v+!BTBLYZN1zu_8vZe;fuBQI$a*! z+pnDg;XR>Yl=WflE<{!Z`o%iB@sl+u=bzU5;nAOS!M&`f}2K!w?` z8*#Qz8s2TK7~;|+s|vIiIV0u-6KwaIl3MI|iEk4ygrc5x-X0DD!jwgz=a>0=@ei(C z0a8C(_?w&bcdSf{^_p^G+3f;&n=32LEgOWih3e`dSqW;@lA;fYTWiA-D^q6Ts!Xg}~ee|@KD!#2hyCTT!>F=f9yrc8rCHYfN60;+5%bioMoTQ+Kn6XOUx^54v&>YI2T=aIqlg2Zvuq`{h$(V&sxA znHKuJ8)0C*vRn&0gV$i+-<<@3*A0>WZ{=Fp7*=B7PJ?}37DyA?i{pG!FV1$fOnLY{e`k9EIXbMg_ zBGd0@o0zMR)veX3woWZUveQ!Dvrqf_{IYGq3V10JWuF{X-MuRuEd3=p+CmS#Ez@%5 zh)aQ_=?d^828@xtS(lKS@A!y?tBfauDFf-G5p!Ln5`})o3@mh9&qWDFr7S;JbDmzb zKpFz;@vt7uZB;Y%TB-Uc*iOVKllG{Rz#eAHJ8eyaLG|rHK6U7))V$o^4w|EWtH~eA z$saO1OKUX%|CW&l9SSwEakKhlM&2byHkryjP-4l`ufN(bMQbx1@SnOui}4XF^LWV7 zSB=(od7)dxSsr&gQ8Vy-u+N8M3d`g=%PGPq>PpC{EGS;?%K zy^>{BO^iJ2Qz(y2V_?`C=<|7_VXxc>{Z@jk;YWdhY<&uZ;Y$mal`)dE;s#Dbrh?>e z7~ds$+-F2ied7GQS-LkHS=MYT9wQH_!i$V{xS6wFZNGn(!?1@mTH$683!;1)pS(XY zqE)lIeIrM@&HU!VwjPL)uCXEeF;FxmVz~vA?7{vr4NSY~QCxxy=0|DLR{w&I6R?O? ze;Ge__#sMj2`hnm3K!9zW5cf)vF_&YP@=ILjB5rP|7!8$qr$Ci^rVzmzZ0 z=ReV)|B-@PSLo8z3^9tc7lUxCR+=C81uXSHhl~64B z*C>8r*!kgXTHs>!Ccuh?ofaw`+`l0j1*G~MCuVCr&smo}mnZ}N5EnxA z!)mf3+=SAaw$fXP?}G=OooaQ4z%AK5wnmHK58VF31DZ#)ZFPiN@r{9|Ij01E_2wOWcr zvS@7|4vP_L&LWmwu9Mm z@?`Yz>|31k%NB9*EHN|pfT)r~BcQ0doCyO%IcCR8!8D&&#Z0FMi?gDxnDS-YeOzux zr6?mB5fdYWBb`L5crHLb2C z)5GcD-pxsa73%I3qgJ-`nt*m3?3`?dmai&$xftJuby~jqza@ehtrqi+ellhyB87Ez z)4RYFN?yW*zjnpbBd9~%o#$w6=P{&33g)c3xB{@lk|FlH`)B~!>wbiBTik_9kZ)YCvl7;YT zOq*Uohu`#pZ)`brj@9{H!|aC=Kh1HV1}kI4f)to;ib^&e0fLvG5R11S1SS1JuBMh< z-l6A__9IRfxuNS3yc*M%NNL&&eq%p ztob(ul}`k=zVGD9zQb$yE7jy?&=F#m!6gF)&Oa>Qd5w`36gI`U11~wq7>BCYq3EOr3A&I9{(7b}yp1BdaT}6NL9xj5V zdrXxS^nAJP&z@#Zu+Hgeb=c=T)y?+`^0y(^&)3M{B9kmbT76d6A=f0n)EFT6we1cz zS{k4r;Uw=}{X&?vy?)4gSTnf^EfLQv7-`TasoGS@uz|i0=8`-luuj=ECi;_ia1m+7 zs8_JRuN0?1$wkx~-i4rdp~TAej3$jwqa|Yb+C?<~JrSlfL4V>37cpQ|dqQ`$@9$2w z3_LPk2-4&0&=U=MUnOp{iKCqyp5w*HRrgSb(^Sb?9UCM=Z*xkcxLk!dyY}0;)zG^s_ zCB6;;qqjG9c5Y-pm={I@o)!(>a(Mr#Y@jb-B@3*$H}>pZBpkc>u5dg^y_;A4$i2I* z;quD_(QJChysZxD|1o@y1PLrPUmK~bA5Ey}wG?wqE~byJ?*3wVEqDp>XJ;^r7aZV$ zVH(>66WgIbwW%5or72I^oq-j7K)C$ba~7KmiSF(wFFE0$w_h+Cbx#Y(Yok6PvS?G8 z!?`l3^PK%%mmNv53rT1nr6Lj`=pbap0Aq9p#nX(W4y4?Ub>59pr4!eMJPHT*q6vGl z>?RGn7pQkUGT?6u6)tL51SGFXT{>goKv`inlq0P9YIkq-A@7;@$mbHtoJA%dRjb=t zf~$DWN5hlz-X`fhQfph(M?;zMHs(J}d~+pE!mnH=G%{^?m~wGECE3i@ujYonc2~50 z(nYEHYulNKetrGAA9ivw)MdfFD3GuN9Nh8)vGg=FfZSps20y=F^*TO0l=f-7pchXn z2Z9^31JAFfmCKhas7KjQd?4j9l1g0z!v}TU(T2$r8qeSaP3+Rtp^uHaUMiktz`X;b z^n=5GmCe)i^p|!ZSL6D_vIMQRJoBT*LSAe-H5VuDOsdiIO+Q~}B?Kt*efr-1KK0ST z@W-la*hm7vt>7w9(_ivifAl6>^Oem2@O1YJJbAvDz2V1g|9o)q52?A;%}Q~w^Rkv|PJ&1PbA8-sKt*(f-tBOuEBJP%FxQx;-jnNF^;D^SWwJ=Jq-JC54w!YQ}L2Z8ujl%dEp9YfIU-;dK*01)Y_K?K>wrK5lVwO~EA&}Er zb|k+acYMgZ;p<L$; zxn40kr|At?@OE;G^bYG_^fEn0{%&P8u;3Rao1WxE8M&{xm`zYodFb!Baz)1pNR0eh zfpBjq-)IJP#;=Y{i>-ZRu?^W%4#t~6Le{M}S}WDbnjsy)E4l$7Ph8z8vU;qk?Q{pI!B`tXpP~muwbhVvk?%YTgrEZzwy7Bd# zQbq^8)SBc9TLv3aXNd71&;10>dT1v?%|CBNmw_$>d-*GxRZ4&qRHooskHu}|CPxpg z8EXY5-?6>HdvY$p(UP2?CSjOp{g&qT_>QV5PHQ*n}#w`h!zM^!B{3_u%(UqGDj9YwTlls(R`D5~Gu_=}V`H)^a4Xn3YmX z`9Mu8QcMe)!6w1nntTISl+?QL_MH;+4+;hZA9zbtUDrn8-zv5CkD3Hf$z;BYbMt>p z+8vJto3E0-lMMpnayIw+={;B49+Uv5Wji?+=N3*4;!msOHD;36Ky<02eHwQ+e=rf$f=-JT24&AxT;p&oEsDwJ zWc~bMEwQlnx-d!t&;ivtpMR*#EIl~nv2?DAwUVj|#I38jzP7NMOgQe`J&P$-og1)H zw%eRFk(jf$*|nFDPu{z?uifXd5y~9)Sa?{gs;`~Y#dmXv-~y+n*S=02`IzE6+R*kS zJ*YX;=1N<2n>@;Ob3@|&1m$fi>iF0AR8@>E&fN#=foX}!&qOz_>Va1`-8{;_`NHQz1S3Z$<$P)O$1ngO37Sn*2X4&RPf;;450LXGK~ z=4YF0gNf)}<+I`p(abyNid|FnKZLDak{3ob}{oSakeg;<3A3?@2alvG%FBJsUC zxC>AOWQ3SckZQkW^&X}ZwVlB4pVwLo7PSM6+a+tPr?!8qA7EJsOp%>qAsMpI6DS_u zFS=)DLVhphz1`eu;tAXiDJ&s1zZ#ENHgy8bg18(V)>xz0t)%l#)3A-F_$X>?A?x`X znX|W^+xH)Rzv*>oi&_~T`OkQ-YE9DF3-ZovW7f21~bnF=JiI{G|qcbNKO z4z_rQd1p6jP*^;9=l{Tx)k;z6gi%}5`iuMKE|G96qJ{Lvl)`n#%fHmyi^5-n1>790 zZaB1XML5I(3%if>Rkz7Jh6AY*XWH+t3W-tewXZ2$pobPhXUrh0*2BC#2sG^z$o`-* z{i3)v&~~!-_2bKri0hk7i3ru$v*$ZS;OxG_M|3o_$4Boc{@SVv#G0>KQW8=MnxS?MsOifzY#SXNuZ zLkO|pGT<#Sf!WIp1=5k`)8+d^uhHuf(MzquEJSPxoHLaiSI{^mimHMCwS%c`tz$^u z2A-vfN=qS$EXGYF8?{JZ*j=RRRrSl82^_7IDe_T|rR9-=0kZch#T~Z>y|LM)2675| zpC6r2%8Cr9!oA*{i+ydTpVWsWlIMo9h%&yQdFbG(roKh;)ziyV%`u>9@@A!)Cmmr> zf5{U|*qaOG+OcD$bbptFjsqS^KrjTf_2z`?dNP7SmGLgYeqFn;Lb4Fk=Slqjv9Gc1 zwVvHb&n&|H$FJUC>n(4#2|kRT5HZVcn4yKm$>+Xm z+O&Lne1o$Nb7}-m_E!;XRMUrvVmh|?Q&O)QD};y+gxe5u2` z`mXX;PP-*;*sgtO>e(}P={^JbT4q~CDEWkSqh1i|)sJ{9y6{{6KIRRQ9Dr~bsKg(o3h(m7S%YHM7s7Y)mLNG`KEnw8&(~3 z^0UA6{Uz-57cPzCsttpF1i(fEh<>w9xY-^Ia^pPeo8t6&k7MwsWknNW|R`*>_2&f@F_m0zoObcJ$Ey1!J}z;LJ{ znLlpOn+fNW&gRvYDhUARN+xq|C2kUBYl|wyn*1v0+UR~obmU(s0IfB z?UOm*e%k=VhGZ5JI=!Xoo0r}nyiY6->9a-G^xHa|WAR-J@aWBYdt{0?#yAq&;4D4u z{@Z|~4&-|&@1U5l@T966}q&6xerNZbEv<7Q`>`^G?+Q?Yda|rGuK+}8p=R^Gm D-Cs)j literal 0 HcmV?d00001 diff --git a/adder/db/adder.cmp.kpt b/adder_8b/db/adder_8b.cmp.kpt similarity index 85% rename from adder/db/adder.cmp.kpt rename to adder_8b/db/adder_8b.cmp.kpt index f435f2c..fd30264 100644 --- a/adder/db/adder.cmp.kpt +++ b/adder_8b/db/adder_8b.cmp.kpt @@ -1,4 +1,4 @@ - + diff --git a/adder/db/adder.cmp.logdb b/adder_8b/db/adder_8b.cmp.logdb similarity index 100% rename from adder/db/adder.cmp.logdb rename to adder_8b/db/adder_8b.cmp.logdb diff --git a/adder_8b/db/adder_8b.cmp.rdb b/adder_8b/db/adder_8b.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..b417b279d6859cad8f930c5782c95a543d7b5c36 GIT binary patch literal 17257 zcmce7W0NL4u=dQ3ZR;L;c5K_WZQDDx?H${;ZQHiZv(Gv2Z+I&oI^ET|x~r2;QmGCC z5D-um3^0)GzsC2kuWI7xWMO9u;Gm-i2)bBU8v_`bSOD~_zZuwmGt$#@0F+D|T`i1E z0P+S#RscqTk|aRQ#1J52Y~lQG7>z&hKMWwCI)VQsK)1jCk6H-*A9V-5^zpDR9COi= zGvr!+*R(LviXp8~t=P(*gAd)BG8&zebd^hNkP1&-&05<6ojo*qAYR+uNybe^1oqiOX~~;WHtuXjM1PSs4jm3gJsrsxFwQRAwzEx>}s&Td|Z>d4;S zjl6vps`y=lJp4`PjdlHv8U6$L=TF-E2MG6%@A*y3j{AWT2DDy`^2MIPta4_yKmop{ z^Rwq3+czrZDgFy~s2GS#ou@={N~#pok)eZzN=9HUMyX{9L^-p6Y}Y*2^I|PQ`LzGZ zLxWEf!81;%4a=!>#@9so8i)1bQeUl(+1>kjU2azmXJA2X$$NHS-&QULRX5{qrw#0T zd3{}1Rl9)QEO_p&`y^por4s0s(GnJX`+z^EIrBg_=c$Y4Yd{MGG*)S#>~HQ%=Jn9? zy2xnPE{@HUFJE^EZTT(TlUj^MP46XO+UVukML$tj%JGA`+?|_6oIb#&+DG<#Em|{# zqMG=MIdN(VcRj^km+kM%m&}oJzRi#0T3-2BIL-`g`ue4npcSsGuMqnIdCS#KTIMnr z#8vQ6f(p2o_b1(g3ep0{RTv>t-?e)mkfn%ns3o|8Z3+`uOl9bvK--qJQV6dp`Mq){ zNTRG5q0}WKH=ID?T6F!YkfbNZaYWd~x~fm`Rk%T8ryh`?EkY9Ep#3^_tD^Iu6Q3pM z^?|@#Ud-p-i7q28cva5YQ?*zu-ME&xKz&F_&*+uW579rfR+n%P*}6XwiW^h({2^Vp zK*)yfpX!xi)#e$qfd+CDo4)Lj*i+xhBNX2|BeY$y2q3z~Py5wIawcQ53{jl!@>A7J)-i0oEUwQ(O04i>Wcc#QE1_S-Y+?QSS-RTf;BHOikls*RO|h|G++c8h)B3v-tWj z^ZBXUgqoW%^QmPDv>;fuY?zy5oc{)%EzpUosUKP`kFGDR{2QtXd03sv?+^O7uZmZ%awbkRFfM)c z;qbccFDN`?qB=>lCEMT7USK-}Xw<->FO6&u)}){kQ~-?6$yb zDE+&B%X9say;xv!zrR4?B#;ll;wxY~+h2sm!VaqJa!s|jz~fxCzXi5dqYq)-uPSb(o7-BJ$`1PxVt zkM`kNWMcdgFat{c?0yhk;WKBIVCeY3WKN$`j%T4@8RN0khb&j)FV=#u!x9-JxIdR~V%m+57d?w<{S z;`#|*0OM@2xfWQiJ4v`CoH^*eF1-G>#Y!~Hc;obmKU_{Ch$Z1`%;862ziq^O+>Hv6 zk#=v#()i+eCV(hUNcf>I4rLa|&A?MxSgmTh;NjtebmJD~d(@Qc8ji@dYvSCvqtN}M z*)oa?w_)38)=d93qDxoAY65!-TB)5m#7scW{?TA6?zG%Ic6}__GIxxK=L<-#s=mm@ z&gWij`h!+twaLR1PO$9!hou%rv~m>ow+`|oyI1|L@cTebKPXtPIMbPgc60mb?WqMj zmtkH>bcOBusuBtI6=**cK^iUfiG{W*!%JwHwKJ+(zp3AvMwH&o#o7V}2M2XQ5~si= z-@8+KiohQ>PL;JDl*S8wV=Hn@(7MNWRfLqni#G_Du3_xbAi1=Ju^>73Sxol7h_3>p ze}{$+^>AFDP|VRBwB_SI5#L({yc)FEF{l3``;NgovM{F@j1=f`{JGFbET=x^EFfyK4 zs_@4Mm|Zg$DF8iWFS@V@Yw-!UFv5vWG@^Uy(H?b0Eb_U|hrpTIB7=%4^`IC9<*wRV zVe)a7%yy?B2_?$YY!zQ0jXWP_BO90qwv8$&5>mt-)2S2$-;D~#vSzKUCqjKZN3+Z( zlU#$Xw19AbiFwa>1NHL3?&~nfrThrLf8x}?iFJj>C}E3@t?EGQtny8HxABHf8Y#Nb zEhSY6KiU?hn42@5O$na|6d&!>urG@-0U}<~=&}rcCEv;Xf-?3Zn6e+`>RiG*=ic72 z3u|a%)5H8Jg5lAsLF?Re)>p;ig!5SWAoKf-9X1B0XpC#7$u5ehQonE3RsK)1)uy8D zBK0ecRMjo8R>&jCYh6t(2yEq`Nrw?^=X)18ub!g1TG8__x>!Ms8Eg0j2PAj?fFdQH zrSr?s%U4ui&_8-{QvAiivXN~!9^<40YV$Y6+LBI#FWg57vD9a7N_0gW`(8PYV5S*xYwdL`ka^^xeRJXd{&-(Zy+TX%^gm$TFh7W!c1Y@^t(}* zL)E7SDMTAAI@VZu@}*?6JErZ$@aQPHW?x*`iC4a4Q?tl~)cI=O{NI=JKbYy#Rh+v6 zVGSL*ct-Rev7ldEVt@nF7n+Y6XE2Jz8Lg0txyPz$)9#JO6D6#r=p6e+L*S8DB*skp zmkNMl!Zid5tdy8ZzCS!ab#@&r3e2;753d1A@IHI=eRRX`;O0ZDo~Rvo_=9*ETWunF zwxCzj_PcW>$WP%n2k*>23`b(tFmYwLG zA1w}UDYPtGlzR_1yMy&jsBjrS3zFMycG0+LORnw#1bDDTEn-r~A&}St8T~BY ztcz*3jGt0^pHV*^yMfV=idtvVIkul)RTvY$|I%TB$v9DWOsu?VJpYP45_GATGgjtW z@3;KiHbqF0wnhPB^k^N|#QCUR;<{p8-k1mhHhTBue%Z|v|HpOi^ird~--J6bB< zuAf{9a{3|RE8-UE^Lg9!;lEQC{`9A9gfxzHuuzsEAg_4Iki%;!a4Wl~>OG;O7F{t7 zkZ8ohC!?Hfac?&$5c$f&O3qzVy@X~Hu@(|~LO161KXH(XLAvB@4|OVL+wqn@E9>rf z_uf}sJ%5a;I~mE1b$k6nll<+wNg&Q|ub%Gx(d_l-6Wk_)73Ka)wtx+DUz-KO38M0C z=asq>#=e5RP2+EJlfRK1&K4Owjp_u`s8sN9Bcj&c*887NjH9-$ZaRX?F)joUjCPh1!=jJ2hCi`J-h9Fh71$Gl#r zYg=Y6w> zu1>3JR#xZeF;~OixxxLg@-%vsmFLzrxi~rrRsgsPfuOvK!f6Z9zTsfO%?BU@`wNgR2egJt)Z%5}Ynd4(T zR;w=^aK=7?`vm{$8Jm+=yk5F;bU70`+E;Xu5T_S=w$@L~BvbDb7{-Bnv^+}7jkeDP zXWzo;O3{6dCwyLy zkB=Jcb}ti@POIaA#4hP11?Xv{p~$hPNGR^pH=ycEpy%dx40%jK$DsR7i}W||l+rtG zFk+S)r4LubV%`yfc}z+*68kz4Y=yCnL&@zHrXHYor5gKyQdbn%d%G&~8I- z@kizIvxr!^7S4v%MFm%jmDO)+Rad*~%57aOe{Z11C`Oto+N5f_nHgGIT0KhI>ECoI zDK*onl0*CRoxI28^dMU%4|qNGMLVUP-W}~=) >8e2LW>z!R4?RB)ZQZQ@G=%KP5 z&1W)J#)ARHHO6zUCT4CjW@=lSJL^al@|vCP?0VX*8B@u4KI-9u{%fKZY-(;RE0!HC zZhC9FXw>o>?QKd!KAdu)yOe-d4Xv)P{`2E=Sra#xqvz+yn#JYvWEWJEhUN|>jg8H! z@N+vnTw?|W!GW*cXI-XK1X}`U1P~;Y)f59JX0&fKAWa)^o$Ge6jX=+nJ_EF%u2b4B zPdv>%*_zlK;V|fBa+ct}it`kU5H@EkU5YqOq_6X5nP>@}Ai^Q6YUq+gY?4}*M!{~Q ziQt-{eU|S)_e8iNYLPt^4_^TrZ8^WR-^gt7uL-i;YLg_a=LfPEQiTx5%Eu;h$A^k& zQCCLvW2@FWl`H+*>efX^qzJ6#*~hv>HXK?d&W6Nf3Ir%kZ>HUl=VEZoY`L3X`liuoVLj=!EtEZE{O>H85Rz8FzxHG5K-i)Q*#n-O(~4}^ucBI zD>uxc_5`3X(H$=OHJ4Wf(Ydbp3v$K=X*KQBT}w-n#O#+~Rco4G6oY*O^p@C6&DIc` z#%8IOpN(>ACy_(Swv8w_dj|Sl5-Jp(7&Xr|Q{XbFTxmLV&mAUi-YyMwD=?nXwR{@_ znDX&MBkW*ni z!`6YOqCxk2OMpGUeN6aPv!+xTOHLAV+vFVEY)XQ?hy>TKA2m-+N7bxA!}^S&88C61 z1SJc5F39zg^g|3%S9nW>hJ1rwXUTk}$dV;j~4>;>Ri@k9mC=bW^Hn z`p4_04Sj&0h(rYfbiYp3Z7e0X18y!s2t`F+q;V1v%}?_13mUNs+q3bV3_)&~#Ijt}8PHWf0JR>%17H_%9~1=qo~n#Ybl-*y6K}V7p!4J*nx=?_4E5z#hEq5zd|}Q(Z8QI zIZ{076f8Akf`U#I%-6YN{m93&#@J(tVn^8(_Qgw5(VNa_(PjkdaBX{>H)dc?(w_QP zEB}LWbnssOe`wi8WhcX_p4YfiJZ#;I5C5cZ!ezu&tjIZHc?+603M0W$)A1Ti0~)VT zW^l*6VqR-zX)FMyFCG-%JA{)S7`<8XtVI)oX#D_LZlBpRZ$I~j?KdDvcgt6wO3d1M zR&1NCyV<%i0(vGkOE&8>A=HpAc}@&@gR{|7=HdoMGPo_Xm|7_!RW4;Y6>t#1u;7@0 z*pjY|GoEb>11g8J)Ch(VZ28W9BY22!do+kG2k(@HpVdyPt~RN zI;4wB6e^Fv8r)!PLiK4hor#ZJr;Be6&o0iF}Ul))Yo4ZT{&p2*^c(6E^EE&;#4*9y|qSTLlWRHOTG^LST*}*8y z(J^AzNCqNnx~$~Y>T1fUyw`E5gF9rijAjKfCbkaD)KeqW#)Su9rry5Ol_!HgqE<3Z za}@|Uf%vVvm%$w#&`_gPCi|l%*f2swR{}K)jRtDnNrh4+hjnb2xzTW`wR#hB`=z6J z8ys->T3%o|ni92YRDs|dkY3!9Vh{zZvCooDU9MqBOmKdskd;z@&M1VfL6H@_Nz$nc zJKjV|HsQSx$5PgLIft{*EK6KBkMo~J^{Ax{=|_bR6oR6S-`N$q{#1sfW0^jMmN+2^!lx+=Q4g2q3az*Cz5Ylu+UE-y<}-m)8tn=&Og)-?zq*A)ThJ!gAOnqtOKU6}ae9)PPw7dC zmCsO(s$euI>~}xu4QeR)(@CXE2^^B8&m~+#hcqil%F2pW_-y(sc%Y=NXMfk6cfNgfsgqD+ce}FVY~h-hhm3*z6A0UKe54bpZdoEdoSV zCSad8WE+8=7&9Yq)$owqGCdxKj5ADo{j!%e-(jvRTr2w+C{abUxH>8FJO$MQug~}U z8cIV+`3G~;FtJ+A?F~5nWNKT&@L}6L2phj>%Kkg~1E~8x6bh zWHcS!KLp(8u+%gtI#5qONdl_?c@VSsy}?NbS+)VQCuC?vX*C|7hl1tyK*MN~J>y4&ho6yj z%-^B3S{Q*xOfB#n5sugj6a5VIhkmSzuG4H`WWk@DyNfA8Ru$)gpwYnq-^Uk!Gz%_n z7=G5}ag>6n6jclBi>uT+Njh5{1uDt^z4v_FQf0}NdgFpJX$QBFOgKI6FTl2f7qJoc z`eLNkP~|yi91@9*=yA&HDKt5_iq6Yqp`>j8lkKbK^@f>{<7>LlqPGK-U@fF}4Fe>1 zFjac6dI8Z_zvVulnY}R(D1Sk_({12$@NXG@@RQmHWDFwikk^o5U3+6|tsh3B$rovF zd%I3mpJ((ms`}IK#Ijjs?M?-48l6yCK49xRRm)I3>Dm7Fw1H$Ywf?F!JGg8x=g7jc zmAe;K%jb!^CdCzMrBef`ew;2@Dfz2@Qzol!Bt2ox1|4e>LLJ)nXSQFfI#9rYzv`WT z6F$1qE0xS)I={ex(QvS(S}8RV&=d8Y`k=vnrY|>lU{2LV)0sLNr=0Wxhju zW_T?o)~%#7Lz>G)Q8?KJ;=#5iGX%%GA)1FWI`TvS86#yp)u4GCrAYyas=gASUjiZq z6kb}b5;0)rA_O~Jc1OfU316s)I>jw!PH)SqpP)yNG;l#G^@B&LuM#jb^s?l@d#Z!` z%lE`k1r4yLye>$~8%KWA8C!&2j7T?O(&EK`H!86>Fh>G$V6`qL=oG=u~-oaK>#1p9c>S^_!nN3BbXzij+ z;!I7geHcgMWI2wu!#bHLjEvLzT*&0uFqB}Po?!^ZHb+R7oG45&RpmMsO_oI}f>PGz z7-tBz$I!J!{(|ReH5&j%8hg+T2Pq9gA6YR_ECwS)5zqqI7rCm?->nR1neXYyp){j? zVFFo}uCAn(ji1(VEeWi`@*sIYnTuZ!v+kNK3Z!MKnqNBbT1)5gZTlHi-H$44n*Z9e z!$8Zr2^=0HVFnI#ifZ$$R%iWSX7yv@j+_}*Ly57u8e0Hx!|z$wqFk>XI$5tJ9vL_I z-FQv5V0th1I$OO2FpA_6U-}{5mZp&maC9g>LtKS@*8(4GeygVj!};djYGL0q{V-;J z{N1dLOW?8)4ZYMeA^b@Q{3MQ!PEe=ly!QXRjTn0Cz*hYJnZB9c_udq6q^XvF#e@5? z!XNcr+AsV|lZk}}{pU;1%)xiZ1%}e(O(^=|?Pg5ScU(Ym5hi$NCGG-$wC55K{CA(| zTLi&)KtQtl*G~&~+}|p7&Nh&k)*Ab?Z<&;7xjzNLhvUu)hk^!sJEnv^LjsNh9m%zF z?tnjgU+5*b+OIX=I_M|mfvA-4Akl9fJaMEDlvx%15Bh!xBOjFD6$^DN zEw@141Ry9ACLKBj_x^eSe#Yvjz8czTh@>)q7&1Tj?BjrhyPWt)F~t!MciiIoC9uB) z_e>BDTe!r>zN)YtcR=1GAl@oC_0~rP4R-xIF9Ai{BImw~Aa8uB)@xvj?oZP{Nwi+4 z0Fgf;sXs9g_cCga26?*!i&QGSj%88f`v%d-YJgWX7W)1{LOA5YEnNI}A`9-Na>v;@#Tg0}u6;#8 z+}BKNaTWZM{m*^+D2@2ZUfggd%CO%i!SGV zuYOW#4tM_PmY9!-amNWkP*&Bdhx8o#?~r#3|m)kN*@x+$WN1<+G=P z|FCG~|7RBEv=kNEjXRDF_wZ-wo-EVeI~C%-K_s)oJTB=goM8O;`v6|C6Fa{B#U0SI zBLJOkh*skX;M)U;oDVX3M?&1M3iyhqLjLqo`Bp&OyP4XA7Y+}Fa6b>MA%CR>CaouN zdQOA9Wy3StUhrP}f2u-I(pIV;8zCH;RjYHNT+PKApM5eyP%dkoe%c@$J}{w|ta4I* zynMC%Z*UbeiKKc?{6B{#&`ZoilTLBIz0gm3+~SDF_BFa@K;9@JC^c!?YP?d+rkMu?*(i15b%m58t13~;@Sa! zpUNT&UyBO;GYj%2_j_$~2nPA1?e1W0^UqO!FscOlbkEZtV?w?wfQX>*0Xlb_8eZXU z5^w*D7ocZUK=OhN|A4>)(DR}pRkCUmKlAb#m-MK#61TDrAg|)NI~4|$dNmxa=y3y{TK4dj(EZ#FSCJyLH z|G7;|_bG^8{|^5zw^KKJPB0qc zFCka*V^{WVP?vzp>~|AN6cj#YiMeL+JnKl34_Wz^0+-A&^8I+3ge0Jb(mCxyXErO4 zkd4g+E^?hgH~5t`O7SS$Red(;Kg7`f4_Q7unW3nUq)Q#gj~z%vaL zMEvcAyY))08&vL)dj{_wPlOesq8-WVeHvrcVNjUD@uK)fzu+ZV_oJ%?qQC zpEsuD`j~_4&=njPdI(D%_V$>&RTiz0I3Y7z76WrM5=>fY_{LOh*W764Lcv{}-viiu z6D}ESPDUMd_Gadi=-fHXrd|j2QWM7tp><_Y)?{FymORcKX9J2YbM<6W1nL8Nl8$Y~ zYXTp6C6Mfw=g9K?@p84Ne|{a$CBc;TN@AG>7P(?qx1Yccb@p=uh)S@&S+aoW4~J=$ zYrl{1Wc-lgsO9QmXKn?S8*#x4XLK~V>A8?_iq(@Wijdgww3CXft8QP2BQb`pycwOCx>2U6mWO53sM)y0L-n6rKP93(J}kL&Sn=QwtV6#Sj)dO zTBY)_m~l>!)ePmX)lp#te-P=`ko8J)T?gJ3I{Xo7u_#gNpk5Z_P=%Da1HulygP&@B zMynLWmsB7-y5<*(M2eHt^<$M2iLs+xolJwLf_VdIsnuqH=0kjXg78{&J&(YG<`grZ z1j7**l9AbOQ0P;qrkBA%D68e-+jjuEbzNfRI;9Jn{~VQ%V^`$27V) z6&sZr+T^X-^!E01k~G+B9@?5yAqfw5;TX+bna3tpP|OEB(j*X?mR5trV-=-}PwkdE zP}4gXSK1?oNfq9kn{BuO;>Xe{#AsDy8$hNyg0Poott|tO^f#xJs?C$1>!!<>-QgO7 zYfrMAd5t4`)67zPw+a;n;mKq?NaM}Qo5@8O5lEa}nYEU&4B)ESe?~!Z*x?7GT-#H| z`He4sX>PKTeSq)Th|=0Wc$a{_!%Z^@lVC0mSUF?)6E^tFasln#<~dL?L)@+-8An7T zHv9U^85h7HDu%E)NBPId%Zt{zQdj6#LJ0Llr?-%c0A^bZrac~)0JMH+5*;bCtjLsB zgSx1gCUl-5Y%3~`jke6qw9R5$t1ZbgBzt8x$od+*@E{U3Dug-I|=tb9?1_S6i zll_w6`cA9rOmf4E4_&Omw!k)Iu~QC`TZl@b)CB=qOKdC1ugj%{QR!`ef|zrV+v^-2 zOz0eU+hM9=Ehv|%=`Cna-7cjXm!Mn&;PThdVJ-^bpImE+x3F2Knv%=eJ=#(Fi;!b* z&CoXCMzpd%GgV6Vf#+F=Znnin z)XkWz!`;`sSQ!O=MoJ!WI2vKZ{8|4VbCHq^1t-3wjg(* zIbqamxUf@9gRJZn(9VfcntLl9V!amMixk=tRwmKBK(mv|2$FvUBG#^`|p)YL2)|`a4 zyVBdQ;{w2+8>j9e!IL9YZKqX-ectGJB2sBeQFDkD42WxzRM%qj=lKmjQwnekvHn+ShHLwKs3FHLy-|n+G5-p@yNGKnG;qO=O}Hh zN3Eritww6?LkRh;VfDXqVt}~W12H8N0r>omSm8r;9*u0iT=6h>f(rX6!JIeQdpZ0e zM9|IO?>7om^`cU#bs!Fn91n>=Y$}|l4eVCqm%Qu4Nki;?w`8e#lRFF0Q?yoGBq_hA zG2kyC)7htU|Hu%2qL4x0+0z*8-3nA}1mOggu3c|kYCw{slwltNS|l-y#!aM5t!!p9FVJ^s7DuOg zIGQb6^9nBbLG|iR>+nW7cBkauSJf_oLs_NmmSvrE%WNtsb@=(B@TIoOVn7HBsO$$$ zl?->8IT=KsQ#;uK7iM}{UBS)C`-4+PQ`^BWZ36ope&N)h zdj>#4UdOd-Yk@<};v(C^t(zgfraL0=Aknf^$PvD%)MABW0JMug{pe9Jk^6%Zfn)1~ zDWT9^NEJFOfzK8FOR0IA+1tDrl=%i^fAu6f4NRP9Caj+=Sk+^1Ho|UId|tDI>Ni3l z+i$iTya0maopEsDG=->&&kw!sIO#;-6V_9H`qHj#yJB&k*44Q!BuJWD&#)0N+2TAl z5Fu&|s4Gl4Betst5%PCa8ZW2u5y*z-$lWXyN9CN&?`Vzbohf}D8IX;2!-}^7bP|_G zSs%lwS22aSZbig-p_^k1ZPZRoEsO6}TU$@+Ke1T}U@sv6dH%=ElWY&KGpY$TCk7Er zu`tqCUr0f^458vzU3mW>%(@e4L`Xt`O*9%+u44(epwzw zbO#H}^gbS;h5#Guc!Q}xLP%~xfk;vLCVbp zhKziD5j_bB=|vx65NG~*YNV*2OjlY44HoCr285D@K8S}h<9?zd^TV^hqOpyFXcTjH zh*NPlK5T4U$@B(2`A|Wqeocjypu(Cxaei1tDr$jKsyaiOra0BmG~tmXrs9doMG~C}C_LSIhc1*$ zsVUW#!*=~kQ&FDi;392VL*51Z0w0-qg&aE-(bm3CZRIT-L0ve>^6d2dIpNu{%44D- z<|+9MKZ(B&M5t8xP!EjrrAW|Blo}SToui;T%zSNHyc}kk^g^zMHx|Fhe5NDirP3I9 zBw$eA#1j*eLnb1nsbi52MpYIXg;Th)zY1qO;w>}{Y!9qaxkOEcKMIYD_U?%mSf@lg z3M9=!nhy+_uM9Lv2N;xkC>aT$T*<_I*+~j9LM4ryD>R2B4O=u)@Uylwx{$gU81GF< z7I0XJSC8{GwUh4nHO*noHj#~n@dzMes19#2$u#;uIV44A43}?1Fx9ZTsJrt>Wra9mG?W?9 z#Ln44it{DD6SZC|u?3^(fEO+{{jOY4UCo-B+nr!aZmVo;cQ(7e=GU(yTl6%myl7^ri;OO z*l!{}%&*3tcbD_VL(M|nM1dl%oFqAG(w>o1btV%v%ddm%uF50v zfQp>v>Y%ReyK4`d zU_i+Nlp$h7FGHfx1-KTZ?2c2`1q@(Y&F}LzX?^W#)CLs%V-5qrtju~PLo6%fr%1W@ zIu+NBgk_}}Wk*0lRLwi%vq`HFYVkQ3~(}T_(Wc{q5XpEj%%3LXrb2u<+Ii{x@GH&aaTEwHza{Sk{GTD}O#ZAeu8sZL` zqNB)^tt-=GmkK{~($Pdej)}5O>9U|l8#MbcDO=)2ET_`7+$ZpSqANp-`Sj{Y+??s+ zS@w+JVjY}3m&bSG6t(GSQ(4`LSQ&lg&m`Yco97iqoEY9Hlb9&b=BfDM2Qc7qXBBl_!^g@1V)Bh`XWqq+)m{Te z;tGdh7zQi{Plo{4XJwA`Vg_`aRFnqHz5H|&*e=R}r_J;x$S6)?qxXl38@z-p2mshA@xHR4>cQ3zi-YtCOM{Wg#S{L8CF~m!S-h z2OuPnvkiwGhF}`*i<6f)3BeLN6ygl(fqmB0>}ZzJPP&5bm=*`NuyQ1-AY$~_+m)mbXxO6-a}O5*a5QMUn^p2y`@8q_t82BsX?>*cm!_F6 zSw@MouxL@_24pWdqZFW;OT=Rgyx#5w&EpzH?xv1>P|2!Sm(U)&#q8#Vlnm*(Wh!m; z4if&%siK)uX2BT4c9U=n%F>8p?bZ~&(<5s^_hfH|>D6-#?c%qlnlTM~E}khC?9(AD z&CaYeRED=}x4&ZPL4$DCrbLJ^iHXCUY-3yFL=giYwJAeRBL)YZ)#VNW1q~j`u;EA< zeaYGu&7Nkd7$SxaI}RU$rAu5m2T2%);JuKkM}Ll8$u79;Sf)cHjzr6p+M>eQaqO_R z^3$c~ACka_TOjwdTw)xA1279uBo@A6QLmoI5eQ{`JL88KMzHi zJOE+DZTeQ~0!{O^N42KIbF^$6kx+s9pbpc|iF1-|ngw8pm|~bPD-0&=Cf@O=IA~}i zmisQwen_*PI3*H>#$at$FC>v!;vv60#g`5E&B)g%^Na<2S1}73YO$a(OVTenlr{!O z;ne&aGXb_)Zcipa>3~V`0^`e&ik?mf9I_PaLAe}fp`#$%h05db4OTyxVvaGC78?*7 zcG;ZPZ0L-LM!X+d$WBN1dR{#`UVvjA34g9tjH#l7@4IMMC7^oTCGBwMkatjbm?D#tYuk0#Taz3moGi)sykmzzm z4RgK>YF|Vxh0>oL6&t84NY-qZicJF*Z$~HsV|h_pM0c-Ei+}A-ISodV=HZb*0&7&h ziw(3O@OI2b%(mojDxO1utW9Mzh$trihZ) z_S-QBC}%zy?2`6}i2bl2>?v+9HcKR4CN0-M7)~-p>Lt^JbB{!$8_h)B;ucpL|0R+b zLl}48kiV)^9mb)sA|**GxIghscoqS1>Lx`lyt9Waysr-^S+XDix=4P=;*B$s66Uyo z8YVe6^2FyX!DIKcF&ZTl)5e0H&&Hxuq0!`@ps3;x?9M*&RS9jhHL493du{=kfwgfK zP=;L)WF$j44DPPQnSHIW(J^y)+L)}(y>x51gv?*Og84CS8(~q}WjmW1)fIADq#2Sv z4h0=%4sKcyF^65}l>cg*2r5X6HR0tLOEwgd3ydNT6Sp|qerrk98$@*({wn}eg%~Lu zx2Q4>!|f9aK2qqeaFm6gXR;2lOS$R0A?}bc9ct)`RA&`UlPr;mv)# zP#ZO_F8MsaGd0YJ;tAv2c`+o%B&U^}U_&`z4fla>Sh`EkBI;$^0CtC89c%}K zP*nOWXX1i9#mM{t(tjtlz`c1@esMYDP!GJ~UZOXlkk@j>4k1U?Ky-X8A41h@a}tIs zt$-M_4lScNvb+4+|*L(^Q;F>+g3|JdWf z>MaWn6e#ea7#F!J$f9!FgT(nXEiz5QDdu;x`*tC=qjwVJ5EI0XLy9YYOVhgDE3B9A zlPiYW`gmK}yThTy5mFkmOOqN$uDZB;>*+mJ@-?Rs-xo}~~h3kuU+poB12&e0jZPJ#V`1lKb zE}`_?xPG#PVkr|Pxgaq;cDMK7y+E&O6{3sNu7^WJyo8F}#)=3nq%%sqNU4a%kw|6n z6_A`XDbGMw5drDpCVxd6+j*~3)P&(E&#KA0mGyI_Gh4{Q4#$O7{Nt@&j zsn{8mM3_Kg?Bmj0-|c`*La9-sO#mk9X@G=E$2dEyrjy0if`4hkWVQt$3Qa`68LYa- z!n8Mz%S+ykREvOx@CI-B&CKORu|-lAk#PPcPFiS$+KEwXn`7g)&J-F@wtcy%r!rIa z?a)!3!T#rYjRp=km{J#{uU6g2!DT&Aw`7eV^q2Z@p1UmAlc^nUz>6nhT79j$BK~%E z5F~PX-XNn%K!7s$*o%-jK_KFLps0@jMN@Ru>Oc=5@8xakbdo z(yvM~?15Kh(6((0f*erU2Ux7jijL^MIf>pI2_QSz%s|l=tem8X>K)qxmh}`b9CG9o zOSbVf!41~|kS==c_fAbL1hmM4GWXtDz1h#%cNEzEL_8Q#)hZN6!I^;fW<2nk^Vu@^ zEtsngcO0vQOHZorb906^z9$c^QB63{u=0#rTxWKYzVPmqLXmDhwW~sCeeLzAr>o<+ z5NX{O^7@23jmIz-V%mbLVYOnXt_`eQPp>^f-q5aYr?B4#nOVw}oDg$vESE;iDoeXO zcu$;|KEat&=u<^rw)@V=dA6?{OspEg+ZlX4cg|$UWQ^Xf)*&iaq}9!&&s($NNCZ;} zSGubR5HSdq4p&(P3f7@dz(O}%1Nh!Z=~RV(tztZUscma6VD~CRcoKnB`uuzD8Hd{@ zB2yz_xF6m<9+`4fA#XfkcvYk#&ACu0!^)#TizbS|a%8S{ccfq6nAw@q4v^N0DpFGu zv1;3f*A@yp*UWpMEh)?gvq?jIcn?ag{=)QntAO4jhfzR}6-JjBuq`MfG6XyVd$(m3 zW)y8wnvIf?Z0h-`xIjuDJyg#^^Xtecgc?rbMc4y_`^bc84<=& z-oQ_=VT?t7L_qga|M-ADp#11DaHuo(^}91;4-QK6q48Ttuy#IS&n3;HpspS8vz z8il@+$(a57=M-p;3hGGy{9zmGBodc2+t7DTux|9`_22c$k#X?XGA&yQyt}vya|NJ=#l0cX}Lmpwjzmu1%bBN~I)osFHHFLlu149&$ z<_BU)AO^W1IMmnIF(@(~Vl+foird!~7O-!&09C;(hq@M`Bz%2h6(<8TPC z06)V~pdub176W3CS0E;O`h~#Eiz!`jM1a8pstgu<5M{w3L7sl@FqNh;=HVO+vw`6b zGEfw1pr30vibtH4%yn78p56ddAqZ8491Zag47|7*lz=kGDtuhs9etnyoLlksFf#)v zfh_@IkUzmrcZ~>vDtNbB?;;yq0nBe8r?~n1xVQ#Em3@eO|APsvYz@#1ScIb}iQkrW Pn;o3GK}rAs00960LvuF_ literal 0 HcmV?d00001 diff --git a/adder_8b/db/adder_8b.cmp.tdb b/adder_8b/db/adder_8b.cmp.tdb new file mode 100644 index 0000000000000000000000000000000000000000..ee6d8e8bc1fe6cb70961cdfa7570ba8ac00fe8cf GIT binary patch literal 5808 zcmV;h7EkF9000233jqLb0B!(300011WpZ@6CZgNHd000000FV>_000000PO<+ z000000I(JS000000C)lHnhCUBRe8tH3xr7^7$6fv-U|T|k`Sg$K)e^ij6kWv5=tAb zU>Pk2T~&&{OT-q7OQBd;$m(F2w1I^fYQ>^qiiWhzQxM1iLP7}8Py$LIx4+*xd*8d? z9o~B>(4uv(_1*oQZ-4v$4SP6ypZBWOYIU@#EP@%!vG zb;i^!cwCRlLDcV1zYV2zJ3i{QYi}n{`}IdB)o;b8GV$7xdi^2Nkd}3H>&UW->9Nc# zsw{hphTlLw9~Xu1qW*QjinUPk@wpw}N-w$*`}9?BsD58~eYHn>(RY)(4Ky({knp@b zO#D&yDEx$m_D7J739?_pj}QD~@S_9227arCeoK*QV{DMyH{!tNW2$UKXkQ7xTi~C8 z-!<^Cxvnzt+R?_nw8cK#2h6m36WlkTwb1L(e?e(`%9}rncz0B*-SV%gKR}LR!@w*n z%jXRn$x&>8FU#i*3M@@MQmD2)dNiax*o4Z$Hrc>Vz2QCdYQv$@$a{G8!EacWj(LpS zbnAK-8Satx*kF*q%?$>(g2=6z?A<+LQOJ^M-{@3r+mn|?`<}cO+BdRP+c)-VlZ~Ri zL%lZk3cG1wzlnIueLpG>z7>>-#yAHhVg&k{k8AE_geU0 z%%tYt=S*thcPpZnE6On2X41Cb{v2=x>5h9ujOgALvWHv9?rR}yrT1J5z11yb9#En$ z1Ik5qJtOE>UVh=r!#%=c?&CSVkocRn!k6nUzYDEBqlppSLykO!y-G%2aU0DlxuUtG zkiSal;G%1JZ*o%CE8ZS=)pA@Z&8NeIJe#{zujn3sE2RSi-kuG5+KgJ-UBENf&pz>{ z2RyE(J?wf#^UPK>S4uwzk1K8y9#_D8?nJ%lUn8FW;ZvAXg@0UwoA3&p_**GWE!Z+w z;U50&&-3jG-hY7qG|xT9qv*^Slnbt&zdai8o}jO>L_PDTtgrEyRCFls-hkIQ9{R_X zy-&O;0go$uk9hBF@bBM)f3C#F`BJxCkC)E_J@giX=iMWX_o;sPH#zvXO9P%ZiLr*q z9#wsuXD`*Me|(baF<)Z5t?-<0(Z5CDE#bMZ@nD@<%AE~%>p8KxQetgX`E1gyKdFDV z)^#hTX$rs^)dJ6a70s2>LhzRM!@qrt4&{+8@QNmXE2Rg)Gybg`^zKGR&dP;urSukh z@MVtey~e>6D`OWg_m#hK1#o$X2D|l~s8A^}w$&H<5pTpB-U5#~T+82+;JH2;?@Y?b`5yOdw=2|dujFMe&DgM zA+OM_@c-*Oa;5CkipB&%lhkv639-kk3#ajyAi{LfxSFXXj z?Mey1EagT$_X1C$c^&78o9r5YB%$lg-vHw`gex^z77UK9@ zDS_jkA-aFB7jt4=Da~O#d{;Ooz2(n8uTh%jv%*2ht;O>_12xS*&s&8~q33uEpkFJy z$_w2}$r}ZwX6T7X}ukohq zjT~9Zjr)~C_a|%Zf>i~x+jnqkm;9G=mHekt;ys?n>j&Ia&*u3Fo}U0IW%kP+cnUom zTgP$JkH&b#FLVk$KTI2MU-@&sxX(522cADtJm&$BP5Xg&+yfH-oCjjHva77Xtd!8P zG}$f0@weCy9LH98>HZAgulYjWEM?|bQ=W?^e=8;CMb){{xUbdcRH4`3^%4COvvD4n zFVVD%*|zJ$zESUK^gO?`%1fbB;GM~U;A4ezSaz_BisG6XLq~beHQ5zR9(mu@>RVE| zePfaTOu$ipSc3D7fFu4F@y|Z$|2%9;@`IU_%EjNAfaHA#zY!*c^6i4WvG0i_-=cgO zi%(wt)b2+TTPFm4{h7<6iTb+-eEsM9NiG+2!iUu;^7AMQNM8J%5#C1SocZN<;wEKGEXD;N&=CwgC&N?z|Ml~^ z#Ge?K`f1-Q!XnY`@aLOxl(*6sXU(tb`g;dIjb+Ks{7}>x8s?aL-Yd)M`suiIB=d0F z1m}@aqA-u3LBW>%k`R~tDIst2Zzg=qv+}Wt50{5{mH%XU;g7jW*UQhsyj32iKt4vN zBx@ngZS(WDkR=RrOa5}fDC#^M=35Zeubji^3SmPY!vIkC^%yRC1rAJjId!9%FGo^?kfVuBE<&J>u7lgKK;syz_S* zo6`4rJ{2BO?*IMhe+RkxTcW=)W3-<3bNB`i8|TmgSJSTm_75uoj3!TcQU z7tnqK@-1GfRu7>z?{m<-2>uM}lW4yM{st}{2k<FrV8tm&1dt zv#38!Jm;e~j+ce{)4@R{o5bAP9{4)Bhn{TiIv8UM`3-!PX4Gk}|O0RIiajiUWZbeDktW$+H+ z`D^GeX4Clzi{LDDZw2oSE;3W;KZ8TNYvUODn;YkgbL7)ta6ipPgJJu}p>Zh82CIwq zZgM+-Hvgq$J-TNj_jw`qyf-|8f6pO@%?nK#Lz#U6Jq14kd_A?;1Hk(>{*jjI_00Jl zRSxADWIi&rt88o4-LY%gpZFY`hnQ!41F8NJTb>sW#qMb2x9L2^Z9+dhJNvwpT0iNQ zmsdjVo_s>kk$*gqk5A-}C33!<=9rCrpJ=0Ve4_tUqO)5YIrrWg{;>(aH0iscI!7cp z&nGxb5_u`%f0gL$)JD!7wB~0VI&*S(B43f<_}$M(bq-1RRf*2_iF|b;Pi>VGombz5 z&h!N5wFGCYME-grKPZv=uL2fhIX#hIRfu!>%tSsXk)N2z=O*$gi9Eala-1}`?-l>! z#O{qOMsO?fn~^-OcwfqFTgC^MSllB{SR2o_QChFdHSzyi1KY;Oo!c8yN3-Azoe$Wiiw8dE%8! zxJCSZ-Owm(^W~gLes18!v%nX7;?K#;oBfVmTIGNFM(y+eEJmf&pOJ6(13&nq-IoG> ztNgD9TT1ai%)8LiD*x|vX4$K}o6>kUUfK_T1PoJObH(T^d&-w}G9N}f)vOmSw3-i$cg1$5RoOZ% z-Z}DnM>0Q$h4JFMZ<9akI-AF9lmB6UxNnqGU*ucmXUqo9&wF~Ap9_drO7lOyQ_K0c z-KKcg?7|t-gL9Jqc=tnEhI*72cHDdNU@&OV$u-CvV%f9QuJ;~1z_crV00Q|JdPu$-|weaV?SiihKD%x)~ zA6_O_DUEkwi1+Ch@%{_(Uegfo2oBTYx7u(0hP1rl{PTqChtg_4zn=H?FMMBz;(GrC zj)zi?Osv;;@_)#_UqVqoeg{ERj`CQq-6iZlL7;y8R)VM;^&W$O;rtTyU*c}uu3UOF-y8R;w~BvG_!e20*12~M<9vZnv8X?d zi5#CB>&auX>1#;>v4vZ-12SXW&t{{FrAKVrWuJ2spz z?4|z8_4!Z-3f!hsvaB?+E>{1adeOgO8u@SV=gwrl){zSC^n>{}vPWGi{#8G1z23c- zw_5KV`s14OVjyvlt9nt$FB0^~OFVgZD6mIOU{`4+^Iqc9K-Dw1B$Q`xDevjn#Y1z4 z57IbRnWVNSWJ#1ooQx#-J9$fca#rVu94#(Go+Dx`Y9O z{Px5-JjA^TaX%m9=F?L>?l{}x!LEbM=H*<>N}Bi?wdB{KFS6PsO}xmQ@pLEug;5bpV1h0c@kk8~C~6KUTDx%!vm$vixh-KsE^_VsSiV$lS6pUJti~tc@syoHjxKCC@kGOfss{A%*M&GMhdbWKBUY)0z6P;lNKf;*o5T^D$OyL!bS3Xu? zH*X~IgqeqoLVkSo2&sE#VOG+_U4$#iUrWfVL&z&}d+QML8HD_;5b~9T{L>KfeuQ#l z2zfUAoDk+>`YsLpfrM_d$c}n|sFY)?;(Om(V5W>**MfH2x!MNrNxqgd~41 z376!T{#6N`y>m+qjNren|p*>629S5-8O+uDgg-ve6r#WT%svi<12D%pGrn>Kx1>8iTyH zaeb0;kW}>gC&{16bx^XCS3^npMp&2R-_k24w>mE&TZG58jq6Ihl5AW~ExB=hmpn-V z48|Mpkn;aP{L7ep>U+05CFE&3%etExtj>Pa=XVx5yN>4U!gK9Zx`Kh0TwcChCBYu0 zmIT<8^homG#F!*s9a&sX(9Bg4>mOI{F$ zW)VZMGEAB~shPtGlg8K1b zd=krGVlaLQ{mu^jt1O{GkbOUi9k1o`s}JJeyCCtsu3rqV{1TEfm%!y`Q(w<0s&gKR znZ@%5tz3sgxYymoum1)B0RR7Z0bG;MYZNgQ$5XApYiqUIq9;XcSy-x4v7#2zY@Cgo zoeY!IQZEtdsdyE85%nU7*Lu=I3wjasy$qe)P zzW06aWxnoW;W`r5iLhK_+GkpOp^a#Hm0mNx%~PJp{Qcssm3=_jA-XfRBkUt#L33R> zC-W}BRBdW=KcRgU0TMxwOW!nE48GJ^cvVoRE9}XVPW%Bv=)dQp% zt0)Je(pr_IzMbTM#xAYGFk>sdw2}S6@CY}lbXr;GXPnaKH?}6JC+tH35_!BNMWDGe zZQta!8STILG%<3J;Gv4-R4K`25@Lt$w3Wz>Z%EDOd}fS>uP={l&-Re$T}2wZ)Xgr} zFLrhl^`lZltW`D=>8<9X_3`DezQe>tJ5RzJhKE|gsm|hqVvMnCRU$PF`Dk`wcqcm( zG#D|in_od%^XGXX-0BoT9h4e_uFCKyE| zy4cI%?f+2;srBaQ`0`#Nuh5+_kTE%k?c~D*#N@zm-YT;{SFdmM5Hw$GG7Jf`&P<)^eriy`z`!aH!NKf54$+Tu4KwF&Ru1-L{48u_60TOZreqwP++=J# ztn9q39Bgd-WNK#4ZdN8{WGY4`He?)RYM;q8&5X&UO|4u$%+CHGeE0wZ)86}g0DFM? zXPiIyXY>F+)q~B%YIvBOl7i}&K@Ta2SOw1z_KQNGBa=RYgNu+wS5-~F&0l9gAW;WL zSCx{A!WPs^q9~=4mQsO&E{eb^LR@{>7**i-Q9HEmDgWH%BO)l6(ca$fbK}eUd+fB* zD!n)XCR}d`zfjiICpnCBOi)ulxjS2DQ#}ITaf~H4jE}=9ghkAchxt8Z_&2q4EbyB= zM-$Dru`!qi7W2{E5*@`^gU?BCcE%GTnBX|*YhEe0}^;$?*}LQSXju_?k{21tim z@$KuZ9VJ%z1}T1egN7yDZ;AIOQ0>9mDTt_UPYV-?IX6A<#?e(fDsJTMNKizA5DpZI zgv}On?k!Ge3U&JT(o3R{^?6um$XLSV>d6d-EDj$*9n~}Ll=Kbtd0eS| zrLkMs?%7sawJCyYoHojkISRQPJDHJIJvmDELZo68Hd$^2J0e9H3mG&>0+IS9JcNUg z-?8Ck(+hPr3VofLTEtWei_!LHQ-mn{gIw%^^iWaJZm>LpULCjaBRv!p0Bhf5NlH`` zS9^G6G7^qG(;Okf1|I-FiLa0?!88=|xLSUlgx2Tz;9yi>cHoyt%*k8>&yRaelNg?< zr1KU$`k2)ejbZGey2Y9|e*Gq@=r%m>_Iq*fB|(oe6fdkMGu_T}pa|Y*rBbkSSMFyD zPv)s>4b(Iu`Mv8p_RHk%^e;qQtL5%+Q(OX?u`Qi-Vy6Bn!gns^pVN!BwZ4sZg1;uW z1bMZMJz@&eiYwSm46`k7WBTOzpC7d5W;VE2-2{Z0@7A0r*D3kyjz5)X2hN#(gjbKen)XR)14HPR^ia<(P6HbUm6@?B+YJQ}Xp za*JZJPuaic0WOd!?EGi;Nh|Nv4r|Bw4R7I>XT_Ar5fo5&$w@P^0jQ{#pPpu?5`~9C=l9-eoZbXI#FN>Znla86j1?@W+nw?} z6U(TRbH?zVss(-MRDWmsK5Edu`Q(@dY(}lM^9c04|3GVP7}`bCSz|wnH1{~0{Zmer z6_~W<#rIHM7&+z5)t!|D-dd5$Yv|aKP=m>Wm2^m2HWW^f>_dM$0z-LaD0p&RG^Alm zWpr%3Ufv-c7pgXnb(=M7s|Y2Gz~KfzCE2@?ZxyVPrHLtNx9q0)3j_}|yz40uL8F9b zKd9#UVz4$#$wleCHbqPi`Q2JFH4`i#nXM(IG&DLa?=G)UrBXRtPQvv(0b^UAlBC(! z@(;_@DG7ZlonQBCku4Q`KD^}}LRlp|KOfPffO9K%Emp;>sb#%haJ_nz-p($QvLFLsaBWi|Er*p>2w4s~5C@7VJ z^waL5>6ikKTe~XRR<9$Il_po}(dFMY^Iu`c;q2tH@VxBFS-V^-tti0PD2t_vz{8`6 zKieWCz;aUvD1FKQ4v9KOlHx+2l@%H9^;1(JbLZ73PF<;0ZFd~j z`e!#0@<)&DM8_Vi;(E(B^`~tE5MtdI&zp2*+J@JDE+^Qdb?IM~u7`Emb^c+O& z!xT}p!Ll4~i18Zl6wc*DK<^Lw+0Bkc``iBWV_@wDEe?OW`8HMk?*X==jFzH<%Su#; zWYn-`jc3d>fh z)XTu+oC9?f2f0i*c3eg0rcL7vEQh88S>uJDbk-i07o5fY#NnPU9Y@&9!t7C+vgJo0Z6shXaM(?dGpYCDmqf#4*bgs(9xTLN=gT~r1J(@UP6ZPL0 zu^v#@c5%AblTzB{TQ`hT_vuOo9+n&Zv1Rtl^C!;DSLY+W_Vax~#br+0; z*{Kh_+1f3B+xKmXm?`e-=rXz0z`9Nbv`)|E5+CIY_&uBT&7UVDE~ ztdCj4oE)&H)$Zt~*y4DjUGW;AUu@M=NFCFU)5n?5lHSp1g=)a%pM>^lXH`$~o9=eO zh}}*if@q&$qhNXj*7L%isss_L!36_4Nts3>=S>;4+A70lfp+GHJ+F9nLQ zip(+>e>QKy^~@s7-olQyms30#hOTH}F|cR0q;5>*%^4cq^UN~vIx~^;@A-U*Q9qoF z)wob%w|#N(D7Cm`NNxh#Gx4V8|;2rh{_g`&72>9I0XHI>5hjH|MdhqhtS0^J3 zUzLdjXHGk$ej8LFHxV`%M2wRprvw>4EP2E8rJDFn{<7(dv#;yF>}}{wZO&$297cTp zQkIOY`Rl%E3Z?_B1ZOFqbh8Fcxc}hugC1d}=xZ)o-_i}M-CKy|dn$8^cB_=f%C4^j z(pAHKwT41qV2B4*{SWR+xOo&_mLUzOaw}Hb!uFzJj{x=)nO})mQ!@RfPq$AM29!mRJ6WLJhQeod&|em zvB0HXGH?;Q^k)8KmbRh(A381tswLN3;|0v@rzR-Kf{Q(UL}dLGcO&8N%Vv>VgCbQl zDVw&ZRW@#!@0}&(mRKgQq7cT2ZOjvu=WW|Vo(&Py`(jAe;vE>N3Yqw#8&tm=a6Cl%i8O1=LCsjy-@^)?JZxI*Ko|PO z@~&Mv=i<|N0|=v^5u*WEewF z5QQ|j@Jp-BW1SfYQob-q=E49(ujq^)gu*KCO3L3VEhE*PIvv(o zq(Bcuzkj7@zpC_yN*wCJh7Q@s&$z5^ZeEOi8Nf+}OXas};jNV>HHcxh0Yo}h5y6J= z=(ZkWF&pZM%(T^2tYT%)8bMUezc_Bg9ZryfeG&D>Y@P6J*#yly^4XoLihLUw-7D*+ zOMyItOH0(fSsxVi+ilyQ-0{FYN(JHt1Lciht0H%-Vu|6mE?HALcDmQtpJ2zlXQHS= zQq_g*kbJoOe>;X&Jw&bV=uxysP!j4oa4c#d=AV(IqrF)jk&vfM%>5vOu7tn&*@eh{ zYjQ%7SafAE6o+e|$<%JT)_7aL6(9$*<-KrC2ttez*&*%JVYA}x?!>&#?|!>G&GNZ@ zSb5A>Z20~~ltU4(pA5&vi0v1k> zbSe*U`!s|uFYA>=uP@G>WZ*_=iRGNVg*7%HKGb4*2;oZNj3A1>-M^nVbZ0iAwjcv* zn{b2+!?=|ArXC7kqI^^_6x2psUYRsCa;6rKZfxh4gmfTqUl1US4K^5|O4ruF6N;G4 zRw+?Fv1it|A}YYt&juOZy9@&l?g&FZZy~;PSu^n|6z?g3&_RhSji&q zdzI|frAY@9`=RQTg!H}JMjmqeSv#sn!BZmUkOe1&Kk(92Z(P?*LcytYd4EyjZ8<67 zrRtITJB_f}86z}S?`I)8jV>V*bcZB=Du)_d**~Uf;^li$-z8OF;+ZwCE@RI%k`~|7 zegt{`Tsp@>oH3q224c@dg)=7C8Y>}<9XYO%`k1ZTweQqz6{ZI8^T9vVu}(=uNbC=< zi{6jXi9BeX#L8(ahowH@%jnV4Pp6yBU&RCuUg9(REBQ31;n&ZnXj{C#@J=R!M5=iB zf4%^VDy$Zr5q@gk`t^)_-&%1Hq!?`1qvV9%m*<&1?*O1`A^mbO`RO>CFcbn1K+>N7 z#Z@(1U+VZOUM(aJb0!k$$nIf}ZFv$sF(!|DB}Y#QD%aPf)q6w$Zo&wD_GmqrfY{>r zi!&c3O6d}Ryq@EU~ctzl$<$4+6a+WuY z3n}}GQGkN_g|30$6Sdqh^i+t;0gNq9*^|%YsI^l3NW#AgmeqkKjSbGXkscgr#KQG*r{6oKAkQ4G4h+1ykKque3ys&8` z{o7QZaws^zvDLl{+{R%@a<|B|^Txgma7XyU64WhNJQq%DI>p6q{MzjZ$t2GngIxr= z*sqXiA^dtu)MhMhr7olz3-B+8n@nv^1}2~-UxI!6#hD9gR`j;iS+#m5O^1n&!`m;| z^H?`>X8`nPeucH!d9|xsUHsznLfaH!tV4Jz0I<;Lomq zTa4O|JfKq{F=#I}y0WvC=kRp1%)B3oxQ;q%3>fCrKt#RRH5d^k?f9bGv7|!!jZ8f- z6&zPW4R8(|yyfk?g>ng6c*1cEeb@tU{e(rCv=RSr4dh8_eA z06x*N#`tPSH30S8a9L+Z8L}zXHgQj9m;pK**uLe-rVRBMM%0(_CmES9z|a)T@$U`n zH~@Vnn;kVJVzV=|#Tpz-nG0lo&Q>1#)9G^PqQIH+e2Aqdw-*Iz6nJk+|1lo zzc9Jq$&kjGS}34jJN~CJzcI*GRT8|8p*L|oj?m}TffOjX#BaVRUA+=ho#5e7@KQXb zc%jd7ZY~6shR7R$;oU!S!|<{hs2O6#hZ#kM7n7XJFlcQ7C>2~D#5*8%u8t-IxjtItL^+5<(K%PR0NbfMum!0*ww zy7zC7b|e&6>ahm8ext91jZ;`#_g`xDJ)3@g0bj|E3`a=a-4*W2q0CmsV_*&+f})T? zx6QlBZS=VUI1y2|R7o-+e4K6N10nE1vj9o(F6(B6*} z5iYGgK_qK_+T(Tijzk7qy+d!8TKo_`-ByM!R;sIAbM9~!&sHVg|>?Y?TfDzqx-t?`t18)M;Z;U~e zSZ5>#@X@Ly;5X@~WU*#6O9=_p=m}ghobpyeZ(hYG_zWDY_Xftv!s0~uz!weXadUc{ zm>5J|Y1BZoSQL>>;%E3RWaRa#GsB87DcZ!yI1J(xTH)#3x7)ho#qconz^V=6_y8zB zLAK$gE3ya9)0eMa;|opJ1ozgDU^G;r*^@t6bhv|h)#sDF&6Z;TI#{TLFEWQY8|4=L zv7cv7c{UXXaWawpApC&0a12$^`=d)>IZ8)f33^E2f&(uEiij6oDa!uW>`oTE=nFXK z^_#8EF9({G+Zxi}%g-WK?{$^+ucA=#7KT242r}F zuyokKh%W7L)`SAb8XMMuj_$pEipe?Kb0;0ND$kfWT@-dw1zkgZse&}uPS5)Y4Ga`3 zUoP*b=hP%zXP9Q!gG&bH&amaBCN@B1j4Ut%6dq*xG$XjN^b;GFx@vR=t8)<%>gQ%E zroEe=qEY3ANq;4=afYCl`*{d1M!{cl;VXrjNBYx*r#$GpYM2n^ z=sTu1yRQWlH84Cjome5Sh8 z^5E3e%JF*o?ta!utRaRC#B-&Hn8R;fJrGn~L$~aIBK!{NSrV$hYK|;-ksZeE?;kxr zF%%4iodZ_k3hM%$h==0jQGckG2eYD&fl8o9A&#v#s29V2l7a5C_D%!z1}#ySb`?wq zt4>yPC_c`!IKa;sCX^KEh!18y%BhJsWvC-9mp2mUE&Sp+c!;hQJ411C#4%M4*o#83 zd{mHwReh%a%ECNUl4vbIO1#$+*5134nZ|m)-jtXE+(uP>{51D`W&5cUI|Y%UG(AT3 z(KIcW^znvJwY*V*l{}k`S|&QY_U{^}Gjxym z0Z{c@-Ge}>yZ-^BhKy^Rh# z6A|PL#UAnqs*W*#AFoaiTjOc08SN5d)EI~AvmXx=J9_8MIxeTK&0y4S+(mhdnZXQi zi&_a)^xR)pi%;k@ThN3Ip%G#4NBV9&-#=^EIij5Khw{lafC~rZh$wMkS3|jx71Rc$SZ|;d||(qL@Wyhl7V6d&$f}3gleCz4CX6952MbM zUBpL26NTcWZq9OgO^cINyukfET0D+y#|#XI=P` zr|Zbdrr=Q^jV8>L_E;Dx+^|wOc!abkm=6+B$Oa zo=%8J7liU&Zf;_fo<4X!@XJFngLw6oMfA=_Qq>26lMT7o+~_W)S6}Fsh1Gk6A)7`V zn`4_yu@9B8Fck#6+UiR88}%2w67g@kTiFIrlQC>@JyKUEbsGQHejCDIKdMgOx6N(# ztCiUux2oI$SJD(?!OM$`LhXu-dB_3mG%tsj!7i7S2&l#PliYVQ@p>u+At90{UxQ5Z8(HmO6SP^i;>pZB=~&c6x5 zj+pc!25PAIvo>%~zI|8EY|0@1vH2O@1THV?r{!aj;widKm`qpb{m0hOb4a~9p~p*` z;OY$cvfV4#eUUl#|YCtHt) z`#mS%Va&0ss`4#A%T+uYAeL`e?Z!uJ?_0JIVPrBk!U7ZL)pdUsCZc($4hwwX{eF`ODZ{V+T4s=4D@m~jVY`V>JHt`*y- zY*Z5s!m3QnL#%#8L2{a=>p>CS!3x#88Jc1Ke;#FGQGqBImbg2068i!kHCvIu$DSho zUQqm35>G9N6z=M(p}w8u#_(SgOicD$Za41b!-xZqSDuMb`_70=%S|YV_H;d?*dAI~ zRf#7JT9$JhLb9XiH|Bqk`}aEu8kyOLV82|5>#(bbVw~8{$40%Ztm+K}1E}}n+e5vK z@Cl7XDZ4^p({Ueo`Nw1%?y-9Df{0t^m%n346@wWTan#8)(HXzOyjnN&v-M zoFVI9+^kyYaC8$>b|kByi>&gSVbZ(K9wJ|coW2c6>ceh1F`alLjD%n(WO%+qAvOTj zD+L~HCCx*Z7A1trz3$A`NzMf~cdXxK zXMT|xHe6jQ3^52zQm0rwnr2kHMpbWn0Q@bm{p?`NK$L1 zU!yhFiZj9tBA;+tkGU8pDEOVPy-TY8YP;Oc7SYJ#vAwPctc3EI*UVP_oy-Tj#_y0SvGb$_EpZ^8+ zU&j7hWBGY>~BW%?Hg)uk<~=%5oon+h2QE3P2I}_4{daL= z{O5i`W8*!eL&f|E<+;Y5E56K33$}vSRsoxI>!=OfaB}3pRWyVdmO{#No&1R`Q1Do!0dBH4%?H9_PnkFPok2o8{vH-4-Sy|P0t!Lox8G*h zZrbiF#LkyRfmv(&)$WqFD-KcHIipjQS?c=97JEQ5=(h?$49K4o7_Egx$nmelUOJ%5@igvpjOQiCZ4L-zPs<;&0+ftsI-d%rsBfcj&~oh_ww+`ug@2g zkFIG9gy-rT6#j>_YS)Ay&dEBjJ$d9oujj&nE!_Zu^xLq)$!krJXCkJkD4K?ydaqxP zhxB4SZZ_gZ^|NZpp&-{AudR($$w4CFymfN9HFh7uz}3{HzfilinRv)y=0ynkVi$5eatJM>o`iORB;9{VkAMC_hfmQKi5}Mh0vhGUYxH27$K4rYs$e- zzSp|pIgk0H>!V;ZeN*n}2)hZVy#|tLqFFG16+<74BGpd!v3hLz9R=oE{o~04uhGux zS`d1lrYui4VB9APRx4x-Qy>0JYSCp&+NV34jPv{9!qq3<|v0$(GBL4+xH2?aN^M&#-IqYP%negEl#7_iJy>dKEy`K=Wo^Q@=lxx46_{|K=0qYuPT>OCbG@ zyU|R6zlA+4fl7f_KruL+(@2x*vng1DWQpLQ;0f@*Y?Fhx!CHcYAe8=5{w)Lr1*1TJJd=v!6u;Pq!+K{GA~JUs zm2UXImH!AIlX-tV(?=d)|FYFU`6n-Y4$^FyWQa(l}<} zSCf#mezu6_O1_4eF&Sy<}@_fLs}2Hxl736WRkr{3_=nKaf~Ay+#v($MOq6kZwgC zk4F%cMXZuSEHT!3L}fW}U+0J_L-04!DXFfIA7nX*J0nF|0WA00~?myjfgZmKda(Kp!@(AO-q}mRbcwVcq=8^+Z*XaQ%6aa$_cne1J)P z{aVwD-6|3I3vIAZpD9((9#zji8t)Bt+yl*q8Dz+edf~0)!cVAC8XR7>Wp;m7mqeO= z%hUxWQfM?8)eG!^p&>BX)0@m;=8o-ap|_?Mi>$$3Y5kl2xx6LR!Ulx1ub0kSsjg5* zya~JH-cvXmEz+mG@&0OCItIxaV&8y(*M`n)8`tpJ;04j&NQ1#2fU`G{sC~(? z!Sp~;^{tQ7N7A>g9HE`?OvUDZGz`y~KVP6w19^&DAR3Ba)r4L&R@Q`a^de&h5qNjV zu~PsPUoGDa)Zqjyw6oH$+d_ zMdarN5HtY&sSN@n+M`n-oJHH6aM8WT9HM?z5Eg|$W+U`@e6O~>*6$n!wrGQB=ku@j z@2TysWfq{H5=_^5`PK0W!@N?9t3RKD(DNvYXhNLFs&5!`*C?;58_t>ZEg#Na$h%ck zu$-B9mloPShYZ;p^xnvu1-a$~bqo?3B*Brgr(Ozt2V*r%?dp>(3Nn}W`Dg?-Kz{5d ziN^ex2Saei32_nb8i4{^-sD27|1b-gHqz5moN;S$GmO5W#|+_MZBL%rc0c2oBsA5G zI#8$p|F%v{k~Y{6vnW<*(b?oS0}n#-3fKIr0O9GW#T^= z>HNz%3%N)ze~B`ml?q+c#@)`E=h?K0S~m9yF=rao#(0dmvkjflIXO|2RK6#|CCHfh zZBmX*$7Nk%@R7gvIOTB@Td${V0 z#%n@f8t5srw0ZM-tvyP$%!xPnETh%xF>ILo`u^oK5QVDOKq#Q2@4)Hwd9&gTeEaC` z>BeHW?u4JY;$EJfsXfj_pwdJTqDP0*=x`lC<}JK-WWyI$wvQ zL^L9Btry}+Q_SmSc6bUjtOm1F&rtH5WT-)gpA9CayIF$FzbNGDBk24?LPe@#ng&5{ zVHzunZuH~cXC9=f64*rMPb(r^A)66g75qWcVQrT)c$0g&rYx=INT)BbTmafS!Fh=x zaurO*wOP_{vk^^-Fz@T#*1pVEp+EgTf2nu z(T`9h_q#De26?}y(^GT{NISpvx+VeAkfpe!JbPyc+O?jIe4I2XDKrdHjqZmm+Z9OH zQNoNMq7`n~xo*lbyh6-Ps|Z`Q1khEI@GDd-ck9|7nc*j|_SrBap^@BreITCIk2-Do z?n+2qaM)~A7pRz&nLmhScGn`RR5)yE)raCjX@Bz+cgb=f6O+;yXV8!VuElh<$heH; zQ#T^Z#65lg7m@qo>+s+#7NgXq3ZGDDoSs@TZ%Sqp)FtRCp1Blg`2HiBZE;Hf4{_Ehy+qPA< z9>kp>0WV%z%FErdW1H%8mKmyftxn!*yTx3?)~BX3_Y~oLS)zYgnYkyI5~bR_;TfU(^`b zhXr+yOx{SVDcBH)cCdB!G*p?|v@4FUP=CXN7oie)gwo18o&E>v< z`_&N}9R);mk9qb-zr5Cpe9pO}O&Q-N*FQBtxTz_3GpB>)Yn|PMp@0WWB z?uGW?)}V*24BOtZGV1kP+2?QrSk8X)k8YX(609_s*&t`>CA!e?SIKm8PQ0>eFQ$v9 zDSh+^D1ykvc{(B6Q?CG2x_C4Vqc{C>m}l0+2Kt&D(e`>NP?D`X8V_U{>SYqo^CPH& zx_5?3g-~T7&LiF`)YJh(Lw1(A{HUqg{t6eg*aGW83HI66r;uQfVDBGRsY#xiOCPRE zJ#W*;+3a?XosaW6u&;y+Vr&BC@ur7wM|iE*_m4!hcsaiI;^wPg-uMk+*m-KyzGUSi zjKu7hmvP36rC&A1|)A;;CxO1MZD zos0xyR@FomD$h`%YIl_GWDEJ~K=3BLb?NSX6Xu_aQz@+n+#-_=*lvPOhte9h*#6`vl>hV7?G2B6~B$IP{r-N%X0oQ3|;mZuAw9+dfN-ATMsbv2*Doe ztEYh9GQ)nj(JaC@F4kjE?0!JNi1|`l21PsdW zas@5nx(AG|TnBpP=k0b3a+~7;WmWM)Ux&L(K4@hbo#dHYd(4UM+7TX^2rOisWtCbN%z{Bbrlz^eKfwdT17!4 z?JJ-Tj>6So^TKVTe|ebOx`9sh8m%>7oA-uIUU&m=DH|KJz1lXCOnqL-_%CL^ORH=* zsNfLC=(~W;Q^c|?{D7EAW)v~4Jhb<3psiI@986tZwN(6_FFhR-K1#4oWwUqPL_G}} z+%VH}Y0xA#sXg>?;rv5yEh5BRG%weTaza-usD*;#VxTQsR9&K#Pzp zK==PBz5G{)`L7Q1R|V_8V%1;ez5gnD|DP#)UcGbk=|V{QG4)L(- zp;X26>9cs@EoUcBzCdrVlWw@Hv-dxb5Xzg++?es}cos73Z}bC1RsCiLkgt4;9(R~Q zo#sx77FWJIO*wEaF+(Q?^w)9PIa+=nG}_g4Z2Rbox;H;M(awT5z#s4#1@Y&KB;uzco-v_7Rga77VP)jK<$CUAmp4S zjo_su;#0WW%*E$l1$OR;8|7GI#U4!r(T=l=Sl$MlJ%0b(?`_}Q+4 zsIO6bvS9hK_3Kmb1FvZe6l%*Pc0PtIv)MIj-gg=qr4NnH3V5R3<&c z@I>)C-*`+KdR<_wc$if8rIyDe<+WMEWkl!Ac#aiG%gXWCck9yY zracFBnS(FMA9fhhAp`}*4$r+i&nPRzUeC)Nf%^97PlfygET)&+>0FJ@fk>A39SZgA z$@)*5jc36JrNj9qn;aJ5HWUSqT$2(JVXr%-!x_pv_sNN@3+2PPB8$n&EKGuVaCL^B z?%G%F9m`n}kA_g6BVj59OES-Q|8JC}&4K5=htzDYa9`OB9w_u!+~a8zIMA2jIQ`=X zq|g1g=lwOrcB!1x%?($3_kxh@Wxr%%OhrP{4(o#V;<4BCc8IH@Hxz(1k~Qq`vq&N7 zc5wgFD)TL9BWR3g%(WLjzgWe-R_`VLQ3-CdQ@h;VKsj&kta&@KXwspzr249t>r4+f zZ-X%hM`y;pW%e~dk`hqkdcNFX@-QL%bgyKoQLUtSkpSN3`suIPCIs&M(5ro7!B_Oq|SsItT9vURx3rBQM3{4#n2L+$6LEk)7 z8NlR0Ba0_Uo-z1&QU>#nw>GsboOk&6>|pPcV<~sF+5*X%;6hodN}K9hh+j3_`JV#s zRH=aI=Ja}Kyn&TpLRn}!MF$IG8*mdYt zosW_a^E;nk%4BTS-bMf9^^dEDml%+i2z6&l7l!DlL3GvL&W^=n8c17&!!HFDShc4- zGl%R4krLN(!shrgck9VC0HwvD zVB11zwk>{=IWiL1>~-5Qqz5furPNF^`6gx%P;KtX4go?YAQH}pxe}ztJ<#RoXeq$0 zCZH)^!N_l2(CK+7?5cxnND_?6G5E+tnDQjR1&6Y7r%@ zk8@CTxKCgl`BGqj3^OBd6;jZx4*BH^Eh1&FX#aF*$rQRBFePmM6i;8?CQh&)=Acj) zv50iRM5lj4r#DDJr+@#r7y?%yA%F%P*X>WOHDLoOml5W{I?u5~HB+%2mIz^D9+3Y? zJ)#eqC}hFi>O7o@um}0z6wba~MP{lEnx8OrGi*RCZLxAsgis7m^@b~Tlf!pUiY{&s zWAzdMk9o2OXiYhZ6FRGlmL;ChqFDPR6(l!A*jNj~SdICJFXKa}PEIk9Bdcyg_QjSL z`WH0X(P}fjBjZPmQmquD5iWZlF$PH$f-tQnf0i#ByhEpVuYYs&??7b&TKOvi?Jgt` zNCN`b;zb`DALT!8Gy37u*E0`O&R>ew@zYO4D19-BVo-D75AjKf=FaILRMkAP;>E5~ z3Y(*_EXC2X;JXo{LZ@Hy(pyNK++UmQpEmF%JQXCg==oF)a1=7rh@t+BuCn<@Y(wb!YtWmp1JZdFq5 zE^z^0$lbR3{3SPuSr8Wj9Kh$hC#Us6p~_e4YL=3=OsA~VkDVn^BOkvV;f+-FlvZqM zHpfxq_tR7rsib3;hQK%50!x{*UIZf@1nzw&{ED^!@8bH9$G|j&X)fhTsDyiQYOY>MF1R~~mJwPp)xJ5e zI!6|a?FxTvoQzXM&tIQX((b%f^?O9bg8-NfP^~#k(NV>hxb;{tPmOKIG+Y(4TzQS4 zRx`CnAp0K*p9dJ4TRG1@swuE?DhYXr%tgCdJ3y7yKr3pR-185YzIthF?T)dvFz{OQk94~)a7uw3nQ{qx};F(AjwipHH8@1`S`5p&oCjh zXy8=jGW=K(pJ}U9GP%idk~gu3+e|yasLh^8GfN3LFgL1D87|%E(fF&-?sWR*5S8}P zrHZ)L!GcJ@A`S=^E|XqXAcr3b7j9$GCSAEA4oJ8*cOFN-MIA&Z_v8wV&k9nEbcDn^~_x&iE_>xX_-y2hH+@*yC5V3Zp zcQ?qGEq;nY8OLqfn<43O-gXOIP@HMNvoWh#8M%k(lWGmMRLB&E<2tSH$J5UA*znZjqE&l0qV2V4U;B&sLbHr1vAn^;O zFKP3ve31M);Rwo-+`>(8#|Sm(rqDy(@togHscCpAViIN@v%q_W)6ysQV!>I6+O+k=1weJWHTTAm-(; zL+45JkZECs-~cnX?2s0q51W+H_662G%MU1 zIwRbjF*x~DsTdl82Bzu0c{*Qp8yz0jOp?l2qY$t-WShXi*uwoMpn{ng*icjVtUbQGXwy>{ zFha{QVSl6;csSg<81r~6D%?j&0Yg-+m)tqwmAnz~dDcH$QQOA0j`LvtU~;Cy<^yqQ zpzB|VGtUPL$UR0s2bbd?G9BSiVEd}swu(l?a+^B8uD6VhyWA&h52kjM!VIP%A*tt$T^Ee=Qe zI|e?a<*x<*HMebiK`f$U9^!9z1zaW^BC&y`(I0`Di0ygeg$%Cz4K?&%Q1kY{PyvIc zq*Q1nk1EM`1&)`s9YWe0)O? zY#wjK&c{1x&J_RbVt@A7h;oo2>h%$U5 z4Few zG1l^h6Wk@F+m*mt&uO*JdJw{Yz>;0a_&5gkuT$2mydP9zTjyC2>SCLHp|6AP;)uhmPdb=HGW zZOxd#(Le2I_(F4?tXEkVRN@GnXF;feZT5v8gc?FWV+ulzY(TOo2sHt9lAjqTudEs9 zx#<=n6@5XdX{;dJ84C!3Mq#@?cWbVJ5PPi_TCI!+q3mD?{@@S(WDh>d5(vImD9|{s zQ06ruII+bCl$6Q!Q&ut)-vfWjO1Bn&$LO@yqR?sk{ogd9v95k&hD@%X4Ap-R#no@U zAh6%4t~$KXD@4+3_&fn6g2I8%>n&ZD`SM)-h!|y>7o{h;DW#BsQwlyre8?R2{mx~&?jU3x1B(uqGcjjm)6^f z?U&22?k-Tg6JGL%BSmLQ>bj!*Hlyn=W}=xK6-?KPM~)BLQwv@=6e8&bqLT<_(}oQb z>eg)DqCK7j`~_2QVVSK#%vtgr-;tye*mOY^K$HP#S9|);lQ~}C!ou4^R1BhaRTLDn zo3{R73jPDAOZSBPy7Wot-MLR6_;;Y*P^_j^bgI+-ACu@1Mjz}DI5qwUQ@zIUmu;PT z!9VQDKd_3bH~ntJ$6EYvIsyM}Sz#>F3Q@Wm-WA2*T%>dhQ6dZH`Ir}1|9y25RYTP7 z#B5wt`bLe1=Yr~(ZE!8W^+4oWep}?Rj;`gmUJ!Np9Tll8EO13yv}y#bfAHPa24;Y3 zhyou(SP-=K_o*HpKh>%6Q;pxxjuRk*U!IMV z)m`GJ`l0x#z9)XF@dpaxg!SF=Q{6g#svE>lb^Z9Mt`|Sm_?_1{F{EnzR9A_g>YL-I z8ovn{C#=iIPjyoKR42wyb-DPdE*d}8SI19vk@%@D96!~U#7}je_^G})eyVfFPxT47 zP#CB1^l$uBACI5vWARhHCw{8`jGyY=@l(AkeyV?tpK83e9w(Q-q{8mRkBs)~AtG2;(L;SDsE&Q#B*+C%L_7j6~TKx=#jt&AuIaDP6yhAi;iazp*Q zfe^dkr9Yk#+g(W=BG+9>y&&pc$?DLyx<9VhaNTOs3w%hNu($lKf^=)V9u4ig2~;El zq+?kFYP!P^7$C6mEGo#t#^a>wd=Fdlr#4)!ha(s@Y;_?ILwq1*JZ#~Y53!~X?MDby z#065u!&V@uS?KO)6~wdPfs5)U=Vrl~boVo{`0zQBTq5}j0$GAXn|aqePdYd-T5O3* zQDVD~K2dok3(gZV5Zir2OKkT+B1s*!fY^>xhkBwO&ojPrj*70YAnN2rvE7GN)LAX_ z8y8|b5}epx15t>ba8a3<@d8@6`(US)j1Ll8$@n0lmCSiEd1Y!PlT~-XhD5tACnNP) z0da7&jr-HKxo5?y$4_UK%LlXgI^3xYUx%Zije6(KHBh*;$=J}QTSGdIuZ>L^9>J)p z&T7G#yx)6P{5JTZaxlmRz#CG4%65D-{5Zir_MBNX{f^%zG8}*hcvE4Vc z#C9JflGITPi0xv9tY6w!OsiiO8BT@%%2uFcHXyad`TZFLEbTEecN+Tqr_R$^yt z&vZZkzaVtQe;Ut>j|z^FYlWi+^QZ-J45U%pjQaDjS@`wPbE;n$dR5Yg<-bpaQL&7p z>Zol-U3HyjHKLmP|7#9al!X)5en2k>oI_C{Hmr12)P_Jq4-i@Si_@<0&|!~P8`KX( z`Tv)HoC#i?i8jszch5u{gcTxi?)cz^G9J_q;uT&a@TLE_hST-MYh7|C+PF|+`-OrP zjR%h8xib%*iSalSZCoh+LH&r`KQ0ukE)@T`P{!j-^y5slaVB_0ZQw}Ke6I6Zod3uD z2l0w{6_0%_@rrmwymCcr*Zrsq#Xs~OX5sa#sE<;dX9cm1xlRVxe6AO`);@Z{nP}rY zgFOq)Jrn4ji8itjk}OmeThH|%kXrf56K$$AC?Gj`iyr?wtQ!#kqJb#WQ$rxI|SpJLUXPM)Cj-K;|CS>W+ zGHlIlg?=kPnvB0zR^_~Z#EW6EG;hueup`m&G4Q!}7tW^nCE(+h8JyFdW16$zmz;DN z(|q|KcTP6e8^+!(;~iy#J>s*lM=p0Q#v<_exUMJ7gl~7bG-qRjd~Fp3MoDC?vDoFa zxtkP#ca$;M3%(J20vm&b7FaSfJTj*Fi$^nK`*K*d@MyJuIsDy#-V4Tl06mx^WlXcL zr>$)47DtWHW17GF5ZmgJVZK_L0GwL($ULWCn4{a1W-j&g)Lo zJnEWF6Nc0^ItGIbk4$b`xwJ4FD{5OPbJEOyfIN!H92-C_hp|`SD-T#MWlVGFVe6BV z13wue%4H5?PeAi}ErUIE)GJdLn$u-WbAAl=e3ZvqYq`&J;a|R7_L%1LK6~Eq*>k|- z-KP0-4E9X%*)zd|4`Z3r{H9Oy$Sl%4!l(JI(`shyGn<)mlnwTz2eCBs6;IqS*d}O8 zCdYU5afebMG|Hr>c}Au*{{{c3aXQWOGo|_E7;L`8r}=U4CYhq4u{Q>ruga9>&OXiW z_(rgoPjf6Ic!duQzvhQBrTLl|G`Gu?<|95d{Cs{tQ<|^z+3eRm_8ik(E(V*Q$b`)X z`_L0<(@bp%X|Ei*`qltzJu%8)13c>}#;ST|5XScY?T-A6U7OcCUsQ!&=<&y3{uSDT zcH~E2wfuC`L2s>{&U9(7E`9`QT{B~EdFCC{EbCe687!}_7uc#>Pi~meFc*_$&u~w_ z7;Egaa56~idQ9`wGlnb2U>)EeAl)*B?BT&&Y|CJ~Ju|FnUgVQG?vp`VQ3t-G9;XK~ z$6&o|8KFU43oIcJSS5 ztAuZKPC{?>))_nLSz|MHsZaB9&$`86A9&Wirn%AMDF#dQ=rPUKo*aV}@bn92>KN8G z`8+;on$0}EY?`NE`!TWh1Cs>i!hVZhuu zcY1P6bB+)BY92kN*~*gxqwGN*_IfcOUlsgIx5qSZf!RvWVeIs)T*i99c!5djBA>Yx zb$(A|GuRRj&ZZgm%&E$=!!&1@Az zTUYqn+8aY#{+0P39t?w4-Isczgu%9FM=YFiH&}{?hiT@kzIpHgUoHQ-rHHSsO}<+G zwr2U-YUZouZ>yrOt>wO2{KZZhZW$r}*^rv9C*@A7UH}LN%YS)*4TY zVyrC0meaMK>eKUZ46PUP)#~m;%)c_<;j6XHSL-bwfBN`p4e-_Sx3$?<>n~p|e_Idx zYCYwvoHC4$;q4^#`;|5*38%@-@fTq-~M8srx!uHtpungleIQJalqTJHO?}? zUO(@XRp1?KF?Pzw@Cr76P}I`=2AsL^$?mCzvThlJA))kI2FvZ49Zd6A-%8KBlVWT< z*rV%#-xBex7#UlY&n;t`hdnqO?3f4D*jm5W!xqzgZK!)^$JiZ@yLWbs&3)?hGADf= zSIoEOk7bAW1FR1*Ax73*S>C7T^t(vL=GZ!<9--8QylK|6(ZDi`8G#MKm zLoM&_&tUaIse9bvvV-&qY!hX_3^pBP>`c2C6Xi#E$6hdo_b+6o zl{3Qr=;&GJ({mljxNW{iDuH)P58j(V87#mY)0CQJj=`SCQ#)C;TKnvo1%1cl*we$- zin*3%uZ4^)#zH$;nrnJ$IWmrBuZ(GiK!>}hEo`k$t#>?{;m(mKhq0%9wKjQVOw(_3 zN07mGu(H)t{81S_hcT3~l?xez9h4vKT8y>!$S~H_CxbTYnoV=FN5)`7e0se0n5N&J zJsv%PhEGogka4%($zu;=hdnZkwe{#R0{Z2(2ktoAj6$<4Zb&ac3oyrE$W{C#b4>Gy z?`mOlk4(^AavXPE58RpnhP!iqx7(3z8SGonx`nYWK0Pmba!m7k-z+0hm6}bnji;8u zPI_`o6aM7KWns`2p<2FLUwCRUc8y0S8=K(S!@@esBLiy*4;qZE@X7eEuB`ObGR=X$ zx!a4O!Df0io94$p4Dp_?K3=AIwK++`edJkP zFa}Ei*La!cHJ)Dh^?+L6oPtld=sjgj#stY9#%lXy;EzC6vV6yT?cFp}Ju5VW_4in4 zn&W*knbBjg8)3cS?uCCHHQuw1f*rKyYBOV1e5p?(flKn%CNGVNbBFYz*fnnJ~vRSHnlWr;ObWBZx`XGT6f) zr^`4a?!55XG;wBV9b=sYCT^T z*^|R(&&e3<>Fcwnd=}Xwdnredse3M1V)?~%ST*4%DFe?i*#7Rw;29=I#`^5!z|}b) z>t<(>W_dpa+q7(6nnjxBy%ILV z8|+q}7p$-&t?a46J^>lMmTA84+vCfYWi7_~0~~rS#zxxsNg0E+_pQ}m^~8CD&9z&{ z7Ocn?_FR9?#-8zLcGmo|t!(T~&srkQeBFbg!SeW4mH6EOY#9@_4p(k{>x8l%d>Gs2 zvB#?KMg#Yr?i>Kslk+-MbjzS7J;z|)C&A$E5~N(|5*jMeUY(*Ru;?tTQlE zCadocd&Ud)@4i~CeYKu~T5dg$`Y?P;U~5TPZQ+{MZDC$dj-^DGab{`EMLk+Wpd536 zy>O=2V(d!Db>|H5?2=%$4C@VD#xz@at`-_Bm%VF~t;3Uzz*W8PrrE^TRw5XwYleFz zK1S8^$#n6^m}XJW-hnY(&$~58Jhd3h`(t)nwx=bAoLC)Psh>hIzPZil=Aw)(v*lzSiVup5$2-m?nNR$ZAm{FF)bC zH5Lbb_sy@x1)`l$#x!HOGSJ(%9s~NQWa+{06Ui*Ab@>V3t(;Ra*b~c@fyaIJ`0uFV zw~(X-(d^k8gFUfa8EEgbXR6)0GlD&R$QQP`DrGXePm#}ON}Nseb>D7cu+J|fHcso_ z9LD4`oQ~#FS!A>SD#SZkWV3u0)Umm87TN5-zJcovY{$Vc7-uY(GVt`iCju~b#AjiC zk7j7Z-dj2S!WqQfdggY}{;MmO`83DUFZ@25-cy4$_r)>qQ{2#ZpI?miwVt_t`LFF1 z^=S_7mm5|5gvl8}`5Y|fTG6kLZv-=UZO4Dr=sTayP3^Xv*6|FJw9tvpGKVqwJT1yu zHdoCeoBh|LmSvI6^0{2c=Hx80+0Q!f_l4nkl1$+&pYL^SuHdsdbA0w+L;KW+bLRLg zpA&X$&Ywj#`>&x*{C{k-d~Vsn`ClIznd654isI8g&C$6b?P2T_n5pm+CTrE?-Gjg# zOsiOv+3TxS+$WPcJ^7qaX4@0%oqcJ5)r;5QdV)LWPkT;wBIJXZ;z7;H9akX^I`NDtSJabofm-^b8?Q5%!eO(JJux6`! zJy)>|wgy)3*l$NBpQoqUnD_ZQ>xwJZl89wv?LdK^NxN_WS?XyAE(m zielY!4T$6n0xm8&3J91bg9HhJs00PXh(05Tf*?vzR6xW8k|c?OWJJ>9Q$%uH!m#i%$i|)q+-Tgbo zz3Xn{+AT?87of(~q-zBM%5nL0)ovPR=(<&7hhNq+bR5?CU}er1hO2=6oB)UTfN86}7}gGsQBV09uykmlYW!f2G`w=PFOr zc?$^$4wm^iiM=2@b)J?`18H?xsZwa}qqGn*iT#awOIMD4c1yuyf`pG!8Y##!W6cSy z1(fZx82z(pG1;YQN*e^^O|E=y(y3wgWc9F~ga$>NJXIo#RaY3HeDU^>FZK+YUMAL1 z|H*kbhon@ABNE0D#m!(&IoQq*IBTTz10|p<)0{yizk-bHuD4y93Xo8rl9?<#;ZRdt zxtjCG!`RM^K`-B~8%Q6jA_Hd{g>a#$&**yeO}d3zRvM7yS)E3EaHv(A^wp{}%$(2o zw=KhI4=%SRhgw!H_LQ!7$Uxecqw4%J=UB4&)^g6*(+sBd`ELX*)(Kjy9kjTYv`_(9 zcWOR&4@P`ji}v`X)KMa)(K}rGNZ%T4fsywF>+`2jG&Pd*J3=|XkIQjQdMzQELXeQg zGpSw%AE1ofAoa2$v>&6;fR1S%3uD5kj$k{X)+?o>T^l>4`9t0GLsyEXba`0S`7bx4 zL7(1pdNpY;HBQ$WlVo>N*Ei`+HZ%%8HAh{B|&P-lfyfN zWvyw*q=DwH5SOP(3C6H|sJbvR=X{c*0T&x8A}kN%n&bXK^akjGcD^8W_e4cV z&V!$k^g>7=18H|x0>qh!lx~nT)ley&61;A%1+6?yI?J^fQ@T0S`1_DNwHCC?fs?06 zzY2Ul68L=5`C-nB|J9aSywiSdLwc7%Qm5DlZDT!PpC&D@w{+zkM;$~{9;VdZ#@2w$ z=Lo&+@_CB>)w-v&Td?XD&KfB#0QC{ zf0w#IIj1zY)0Dp@f#*t-^D==m%DP(SCSiXq7b^78E)0D9>WG=!PnqP>H0OmwX>((c zd3b%R!$YqGyT{Zvr1#pSgQ1ph(wkkGnUepi2=lO($GYwsA%~}A6KFT=(5ieq9y>S4ny{zp+b6X+D{Ve3T6zh^B>F0@q@n+FMdO)cFs! z*pIlPjSb!+GrT3P8|Z!NTAtLh zlg8IwYk7F1VJXpu*~S{fPU-JeMqmVMW$V-#+E^~QgAaYTw8z+OT#vhQwQc0~@Gu6lW#|*_ z=6sCS2!+=2Ujv`vjQ}qb_-q*DnGoce5afw^VI;Y>4}B+;ULUFvPECufN??sNVT3&A zbwVnGQynVqOU!&YM)l{LiBFBtI;-GO3kRQ{ph4)$UiZg9N zQpY0L@wi5sw1u-F?d0P$rTtq1pMxEe(s2%k!#wPxE~ljQ!+;ELl-PS6ts8W?JEiXj zc@7G(@9*-(%pYyGRy{7{%6Wob&xL%tN6=ygSBjQzg(E)p=Yw1-Hz6H#zkgIp zO9ejEY%#S=`Q9I~le~q9-{<#Q#J#zk-5 z5rR8Kh>449cw_i&xe}4rarKtFZwaB!aS~E~cSzIOr@f9VrB8%h@G2LI_OEksrOvKF zmd`f=%}s$1w6~#%#Fft&&#Y;pH6jwNN^1*UTsaTNJ~@BJ<+!Hfu~x!cTt00Qbpo6g z%e!1j-vJLae%(9GDFhn-4rbGNlikX5ZII`Zz~}#*edwzzfd+3g)tsrFKnMlw9Gt(_ z6#D=Il5_hCE)Jvl{ik#b&Lz}yl7@sI9p1L6k+#11GKYJb1NYG|>X|>H7jG0}4?nsZf?;HX;2Y<-@xz>7 z2=($uh^^J)&5ln>Uk*9<2NyDL`wS~k-4^F}NhB1d9hFQ+tgQlB?^)0hodfn<$Fr@>67B9G5N&CUN zoSV|P;4M!|>Xj5cI?#MGAh!kN5r@!QKZNMPsq-{RSR=GD)}>%dF<+b=@`YbLLOlgy zYrW3D1xY(agnSX-W||o6{Ka7Bqk|U9xY*mu!_T{MiInc6+-s1PMT==}+m9#0H7)s+k z9S!ZxG7K8(FT1>)^FpCCrV7^i{M9se3Y~DUUfYuHe6PqgfzRrJ&#PVAPdV_FIb*fF z9*pQ6u8bhPaHtKNbbY9WKPGW0&8)8V9&ncDH(OZ`)$7_|XTRHgO4V|Hu|}*b4+*6o zY9i~0TM|u;&Ii zIEQqC8=BEWsw_#7KxWdE=798IE%Xl8sUb^ML{p<#mqse|V4C(BvD!ZL9yGOtEdm}E z!5b?U`6;Pp5pQQo>p*ju8%r5T-*j~@jVDksYB{g7kdJE>_cjxKosAr*4wdtPv>ekS ze+u&W5zc}1Opxc5L7wx1Jf}Mv>YLL$8hRita^9DmwMO`VEg`KLaJ6212Z}s|0@_eN z2zq@kAm;|;0}g3AV}mtkvS09xm$^{1Phdcf2*^$sZq5hwFt-p6S=kT!Hy zjeQyVPL1;o{-S}6>mjE_&Z}#J(v{QnLh0usBoHbS91XqkB)(B-_6eMyccH4=Zd`sO zFeQAW2S?%jl*9!T^5ncF{>s5J&nvM5WSUnffgnxV(b z1;VBt~&r&X9HJ$l3@- zN6`ZN(^@{C2)_Csa&brFN-f%vEQC7HwH#;<6IVv1makQA5<+e4JiM)U^X?$eoFUXo zLDPFeTAUyyK(WvNhP?b%v7ge=dpAMm=Uqvgvwv?Zr5%HB=uZ1cAuerxBl%t9YC1cu z;W-Y@%eotOq46`|G)@xg)41#JJ;7r7ZHvM=mXKSpC|!Bzjh?^<-9hkBJ}I3VLhTkp zfus)Aq=$MaA@SCsGG`l}>o~WK?W`toAtYP%NASiequ(D0!?rWFCj$8)|wmA0lxyiwl9K4(+iZBb<1EP&pr3H3z3A&&(Vf+%%M# zo06>1K(!$Mk-LJ7*4P&txsH8SkHw91)`$`!ZN0@F4i<|v5y6O1t$W#Hp;+GcoA|8+ z1i=E_JO@p01OltcKq$w_SI3HU+zO|FHuPedRrdN};l2RQ{BzK1r5_JKd z<6s*8&%8JV6;T=*J#Zxnty`{$m=7^_oUf63S^cLDqb1U7r1UE^j|UsR)|LFd<$^ep z43Vv!Bhnv{uFKwNACI)9>FA9}qhx~wIIQdj5hxwj5hZ&`h7r<=PNEQ8UYUS^fLPWi6GCT!9MQ^ z_E{z9bt6r~SgTG7^6cbfZqgw%`dx>jRaHTrUPj{|3iesZ#YHQ)f;_#9#;5zeXZn4l zQ#veoZ!a{r2JgL15LkpuY(?+@NX|z}xvB`Qbdpd78C`km^h)VtZj@#)Z|2642GZhg zCNzZ=oAmCRs~u=(a@SL6(p@1hZ%r1d<)Qwv8|@j)SGk$lfwWi{`#R3?X&a5l-fK3l z%R_%Kj>cc;dO(Bu6=5`fCpV77-(9NX8q9vQr%6Y+zA(+vySQ@pEygDOAlT=nK08p` znO5>p{;H9|?C07B(owFTOJi}A`D`dZv(_Y<0y3PNFxQ{+RgNa5jmgK5v%#Iq1l;Mt zWx`$btVZbT>cR&o(|kk;1fdntp`1T0=!HPFUes%ohCz|%D-=qZb6mgHTe<=%qjPw` zG*ySSgET3wd*4sA(6}nLUCtP11FkeQURRNET#JZ=h2}!XIcFb=Mj?bV*s&I?IL;}3 zN07pqDx&VevA;Y>IJ~(ezs`ZbNQjs<_F@S|Sd^NEldnc1O+9WE_WTFLQgljn&LD$cc?9mWQabuAfs7thI)(z>pkr#_LZFLK6MQ>`iOuN64& z<4W3;e#44XzB+5?L4HG8KqP1aUv1LrL5mH7rsG_VPb&aj{l(m@ebxw^Zx8l4CfMht zJ}+Z!xO_;jV<|gZn%78rYMQ3>Ti3Fp?|(VV)3-lDDxVcNzc=KR8;Fnf9~l_vq$8Jp zv2I#C#D%)aYH@al1OI>z&0B>~cxppkO)@LW{v7M3OPQqe%ba_eIa()#y0KLrC1cJL zJCfJ=>qaG@D`;|FkgN(&)2m4*5L)X+cPtiSrMer z>=k0~Wfl*b)-uzZ6wX_UmKqoPN*yZFaDsvc%0RF9O{GGkoLTXjGwn;`{5fZ|Kx&$S zgqpfWaz4r-W%j?wLsK^hX%|#d^Gl)(MH0Zh8pgS z&$Z4z!<~Wpv-9VHGOk`twcJ zVz|=-X+hr<2v&W{d3esdgmFlW~RAfEq~&`83K51axelFUu&XA~b4E15&ud0UGjE;iE|T0)-+?%Nw%a zA*cR7za~TW z5id|rNS!Z3)uHHnQh~<5-I>y#0u6d?me0h1_}#*J4=x+({t)WV0r_u09t+4F4r$U9 zLiFGqmqTfTchW(l_G!|7J(M;dRE>t_$ibS<8A_5k=J2Nw*ZPi6lOi8z zYU8>__^9qFeL(mq68YpD=i?q%wsd7JeoV7wdN8tART(gHFb#29nkg~^1r#(n`w<4( zd6G~YYAdIEO7jI9-X22fTH+Gdx{goN!Y7VY__6ntJ`-%{<)IaBL7rz-DbYQpUj!R2 z7HoK9u;FUdpjTFG=Lhw+%lWe*_9p_(rveh^CO+p%04g&b=h7hZn!*c5EOf5ZxP;2zoO4&cOF0^DTe0pi6%xpf^ zK4_&_WE)q@iWEi6s7*jZ?nRDy1(`-c^3N(hBC)q~|hn(Dy_72!@o6l~V= zKMjZUc`jI`*97R~^ru z-%EHZ64=A8JE7`=oSc@UgE2!@uFS!1DTW{U(`rFo9ALlr? z_11q8XzmWk&jNxSvuiDA)-2GBWN*EWE9X~H^THxmQLm&%QhLEjIFRNdKg0n#&P{8% zHH!2m1wE_zEfa_FiyghZg&z6B<$6R12VCF_L3fJK~`|O(>#bnQhKjLXqN*Q z`(S>%OC4HSs9z}>sLZr`xueNBzI*#$Cr?Tn1^ej!*vdI2 zjMCt)AZUHn2(1}#NJ^_Yd1%*P7uR6+?*YX!v3#1gjRc}4tOuvg6Et?J2P3bo z+>R0b)&}TUi`A%0R(p7penJCwHInm&)J>`pYS*o65!w&-1{*4+pNAIO+Ef75d{VlM zx@0v%YhXh8bB>JLfDSS@=~Gf$6$JX!d}s{=4b#*}liuQD&w2MyW-d>qI(Xq=i>>*0 zkD!iY3Z*%oY6m?y)K4UpfwG;?$KZt=tVR4H>Sj_R6}|kM<0;fKIj`kBD(5%3I*xV< zrI>4L45X`FD`hb6M%DU@Yet5tL)@FdSA~`P-tnoiCl~bZm zpm&a9jeA2I=_5h+Zv`ZNyZi&hx7KtZy(L&(1j;lEl0WOg z$T+&S);P`4H0c_rMG7sVCS4I)L~9CXwOG#Ib$oLElFMJzI(0My2{YieeFpO>E-v~W zkdry3_tU_i)ikcj*-HuN%GT(mrk*F%)Sn4?@QZ=-QXwrW5{doP3SxQCVpNck%2g$l z?m7iJ(7bqOPh#C&zv#>M8Q$#4uf?N^4R2Soi&cj^14R{)OTlLeu{o}n8K~<6pYw!| z=C72#80zj`W}t3yn$l{zxeCNqoj26oB}{tG%h-5?#8Yidaz!4hbtq#re=y-(#`E z#`|=EkJ=}ud(Q8=-{%6~9veuDh*iN0@(gDG=1Y^1?s$%aW#<2N?0$cn;*}~Php~DA ztC1LrOTpKDB*%n;(1?Ij0Tv zPs-gjLT@YgK;u^pv2WLWMh>Bl-E?Jcm&fL~8_9nxLWQwIs>(r1lK%W4`v;e+)PXkM zGIFU5PBZR5ZDsGt(k}paXu&jfT=bnsbqu<)ruPNk@V%0<#vXyWocDgn?@Hr&iT!S= zPZiG+CZOX(`|jRv>kH~71^fIgggP_C9@j#w6MC9^ItiO} zu~-$_vQ^Iv_K9mD&UAV;$xE2?NUepKKiKEWV4t`aV!mLX(?nA^5Nmo*uum^*Azl{j zbF#B)N*@gpVxQaEqjLV4<3l^v20m9gJE!y$$0w!hrg;C^Kg1qaP;4LU6W59y6YTR* zCn3GHDpoE2^H!%<&T*~C($kf2M%IdSiimD1k9)wkVDY?8inVm5Gd0fYGw7dy=}x|U4adVn>FI z9Zk+Za&gh#HNif=alSE-ej0q^jxhSPK!|Ik)=Ml(&5PR3OTNlHrgcrhe|}CL4qw)MkrMWXsyU~$hV$N>{a6ZppV9ezlOA)i4`!+= zO`X!D_XLE14h^oHzkU)p?=3V%=I;cWFM)v^;FI$=!{{#FYtsWXDNPn@0A(b8zX^2# z4vn3(=!9jI<2ueEDSd<=cYc}ka{-C#cIF83OrlUWb#|1viaa;E*n3;IgYP@2NG#zN z0XbR;=*l#4mUHevb9CU-zOKpYwW;&N;-{)9IWrw~s7S-bV@<>2)kq8#X{LqX8K*n` z)tqr(4$w$(Eg;(vH!;-okPBU?od4G$1L@dc!(|*zlfLGVls-*z*0Gm;^?|a?@%@5v zfe(E^pu>kAh<)N-OyG#;IM_Z^of@Rrro>KFx3d^c@3>H(dsT;N=Z9s!HRPs!!AK6K zIX=id+09or3H#XB_DSjclB_CWlm1R?1}x2ogtOA*j4|PwCg(?8`AmDxxKeZ=z0$SA zX@3ct(x~~+SA;lgbTnhVz7}*Jz12RCibYk^CgE#(wS=>Jwa>ZK78N0-978Q*kv|3- zYE1+>Sd~U;f^Xa$eB)VRTdZ+uXu*Dpc-8jF`O_52B7Q$uYArjOfwZXWaSZ0mogdN) zoS@g?B(n%9)>uSu>B{~SL-p4_v60w5;#j*AYtxDEYJ%OCXA#v1*+|XHL zHRp9ry2JTA?Qj)J(Q91aDy0>Lv*xCpk9B-#2Gf<9{N39+Hx0C`2EW6_)ugvOnN!+1 z%)kFjjYC)Vgq4DQswFT&b5NkE*a*$p6~vtX63Xz{s*Li)HD-GSK3@-UE!BgB>x59h z524~3vqb~V*CP@*qvfCnTjTx^N>{Lhj%oJNAYBCQ)!GwiXCbkMdK5dMr2(57DLG*1 zp=v(hTMm{P_n^TQNQp}k$tJj^qlDu7p(0kETZBo`>tI4G&3$CC8sWF65wL{vv;Q>D z7($H?oT;#JWeW}NI%{|u+Ft@u%fZ&bI~o>=H1`FXs=+8$eM@K`KB;W!%5h<|P(em6 z3CQ|N&<(Xvp!sm18Qwap-Nc{4j^&A*=c-(YY2x}Zj031hOtX^Sb~*R5eyoa0(ojG%T^@>_f$O|2vGkKd@Ng0~kn&OOe_- znqFNd5~{wRHjR~e=H=zVJpH`fq?w(UYil&=U@~KkQGI3 zkW#Tmaz@!wBPmg%+tAQg<6Um5qL#SmLWkvpvd$vkt9*!Mj-fmf+gdrtF9|*=f~%W%Z$`Dhyw60oN4b9~xDc|J|LDaaht{pUi1 z(5!o$@tGX>_;JycXd$ypuRDg7?$i`@d@d)1^{q>QI(7JIjWo&Iur1xc9nyU{VrBV6 z-Qyl*(dW;1GSheZ91>-o+U}jb=x%8alGeNW59hdAFA^rmgP9}C$B$a4gb_~g0UdkG zHpIN<+_qlp6XFRf53P;Yh`M}eV8Eq&TuJC@=$j^C%ptDV`UeK=uSV0K#%M)uTOx-x?C zOg$KhE25850=hEI0Rf3J?;dCl3`mUqOM&K)fP6V1kxzU(Z`TlNpMdE6S1H-{bu>9| zLyZXq*&2F>D8 z{hEq4%O~z>c^@?yEzQM2cilCyaK1Qjj{6LpA2?&)%Eq;8Ku!&ahA6T3g7i`z(t;@` zPcNJ!%>kk8)xG#7JVvQ7R(xoAkwuDQl!|5;h6rcaH+$q9+2ZT_h zNejDBZR^#^>0GM`Ja7~~Rnw;9b@T;xk-5+i(9u#6Zi_M}_Ek{$v_MUb5G52i;|?KV zA3kb6P4{I}4b>!HcciJBEt2CPOD!|UUL&;P*S(oY>vBVhbPCmRbtwM! zA`ryDy6-^U#O(E28L#TDgtQ{uw!+ajm+b(irK%NT7)fBrP%;y2Ur7I)13Ia`l zG@ae^lnhADrv!O)1qJAskMAAR4n;xJ+k!lPT`sM<57wBk$JlF`$?AIBl`vP;49omy zdS+8_6N$Z8!@q4oyGl;>Iqrg>>9s+NV}mEWErhx*gu0K07*r9K`C+~7>UDiUqVD4{ zv@Hkoc~EcZ%E%pp6x||(XpJYG7AYMW z{P1a_u`+KVu@`H2&&>IPDL!>#|MZ<9_DB;~v;0p8HM}15{(;ZO12TF&=r|{SaELwj z&10{2=Md_%0r_x1v=561?BSaRnq302eL%Je$QuIk_JFJOBcdzE71JWF3jSsYwP!&5$N;Ui4m6(+$X)@70L(x$zv;C~pgAfaFXa)K z8?74*BPSmuqz*;jeG6kL>xI=vCsB+D zRpeQ>h|`g8BK$ zKe)aXz5hO^hS9w9PqCrOob$EL8cjNp5O6ItXE@GHI!tIv>|0X^+!f>}AuYZcXs)F$ zy~+r^=vz^GOIJpebCCyo&+5T8yu!&tHgs|2d`6JxP^v4f%)jf%z`Qwj{VF;zMzHE0 zp%kJ0!#P0bm*x3t;B!R?<@cCMX?vkj8#d|v!8bM*&PtP#A6cS#E0VVpoR1XFg@(-REMHA&il)m6S8!(u7sp>YfRwcm z0GUQpN8@VJkArV4OVqVqDg8@Yu*!$N`W5 zFJs+Lh8pcWvWZ5=ap|tDKp7d{`22FARQGFuX@}Ukyj7QV^(oD^%bp=BPtI}Q)XhVG z=lwy`LxK$tsGOcPormJG)_8Ed-m5h(f5_8(GH^aUls|r#xq*Zo?W{*ZFT|#P$T8y% z{~+OD)_v!cW+1$?mh*DrnM%{PXWBKij0w+`CZ*e4ZIu%2V`={UqzzT%RX!ZG4F%~f zvTP_Jp9(hoF4ZY|aO%uSOH3@XQ^5*nav=Lel{G-+Q) zLwmit*qd}?C}}B?ot^(4tU7Pd9cweJ#kL8db`PQO_Pri#vCBf5J`+Y5?h85Y`k?80 z#I}~FNuWVo#fAsDP=k4#OTi{x>1c93Mg^uTWai!G6=W^Y+p1$vX{}(5BZD=T3pV^s z2(@IGiP$z+75bDC<&&yB{$c&H(l{3BpT5HiH(BLRGyjp00BGh1huS-+P z%MwCqa=wKqafbt;sLth^E|L<~($ul1v{bOh0#g1cpOn54>cQPe9?NHbnjqBZ*gl`o zTe>nbyqVbtLmB=vr)k-zw8-2`J%~A9XrZBK9pgBwSCh7;MM757?^1)qhMMejZ_?Cj zB824BxtWS2H4%`Um%(c-a7>JlEKH_wpEf%_nEkJ+@+Gbfs4lRak8``A z&qxYd&KuK$0LY@T52S}($={ZO7tu=yb*Ls`Ck-GtINde96d|jII&Ozhr?jU|hplj? z@6?DV6wdm*AaMuX*eXDpLT}YQr3*uw_N~-0uofFPg+pj4`WkGHX{u6ky00DDWBt_# zY$5g^N*Lo&sk4f}rWZM#%PBt8?64ZaraNS|NI%_QoatWsDt*I9d{xVhoG*N}FMs0d zk^QMOuKA>NG8qd9Y{ogImsKdE$LG62uj%iXOM3`NFS1y}?@&Z<-Z`4y_TBYw=M9(7 z@K&KjJCBro`m0dtni}43^F=Sn0wEN289OzI`XRW?UYv&`Pt}B@;9N>zk3>DV1+u2z z(v^`45JXEFJYgEjl&=1w29ZOMm-XOKH-(lSMh`5_QhG~Q4mB~*tV+*py6+M=qib$y zRttP|{}#|8t|tAEe(O*#-c^Ee|6ac@ac`>xr_ZNtuV5e7aB23F-a4EHDM&p#*Picf zHRgdK-KVctn|=D_bH9A%QVSkgmn68i2p5kvP1`ELxF77Lp5S&Q1&3`F66ciBh`4(X_1> zT|9Wg#v#<*5<4VdEjA67e*_QZV47ami=Gv9k1GkU6|P11ziC8tMO-|Qohl4n+0M5FWO6_zg?#a6qOlSpb#N4G ze9%dl^WLFMh`VaXmBV`mPr#d-Hufn&_osvI53_)sU)KHlfW-X%>R|b2La6HmDY`%J zXmVaJctX28R<9T9%Heq^0n|jC7I<3_x&sB0^T*trU6bD6X1khn0MXSJqyA2q4eVv* z-p|9R{`tbW$b*_l4@g+n*C|a(&xBB$(8F49iNoup)`f2I9OAC2caM% zwW$cZWIFLxVhvgjvp$L<^OW_gk(xkA+p8SB9DNd)!=P&L1aFuzJN+op{ID zdhbOppQf~RNcWLi)%nVh7USKT3i|$gknjX24}G~W_^RL8HKp%RsM;DSEf!|Y&In0E6~F%p<;Lq}+@@Hpzv8z}_x_6CIydd9*@Ui~oBAt$*(9*WtX}bZlxt_U?H~1r z(Du8@l}Js?R~U{8<;L=^CTiRJ2w&V&hhcBQYB5``PNCRq8jih2QhIX;wYe(~X+K7x z(Q>RwH@j9Pt0LX=R)IGIzz+j)472)Iu9rK4#Q_WQClpLCp? zbakjx;)=vOT?)2+neO4Ra%PW^g1>;Ua&YRz)!=7`6kJDx(3L~Q_uA$oEtHIDaA$Sb z=eUBVQc4h}h@pVsETL+IL*aLNG<7JJw?+yd{3}{0ZV?+7XcSR;z9Lky4Y0x|#tuYj zVyI#E2=z_MEXUrIx2jE6A_v~CBB&A7YXmG{BT6~Xs3O3Y}&R6NZq)_^O&B>h7i}j5I|D}7XJzd|5 z<_m%idwJvF=bGiZX)zeA`oOb3y*^nvG^ZeXkw`&J)0_{bzjc~6=}395zR`5Q%BP_Q z!@HAB+AwgQ0CG9lSJAqtk(6$hP#RZCXOdpEJSn|5*l>wZ9xfR|9YjTmjcccnm-}yR z)FnXwt&Q3mqxaUvS3|BmFXZl9gZJ(odN=Vc(aA11HEFzL0nJ~rz8K6WhWY|^H$osV z*D3TOg~?64Uz{+P+Tn8&O5;jt<4`+n8ES`ZT`MJ}xC_l9p@!9%apjV&SH$Y&Lsh02 z0nUg^tx-5zq?U*Gx`#|kQ#ppnQ_=x+towTlb3rhFf#*1w1|#--ugK>_I;F|^byai- zMY}79^g1B$xkA}?l>`yQ3MGV;+t zGcNGCUl5S8eZDTCid8W}r3cgK7jS?wvWyZ4!o5fcD@XyUIgf(3ZPm)#$6&g;3E~F=c11iWWZN!NI!YZ416v zMDGd>`YDR`ZZQo}_(TLLXeAt;uU<-8SUwK~`#d4h7pq2JJxTbe%v1|kLPPf^(RM^G zu15(`GPaLjR}lBqO=+_bN_lAP_5IXSr>5fAzwBBLspVTy6uFa`I(7~rV>rau_$hrn zlq9=)Ge-IQC`38>!WD04YhLHGDrMrASjP z1QR~g$ZfHYS|9S{>|-xq9)a8?b*z1~JX9L~!f&l9g-Z*Q#3dOo!c+s5#R?_;$! zQkvPG0KFgqa!QjTngl3ou7phcjr#&uKTB)x-|PT8w)3X~pKWFLp+Yln=(Qa|jcgT(`8-E7 z$R!#o=byUyohE&Qx?48X#Z}Xs`5X{vHl?jtY^Y;`%=%noPbseG_<894z3t^C9LK)9 zl+VhCc0es89g8(-$I#|qA*{1{Stt{93|~2?^jl}2l;W46eiW>6cZmH>p@*n@<(0Vp z5HwvoNch!&#C;~ubk<0nZ|o|_He4!r!g!}?llG&ooegzP@UiPddi|ZMA{*+|&`Z+i zxUxKN3wnJ%AaQroLx{%u^MMs>aHjWn<}MJ&b<^Lxga?PXZV$-4A$9&Q=>DN#u?s@% z_XPVK8{+zLi0co5X8(ZP5%emWtDT<@dHJuDPpu6faj9HZS%4qgaN(f)JF3W-<~sU}1?h5rP0;Hz6&Z70#7US^T%EPL3)Q4IS5qv^=h{$eRfZQ$-{SbB6i3?M9cV5H zTC5vl-!qItj1PR44Vo?!XyW|o*MsG&K%&>3)u0|*V?FA8Djg#ShBr+fs6On~+A*FdyVWJs64Q;ZZI((bwxV2wgeU-XVXT6YLXr@BCtraEnlm#WHHX9&~>; zw7%93X%Y7h{Zz=gz9ga5vq7&rLQ8n`?EHaHpT>RKqvaP0xnOu!#on`eaJol6qi5&k zL;ti&F7ZREPF-&5&o^<3ae7sbAQl^^2})O{(K;Cq80p)Yjl|X%sd>GVh^g8doO3>z zqv`wtY0|TyRk>#s6jw_qKVx*^y6e!<2mAGj-l5v^2fU9mB_JYos)@JpnR90`?8>^KEZVsg}4RRDG{V zY<1UEQw#M{rs>b?)%|67g3TB0b7YnVUT2ZWXJ#VpGD89!oD2Fnr7nNiGW-ks&{rYo zRFo~|=K@lSExp+H+>w~TH^7TIW37lDK>90-tbKZEf%LkP$J#lzK=5w6&AB7BhwZFi z5oGlmzC5)J`zwpAHS`WwE+zWqD%*su5$zL^ehZ}E0_ojbqW_F#1Z6b00lXHytt^WB zuf`QYaaZK0!`hzVtt|R!SaY&d)d1o&Jv@vkt{PUnJYFf!q0k%EgOQ8EnDEC#?E6+t zG!7N55%-#2XtHnVjnoRRlY*V^3R+yNYSNWu{#!sM22EeAE4c0qy5ACz$pKj>c+~J# zaJ?(o`HJAr3k84vX^1_pG5ceXIj)p@ILvpyqMAr!-?$_2iTflUd!P5`2Scbw0&;yo zq85(?e~vp9M?TL5&R-379*ZmGJ{`WyyEMJTV*4`pR9!@*V3SS_b7Z}&f?GSRCy8^= zm6@d^`A`M1|EwiFQ9NMe1d>?~MlcI(wa`1zu@>{rrD2rM9bwJMP9d(*TLm{UA$z#|#ri8_Yilf{#Ry&5KCw*bWo4`{ z`RVJ%RLH}q9Dh0dT3{MJ}53kO!JG-(re$x)pCw=3h}*(HA1U< zYh^-Lj(xu1QMU#yHVnumflpl1{_V=iIIbnDC4h{~+BNO)XH|sdiLG~E&bO_C`$6Ed zWk~n9k1M{&V`JYZ@QHngD>VpRS>~8J7lx9*3M8RU54BaC*P~+INw`NqPO4b5JpJ|j zY!bG{?6#i&@KDxOnNoZMD?0RGEnj#r;mt3?))Ep&_BLzo;I}=gYzC zuyd&%OtWZszd)bk$~3bUiS_A@L9eRV5Sc$w3C&uZ8$w~1LOqz~v_NxHKr{rdGh&~S z(yOX2M4lrm5m~}-1SGzf6yHCMZS2^lJtNpBj<3!gV!vU~mymx7H1XYmxNn$m|D<$$ z;Imhd(2r21L?o`n-a8=ChWmxMZVRER>OxW{&RfO4)gOD{GcM3X8-6#?^rCxgp)C~n z{5c?1gGe-8GSF1RKSC2r(Q(15v1L_Fe+i$ecow9ZpcCZNL7plqp`pfw^MpwAOa)D? z1c>tmy{x`IIdG2c)m}KS9D15DFIOF3(Y+VW@qLGplDW^B6Q4b5^sMoNFygA;v_ft=<~hA72DyxgnB8{jGmoeUKRB0QMZJ0^~8Y0w74>~dn3&)RngCJU0+qY zjP&wm>zk{ph(k?UqQu4s-jP>~k@z0#IYDN;HLnMU(mPxkSvt&!#rHjT2zw(eLBo#9 zYigW(snM#*uBny)z3>^mnsIYgvum-~!tbU2ieHX+d*D2h?@}pqPMzV&9P5ISvPPdX zrw^x2zhxRC=301d$YW`d~=BSq>(dT=Kz2A4JeZCiqjh>zVuNtagkBYNPYgHmL%~wKiBF^uDNK<_`wi+O~ssV4XYX=O+>1N)l0{tGRejTps5XxU2!rh}V2w9rxE)^P#U9&Cmgr-|=`1J>D~?%+e~Cft>BM$zfD^I)NMB^Jb1VgWC3DXkIQ zp&G*zSe2gxC2$z6$vSm-jVO2l)wua(RiHR=L=CZi2-2XH%5=DUBmLldSqH^GoD0%V zTd51~+mLFYK~JEx4d|G>{y{$|Z8j!TF#_~v`Y9nVA_O!$6AjxK zG!#_^x*?q4pyQ$H}kP=^dUFe|Vk9a#!aH-9WdBT!9wah(ezEhz9c5*5g|V0k?@=4!FYs zTHAVrHSu(JI!kO=e+yaIQ}G_|X>5c0(U3=p0Ep*~~ zlR@9mBMN&i-D=N%>b3dl(0e)X9Hh@rCG~#OO()oXg;v}5>QCSRiDKUCbKtUKwCsRV z99A!N!cfzq&wY!v>+pdB6ugvLpWSv#p?$2#=sNu&k%*H4S2ctZtG zF@nKqiEvoJt7xJ91tk>FG7F_~04-(1)Y0~NH-wIS+fne2v9;352O z{op#ikxJ0S@yn4#r&n)7+f}9Pvtx)eHr@ul$BzPESO+U#aGjoRpn1K}4sSFP9C{tO zoH{MmSM?(Ai#;ti5IdAH6j%jcBE{9B?-O)dX$*VeTu}TBEkkg6xD!^NVm1N!w0_Fr zKrx)BXwe|-n#39ZaqvIALwt55PUjOk#GN+L%%@$FB1f#lYfnRC?07h19MCs_b+`o$ zUf_%Mvlc~pd$m0MBt2!xfu1Pno*fkFjq?;bIrVXO2!1*^Lf~5r<&IzXLacapA#uSK zYvK^-FLXc9kqIbfZ*fHliKmy*$#l5;Ht`+o;JDink2*YXXZt{-_Y4+9e18-PS0|vf z)$i!E+-dX@WL?>~Uyo2YJCC{^`6~&59etP&p5uLK(3TnkDDHrwMa_JGV!ahm-Zl~c zaQ==?#&P#5I!lPRNC@T!9yl>l4%!#!DI-V;l>JD%>G=d`Z=;jr#NCXiyGnKpr8^{p zjY_^(8=)7%@`7d=3WVO$pUAESeXXDaUC#vVfrJ(t;kz0|@AD|U>dol_onl@XExjS% z;dJ5(IaqJpkqRAaz&iXK=;d@4njaGltnlNGcYzW#$B7j_VrjH%hP@15`XA1(w3A7j5p>SyD+m5#LRW0b{h)Q0aM|+xNE?S_U3i}l;a0lOpZl%rv zij}LHLd*du#)N>Pq$}x#+*W+=J#@z*KzSCOxWXe#*>X6A2f9Cd1C9e|-v%>c1Ec^>SaEhplb4n}TR`1TO$JY1T>c;5De>X;IN|X)?b;Kx zI)FY!d7*I7b?EJ-L_fB^CE;g%FX8&6pWnFTm>tRzZS|B@pS7pjQFJsPP%`rFOWHcn z@)A#3FCgg0ppYGU{{y+Cl&q@}AC$M4xhZXr(+B~&Yd20vjT3PfNq~S3Xwe0CXrt+q zA~ike(BoQA@S9Ox5a)WrXL@}pNR7EoghBg&C8<%gN{f8>5BY2lF!s?vvn2iCYRBBr z76f`J#mfJ1Hz)m+wh5#u&)CMG!pYC@i*rkQ2=v^JzKZIo5n7W>2b%Thafg<|6m$oI zfNo`I-%SvDDl1Up71}3>1JFe}C_>?Ul75QpUm>J~IEc6+1kVCOK7=?+`0Ql36KRKb zWuhn??iO^<4yti}i|$Il3cUfuf{>+UKE04gi`cN%88Vby4fI3A6zB;i4HqXi(0^LJYs3VJ z2I;34TEw=c#D>|xVh4<$K?Z&w7d&yEN@wu`9%ayHJa(aX{7mK1RnEvICGEBmt`~J< zMrh1#<7#u%jL|~RCB)Xfv?(tp%R_dQ=EWx1>jvnJU3qbb1C~OGTGG4Qn+qtydw%_I1d0_=NQ*Jk}ZGW(69A-^M) zj;B<@74PSi+z|5!(s2AV{d0Kb9QaPVC)wxAHQZRUgDtQ6y=2Z^vqLX=+WBqlrW?w! z<>&|338p+7PM!4Pu)~a(>tojr)300lx^dmopA}#`wD;ipUefoHep$lp7uaDewdK$j z*o018v0|z8aqNmE?GN2ROJkK~JbU=FERX^=dM%y!zZsqU59rlm zxi@!F&|n80r2lPnWm=%?(h1a#QLjOW#!+ZB_3)&in3I^DZ3OLbTAzb=0ma&5PCw{y zC;gN(w6j(RQV7hEEMd}6_eETk(8A}b8RP>CLmpz_bY zIpAwf;4r;8JH%GMrB~#Q^LwD(i);qI)0&5aB|+Q%uAZH4nyJF9^1@CqrAwI?ru@?9*7|JE7~<(8AW_$N@k% zl;=d2t{Y_A+iTtK~&n=&ZK&vl(mIfiGH4xQ^tEDEKWm#`vx- z@>*YyqtDQ7!yak-`GV_BlqWcl-%76w=*X@1u=!#K;*FHL*pzas3OW?pk@Sb!cZMRv zv}oaDIOir!wN-(5@HP6T^8`;1qy{veqSb<@N8zXY^b7J7EfNh|o(?VSVTSWTF5YjM zr~A2hdkL$pv(Hml{Kpzl{s%pQ;G~CDn++{br7bPFnM(`nBgR?PLxpv)i{Gr4;Y^YN zwwP8g6f#2Ir+cJDi|;B4^KlBpc^~K3C((aAokI8JDf9-_s)XX{Z|G#nAl_M0jyKJ0C)OE=_D%X z*^)q4pg>6LKO1yWL0>Q^_Gtp`tI1DGN`Hya;syKBbLNf|IhVp~ittWHK*v(PgpK|s z`SN9S!}${EA#~!(eosc|+>yeaoC2+jmC+)B<$h%B`7yEQaR&XdpdW2T@pY2I_xM)Y zI|W^>OABe>6_C}g&u`CPinf<7C=FN!im3axDAU3sIKiRV>c4`*JIcuKH^o-_5?XXR zLeTPdGx!}Q=mA|?=yV9B%*@tFHN;nXiqzMMkBq*C&>Q1$R_~#rZxIeN6J&Q zRqzyHOMdx*JVk$t%Rq!7u+#ot45XcQ5Kw)?sfsSrF4)rz5 z1DWu}ts?tzQu^*fQgW#d*>OUy@}?UQ@BwN^&e5I(T51%~&Lt>p&(A@F6DeYCqNz7K z*aQ;3O6q};Y}>*nXv=|rnSsF_4kSr?Jw3dZH2BR!Ke*a)!F41yyKC77g7RB?kP0EU zmFtBj4c|w1czT{e?E@WbvkxNc|A^M!U>gI+LA^j(JbUBnda zwlyj9vIioTyC^oG%Nq0%LB|{PQ9;-3pa_lg_w>V-z@5!w%Mij;cy?6hj+k`9ZAsE0 z=4s8oD(x8(vz<5mxuE!RNzn+o5`59CEGT-MK-V_t&4O-aP>i*K_EQG^-YD>ee()by z2R&t$7wEKm%G2v3fx|p>jwD~?T%0JQQFdt`cTb9}l$ZnZ^d~6HI=LJ&=$nfp)n9{t z;QJi?Af-lFai@(#LI1{p$I@WT2 z`~fmZQ6v8)kg`Y{ohC$BnpcJoD@TFIk3uaJ8+EO$h;ddDnMv_kKY!Ygznk z4?$1rqU~i6(3z{-QY19w?j;XxBwAe6)dC@R7POZ zpe`tOzz7HIP*Bulb4y(~k|WsQJDyHlN0Kk3e;;W9z8KjoIV+BTfVP*Baqz-92L&i& zifahET_-m10?q6=sw2XKGMb8AZ*BcHPZNO7JDSR=k3lwKTVR;}Jp(-dO1B#~wMGp^1-#awD z;2nP=tH9C=(#f_0`hGGLP_B(&HJq47VH@IZ=rb;_p+qd>$&V2ejG<#5hGPcTCj?!r zg95?%IQ`7rnALx1if7Es>cCRp8)Ndmg$xZZ*u~7H>AahrVbgs*-)m?UwH!_vVZO)} zIALStj9zA;Gz{8Py@r9`A)LOG$1_2SE-tYb6!Kh6{BgDQ>FhbL0y)RhJkVH_cUiJA zTCW7dp<|D1^Q|_ zOF02GfWqUPjKa;G(h27iIgbWfaV(IW3) zbnOaco=&NUJ8lih*Z zH=vL|fg-S*OC~S8S z0i~z%ZSpsS(fWbkXVkF%T}XswuAtDc#G=FbVLa@_iKojD3eH+fwZBKHHPAx{+L0Au zmzA*SA#siYs{ie`#`N9Du~K8+)X@YfffE+uf4Ex%KOG!@I>zqWr-d z6KPQF2dHV^1Jv~*QkMu6J(5fIQUNI$qf)U_(^#>vx(2GtStTMfFt(Av?;O$Gg5L%Wfn zR~hu3g4)sccMJNfE-hq!H{C(P!U5>ULVKm5-9*qU47!=1=NL4;^8vo^722~5t&WXe zY*6gM0m)A8qPWvHN6}{Iv;ca6&_bJno-3$*NAyBLw>Pxs4TE-T!{H*~U?tm%#6w>e@(&@B!6YeD(lQ`if--7M(JhW0)|*D$E^UEH8g3GI>weO%B5Iw)eoY4-po z62b8dr3O>sZeMf9B_inYY=5#0^c(sr4CQc|NVltz`E)_;{QJ)YeV?IK)N(+13CT*| z3G$+31UjD1l1ldry1GHp{{(H>ry3lv#tA6$Na28-0u(FL3yMBG(2pDRhk|~=px+jB zEXYgAkdU)skM?$KK|wQC`bf~LqZ9og-Nr(n4)WeWKb#u4gBB(C+Puu|1yD_mQf;t^ zq%Z2Xvc76r35mQ>{9NDgyVsyP+j*Zsv7EiER{ z?m>K*h1XfN$3ZF61Tl>s5Q7Y?>{rLC3H3Igq}LVKFw@F77@ z=%SE*Wx7Kc)J3cX(Eb<#+oC^)z0)nY|KOZfm9-XN&e0%ZxF+VwzM z5|rKyXtWlwHO|t)p)YTnm&}-bQG(A$-htBYrCy8dEPhtr=Y&u0Cg`aq5A|yi_Qn24 zG}~du#_8c_N0WcyYRli(i}#f`XF$_lU+Ai)-x*KU_WC8Q=##{))J_CXfJ6sg=}5; zR?*GcLDOP-_C;xo^-z!-GvK8~+CBsRDv51Y(V`Z4mgI!o|L)2Q^c8dhrNJT50_dzB zYljRt$BMl01KkPA_NB+s6Fjw^I;+RFA<xs5K36#0BD6L()cW9n_h$>OmZ|@ur;LIIB`nI- zGCBhkB>~Wz3@yg{fZ{FS!r|?L{??!ve+Q@e=`6Hb+RtZDj4*>1D<=vq##DeJ#)3j# zps;E|X%MM{zS^J!c2KMF^MsII#Af`!kPh9XrmhGYfWz4J?W1ctYhq`FHWJ-RI~Zli z%$q+&Y&yDTM+0Y1dC`i)d@ofQv)P;nw=cO~{3uDs|9~DZ@_yK$+LtUP0cb~SPJdoX zXr#5xL*obmI&(&#Hka5gCwr9kgQ9jMd3G3qQptuQuPwo~B(USwtB4)YcPMsPQBXS; z-Jh3b5ADw*vmN^WFgE=GBa$d-XHFeEax-pYLEe6wptLoHv(C@1?!ib_Y!eKp+_7z1 zUHf#tsr7VCpk4i^^T_rM23`9!*5tK$XnnD-on3D42h5sT_OT}KSo4RmATP?)*HBKy zybngcd9DX2M#X_*4hZGM!*rJSE3pa%Pya4Y?faGAr$Bi6A9>0|BDU|CXnR zgMH@-o*w9)9%-KLCr@9Hun!?DSy-8}@)9rRQNC&YGUO-_Yo#r8U&YEJEp2=3}2EABH7>mUh8VP~;SF zu=Cmd*>kqate!nbu0-3RpF%wA3Omd`>l=_dSmW_~N+Dczub;>0zU;lTu{_mPiN_En z*F^}kkv!F%fF7s&sXxUII_2qx5*7s_&R^46p6Wh9`tN4N>{|o8mLsSA*6mf zf$mGZ7*oVkyl0HC-=&lDG*F}ku20ib)*g1*jp`xLLSLo@s_lq54XSHe>hlKA3-P5`%be8U^Pm3p!XPbB|#?}bU8u)XwYQ@ zeb}H&3HrD}^^3tz8FXQxea4{k3;K71&MoLa4f-lU|6|aX3yLj1*u!B5xH>d`xk3L! zkAULq=!N#5g3fKwzY98l2i1In(!QTh#(vH5X-ZZ23CdIU1ag?}S9(!b6cqIcXi-iV z6kY(7oUuaTCqN0TP^IPC5;5UKyJ+e%*cF-Z+9-p*oq+nPNQOEGw5Sydx`3cOUZ67Y zD!O{#KZL~IV7Tr_Cv(8vH;EsvUj*3EphovMsJ^}Q6@wlk9135^aacbsI@X+(X#u~* zxi6&!S`l>Vq&4=*;{6ct^bm4(JVkq}jK$yyP~-%7#6xtJFs~yNPm$9~7_>eVMVNy+ z@qiP~??^n_f_a!QeuAgUQQJ+3uRQgmN&Hm(eG!rY@v#Pq_FIgcpVi(dZA!^uTa2(7 zQsGBJu`5}$(5esgTjcC#@bO}uUhNAHU4VXYy{~Iu+^s+-u0vU~aJS*0Ge~7+3;4CK zanrY*CKwJIi41loLRTbRWoS1M+A9pYxu93JqIM2yJE6VY&~7GZJFR^qQ{R36ahC&p z_>*)8%`Y<#*gx1ayqIZG7=TR88B<{AO zA6&QX#)iA|>BMz=gX%tKI~eqrLW^;N!uJ9}w=?K@g5nLdLaThYHfZ~s8tr^l-gAs@ zJGAPy1)(TU*QTPe$Z!i;2k6!Yy+qKJ40^Aic2BfB1zpb2P7!ofgWe_RrUt!I(AOFC zK|#kE^kG4lGU%g%zRjT53c8{}b!WFX8uSLCUC5w+5p)TIJ|XCQ2Guq4b~U`ByBS*D zeXW;u@4Cvq{Yz=RtjKSd0pmJI`BF2$^HDPZE#o>sFRcr9A78Fpca86BTZqI`EmkeLHqQf2grQ92h6%M0Io463nN4k(Qw17;Nq2W=ykwj5}- z5?cEf!}@|=(aNE;9Kk_bjuQ;+=EA|Y@OBgwrGAl2*M|4)%jwp)@7VEQjB6}N-i)&1 zKV7c|iuMyww4C@KP^`NIdQ&TUXBS1znuC6D#Y&BmTd>@ZGPKX zXnWaPYfqs)(eOnJ0WzTN#WFxAyvGByKSIvdLA$-AP=AD+ZGmxIcnQV`i|?S`2KsM< zj{VsR=ya^)3tRiIEE?N4xb(e(NnJaD!-_)N{w}iRyPDA2^5HFl+LnU0OWNBSwlrE( zI9L>G${^uyjl4RtXG<y)|p z^B-y(;%eJbI+odP2Wxd54Yjc;+TQN##p)_UsTm;W`gGzt!Dy^@uq_20UA)N9s(sHl z==Q?bqRR?ub?aM_)1Yrjw!_TxNwk=jAiqWHVyv{TFp`BFYfCwN_W zCjciqH$H7YYmd$Pnew$e5Nldk@6%a(J7TEb*FIv{i=XWzy8YbPw>>SQpP}~u6@^4; zw`-RJ(9`I&C@i=%{ovYeox8dmK(h*+xZ09H`&qXAt8M#x4F_$n+t}2S6AbM}5}Qq9 zO$(dnHxb$^3#I9zTxXdY^(wP~y+!H*4x^@Ok0cm+ZG_GOP6o_*oX z{q-fBt94GIw4h-ft;zc9OW4osx|Q=K7xvee@EqW*T3>?NX&jyKSd^Fi52)@R{3e5< zMgZ;G42n7%D0>s)m5KiuKK^>4(#pok6i zd>Io1O@GZETc}^Aa#?x-`2@VAU#4>DIs2{I8>DY$pW2EpL4`2N;alSbV3y9+7RPBZhXCKv!l=FgEMkmu8eM|GZpJ;Lf&WiV=5b|r-* zIDvxv8}w6lGSx4a{M&@Vn_CD26k+hSlcL{2q;~xj9E*%KM?Cnhd8#tLAe`-YP5d`l zzGK4b*LpPU(dOwVM5;PXid6kHsZ;F5mnMf8Ur-O#d}6=8r27F+JD;%6Yu-|h)6OSf zBPmKg`MS#|R>p(f(`oxUI2Ug|M8axLu%(1@)~7aqeon&DrCW9t&Lf#mtiEc&ewrXD zIZf2Nj;6%Gf2@V)e<;uU>+#tykxyYAocs&xjK+F=@cq5SX5UNbr^U=n3#0?uAfK1( zYIH@e`EKW`PdBEN0+l}f9{p!2fo>>I@1p+*`vl!j{VBdyR-Uf!!ai-DZX-`83Fl|c zQ+O-l*Y5>gLH`TqCFp($6JPE==4v6q6`N5 zcZ1F?sO9i#K~a8}(wq{lQy*B`k&X>sffKb)-3xuVBa=QIFHf~L!qXz@!Xhc^v(g%z zIrSOR5$98t3`Me;Cj-)YZu-HseSOITBq2)%n(=hvdZ$6PHonK8Iww5Ypvwz~_WkAV zHMGjta#&F~^fH^Ov02GhZRMc5yI4Eu-WGP&Xno;ujgc4cGs8M|eEsc$USViA71Z+G zKu|lEq$82N%tmc49455JcCkUX7TU`UsvPW`gw9mlOJRyXXp8hX!{KAX_eWh6zOFkx zoNv%=g|=u6+II@t{vD7qUJY8ES-HUQ)!jVW`L2m%>f}IhxQz-e0A*epsLu1Y%lj)6 zo36cmz2SSi@GX`EU$tae#{^W5Z#o$q-@ zW8H6MHN$tJ@NG|_RSoT3LRw^98NZp?JgY3u5;k4s|=Pk9PSqm zWpy-Ybqo z%@Ft!8--Xwe%H>iFA#&SSA4W3FtQ@pv*2P%5$K27q6ga3ySim zpeUO_i@m}Mda9rwGUy3{e%PSL3;GFz{y@-A8uVB}KWosV1pT~0za!|E40^br2N)DP z7D9`@_pu%N-p6*BIo@{xWoCF^fAov(&>#I`JIwB*Unnh65B;_8za2aDM{n65#>(ie z`tP5_kL}osz76&OwGB$kX1vf2WsK>5!vU>J+9zZF!+joP)CWN6h6Xs=F>gRW{v8??}(eF`mxG9Fb%Mi5d*T&^;FHxa%U8FXtw z?YNP0DB};{tK%?s>}o6FU}Mvjvt?8Q9CV}s?dziPv}tW@D#MQr2h7HUf8QtB4t<|w zJM?{$?O@BkemTij(NfNO$&0z1e4mt)Wjqz7X0PukparlpmAeS@T(|rMI?{83;E8i^ zro{%GboT7lu5LheF6jY-zK)*YY5Uii?lQC(^#ZMJmtcep=*@<9Z9#2K*8VP{wqb1B zXeHrbW7C$6-NF6MLTg(q7P>?c!B6kjXnqI1P<+%Y_FYeGj>p`TT5c} z4}9%R+xmjGx0u>BwyhwYcWR&4v+oaSY_`p%vql*AD|XNskTNd<=`kjVYniFQ-L8V3 zW;pCF=qUzO>%1HRJ2?e^8lu6~&c`aPwb=%sr?ZzdR^MrF75|I46d7JEGT7AA9g^Bx zx>mCPXYbl#?W~G$2&I71XeCVrD{w$sKtPZO1Y>$pQw=7NcuP%G`lgW=qJ{)xFhaZp z5Fd-oS!>OjHJ7z!t)Vrjb{|$^(O$JvS++Sv;aHv*(B0>SBsiKa1=Z5j^V>vfTpE%~wce_LPOtqh4h&nRHta<}PR^G`uXTW~ zjPSIx>P?=;Rn0){RAU%O->!+isg|Y=P`OTNuUdp_6}3LrwF=q*vwQ0-fUnmC32c1J)kyXo)Bd>ghC;)Ju&Wn!ZbE?eu%4t3F&1X)1<=-{IskPiu zO-B8u{?PisFpxR__JFF4s#pGrK|P;$2Zo*YoxWa2j5;l-ojPLF^?XN+dPG7;j5-}U zV$|udP!XfYo6KGjavT1DOD#a*6~I@=`dmU`KLH9GDWSiZpe!tMV1Rv{7_c$~XvT1& z4e%fUg{7Bev2qM(MuDO%yjnnEwPaaDf&TxpTHD?z3yUx@ygYvIv!@AN63{0F3cnDw zr8dQX&siDZOurD&J`v%xqOH*ZyS~5#c=3CnB5&l3r5vSl z%Sopa*5p$&FdimXv7y1ZKG~KGHMw&(i5 zFeq!w_#JQ(xn!<6it+1xI?y>v{Y{>=ug6%S9HDyL?v*j7cKW(NsV{mgdMq&KDbM!W z?_2}v6U@#X$JyKgmDJv$Jga5(;jfJJSUB}tMzxG;8I@9b^qyLM3(F4C{?nX%RQs2* zuf9>_$jZKf^lh!lX>g04gym|!+EMglwQt-hZb~*KrN!TIM8{&2*H+37Hd3 zE-bR|{|@B?Ao$>v0ihV(n+%YdNN+o!8HsN|@!71xhvxjmyZMyw8j7K{cr(z?zWW(# z2I}S0TZd190Ig!i-ly%|%t(BSJ;6JGqB!y+XIsA%ZQUPFAF&cy-;6==E}v@VQ|1`u z(=SR}KNW4|(=W?YW+whmSue8_@u|Pdelnx%K6^L&x!Br?wgQT(_Sh%;NsN5zl;e1^ zt$ga4p852K=n0>CK3}%xqw&yhIS&1TGe#grkQ6P(<9 zV{r5Q$q3c z!JEQ7nYIY@oq_j90%aTYT>Aqt^2pPi zc((;KcNkF{ZwQ#A4SLTEGalCY73&^nlnL6vh~eB*1(bWLnr)DI5Vgl_LtWcAc$vlU zdqUs$SUW((`bL@D2}FCZin5x;keL*fX6!|BA22XW;XhZHs z0?ONy>M^b}DdsJ6!0`USTT`bV%?pJ49t!TeNBYM3_FaL#H)^Xn^?bp*Bk*Pv58AsS zFx0)MYpch2mDIK~YST6_^P|pr-K$}&sjl>*%w7&LF=j)2yAF|QB| zzlyT;Z4Gtb-Xs`)9T-*$l=&;`zFjV5e-jwa6)5ve)_tpQ;i)kwC7B=e9I1`>GSt1O zb3E3WHS0E9Cbj)8dORc0T>;e`n#}q6Mk%{3%4)XEhXY#ot-i^s#;{Im8w6gZ4o65a z?2fXUoAZ%?t`@vMFWbu;rLU2)%;WhMfijnqbwAP>@^aO!ojRqKACQ#zV3U*?^ z$`r~j73dQIT_(`S0(!bY9}Ot(@JhUU0y-nmT>;IzyU;h-(`W;1nZy7)2TSlLEappf?Kia{>LdKyMBxdX%*pa9CT9 zo73UNH*P<$0Rum%Fa56)y4%WOd}|N;uQt{>^4!VR2qk*hwcq`qV4%l3iQm1?T9<9W zejD0b@9sS-Wf@tfEn^hgKw7re-UMFE+^mhB@XrLwvgys>P5KP?Nm+XCx~8ytWNqoc z0WbZmf#7{>VECy(pYM$W!?jU1{Wn1AXVqSQ`V1&b4<&sDKq1HQoqpEA&^x7NABnPG z6DXrhn){mjz&q=MOPc$fA6oV~9R?ybjt<$!=y+Yzy)b4#9ZOfQc#pHT%~-lRR;I4) zFG6+3^VN5o)cS1_&0DWmtIp!uw(2a#-Q6$kC70AKtMz=XyOsOa#R%!DJJ+jgeVl7z zka8ag4BEHR`dBqDS5DPZ;JUJAMZu0BYOQ67avyCur6jEiwXT*JwSiaZz!B2XJR{0# zd)eb$PX#{qLd$Y>Tt}XJ2jl1q+A!nTC2Oo5O|!K7nr6-qbIoV8e;*LZMOj^2#X4h0 z>kIYYUKd=gT4YhoO^vd?GRmr-byAeovxivwz-&?Zup-LpjICugB~0$)03Uawau)_r z&SS+(FR7NPDx)Z?wKn>1cga|6jJ|CWDE-)l9Jk|wzN-B%j`r$k);i#|fk7!bcOx{8 z1n0Qr-WSH0T4cV)t)5vrFFKyUXEnz{HTv@49bE(A{$_1mDWB2T8yKcsaiJu*TcDJa zJ#IcGsskvEbha$?xY=ex$AK6PKnIRRTDpKf-_iw?mTt#^IInjch|@u1FggyzXBIV1 z$AOHBajEyaHAbN0KzyDtR-)rToTEAp#Ocs+AWnzr;y{i!%LaR)uy9VckN*M`5PZzw z4?h6VB>{a-pr-`%=~*b=+-v{AYpkPTb9WMDxnj6QFmOenqm7-C9c}D%=xAf7Lq{9C zZO~R`Tba-_w3R#B*!e*{30lw{ZS2&ky)TWC?Pz0{u#BXh&dS8xe8wz0L_gxUTbB4` zz>ZoqI&`$La|S#Ja7jmrI~_Vo-03i5JdsjkS8z#3_d9j^REE<5F$l>ehzfx$IWng* zzG*crssGdG6=k%y0 zZEId%p>Mm-xui9()1fu5&kK!Tr-j#cpL5C4;dRVc+;$y9ukrS;4^K_c%B>7&ecDc= zcX@*2&*Ckf9~kP>c8cNhC|gHps}D&Vyw;|*XQ_THt+w17$7%$vm3md8N66>fLXJ>< z288!1C`a`gz1KW@7`c3Q@L9Hq`Bp{3`V4q6+c zlJQw;BfrSLjrc_B-Wu^WO03Cnn+r&FS#jc$cHC`EQsxh)?ejKKfX^ zYhSZ7Vp3js=~96+e~Wb=zj3-DGcW*xkCA4I(+wEer|eDQO{yLqUJT!F?St=HgVpP1 zx*Bm=V93=pwCYl$1MuouSFStcx+KaHny3E(z1lv&kSiB}UKP-b@M!kb2=6hU8;4T+gb1Z<;}sDm;&*>0mFkTP?~~9QKi&~#eW~`!N$Teg_R^$CM0Snf{lR)miB_J zjVOYU!t>v`GwwqN?#wXvJO4T7KbQHEC%%SwP4SAQry`*o;aUqSj)YKt;D~!4t6RH) z(Q$nzn(rf;;rD;=B6j74_)7EVhg}+8HX%8F&Y6&=VD&(S!6gwX={Ex9S)n?ilPUXb z%Wpp3{%seM$oHI!FT@|=g_I^fDYHBD_RRB@K|~PUPeRcmIyBXCK$+Ineh^gDcOo!& z2jf}3bw)(%*M6KlptlDMgc?v4pjIs1#oWs5LA^I^h$=tRRF1ePZ2^@-dbeF=gughk zmw4=0vGw%so$ZRo#`T?OnWM(KF|@uqcr!n%A=9QtQ5O_3u+pb*wH$rtavdX9;Di(W zKFX`DMJ2t^jdQOy`v_J&VG-B@;y*Kbd;MiCuVH}cndu$KvHZ^G0X;NuFbSgeg6#&r zkW#s}a9w2wUR^u6R9Jm8q}!cmbg~DRfyTDJ?mXC|n|Q literal 0 HcmV?d00001 diff --git a/adder/db/adder.cmp2.ddb b/adder_8b/db/adder_8b.cmp2.ddb similarity index 100% rename from adder/db/adder.cmp2.ddb rename to adder_8b/db/adder_8b.cmp2.ddb diff --git a/adder/db/adder.cmp_merge.kpt b/adder_8b/db/adder_8b.cmp_merge.kpt similarity index 84% rename from adder/db/adder.cmp_merge.kpt rename to adder_8b/db/adder_8b.cmp_merge.kpt index ad1741f..e6e63e0 100644 --- a/adder/db/adder.cmp_merge.kpt +++ b/adder_8b/db/adder_8b.cmp_merge.kpt @@ -1,4 +1,4 @@ - + diff --git a/adder/db/adder.db_info b/adder_8b/db/adder_8b.db_info similarity index 72% rename from adder/db/adder.db_info rename to adder_8b/db/adder_8b.db_info index 90ca49d..89f88e0 100644 --- a/adder/db/adder.db_info +++ b/adder_8b/db/adder_8b.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition Version_Index = 167832322 -Creation_Time = Mon Mar 07 08:48:37 2022 +Creation_Time = Mon Mar 07 10:21:41 2022 diff --git a/adder/db/adder.eco.cdb b/adder_8b/db/adder_8b.eco.cdb similarity index 100% rename from adder/db/adder.eco.cdb rename to adder_8b/db/adder_8b.eco.cdb diff --git a/adder/db/adder.fit.qmsg b/adder_8b/db/adder_8b.fit.qmsg similarity index 56% rename from adder/db/adder.fit.qmsg rename to adder_8b/db/adder_8b.fit.qmsg index 36253d3..5e266ed 100644 --- a/adder/db/adder.fit.qmsg +++ b/adder_8b/db/adder_8b.fit.qmsg @@ -1,14 +1,14 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 08:49:23 2022 " "Info: Processing started: Mon Mar 07 08:49:23 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off adder -c adder " "Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off adder -c adder" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:22:22 2022 " "Info: Processing started: Mon Mar 07 10:22:22 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b " "Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} { "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} -{ "Info" "IMPP_MPP_USER_DEVICE" "adder EP2C8Q208C8 " "Info: Selected device EP2C8Q208C8 for design \"adder\"" { } { } 0 0 "Selected device %2!s! for design \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "IMPP_MPP_USER_DEVICE" "adder_8b EP2C8Q208C8 " "Info: Selected device EP2C8Q208C8 for design \"adder_8b\"" { } { } 0 0 "Selected device %2!s! for design \"%1!s!\"" 0 0 "" 0 -1} { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Info: Low junction temperature is 0 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "Info: High junction temperature is 85 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} { "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 0 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208C8 " "Info: Device EP2C5Q208C8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208I8 " "Info: Device EP2C5Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C8Q208I8 " "Info: Device EP2C8Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} } { } 2 0 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Info: Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ 1 " "Info: Pin ~ASDO~ is reserved at location 1" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~ASDO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ 2 " "Info: Pin ~nCSO~ is reserved at location 2" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~nCSO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS54p/nCEO~ 108 " "Info: Pin ~LVDS54p/nCEO~ is reserved at location 108" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~LVDS54p/nCEO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~LVDS54p/nCEO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} } { } 0 0 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "" 0 -1} -{ "Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "26 26 " "Warning: No exact pin location assignment(s) for 26 pins of 26 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "CO " "Info: Pin CO not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { CO } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 32 536 712 48 "CO" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { CO } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S7 " "Info: Pin S7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S7 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 64 536 712 80 "S7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S0 " "Info: Pin S0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S0 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 2120 536 712 2136 "S0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S1 " "Info: Pin S1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S1 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 1840 536 712 1856 "S1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S2 " "Info: Pin S2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S2 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 1544 536 712 1560 "S2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S3 " "Info: Pin S3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S3 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 1248 536 712 1264 "S3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S4 " "Info: Pin S4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S4 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 952 536 712 968 "S4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S5 " "Info: Pin S5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S5 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 656 536 712 672 "S5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S6 " "Info: Pin S6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S6 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 360 536 712 376 "S6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A6 " "Info: Pin A6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A6 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 344 80 248 360 "A6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A3 " "Info: Pin A3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A3 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 1232 80 248 1248 "A3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B3 " "Info: Pin B3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B3 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 1248 80 248 1264 "B3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A4 " "Info: Pin A4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A4 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 936 80 248 952 "A4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A2 " "Info: Pin A2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A2 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 1528 80 248 1544 "A2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A0 " "Info: Pin A0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A0 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 2104 80 248 2120 "A0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "CI " "Info: Pin CI not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { CI } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 2136 80 248 2152 "CI" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { CI } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B0 " "Info: Pin B0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B0 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 2120 80 248 2136 "B0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A1 " "Info: Pin A1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A1 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 1824 80 248 1840 "A1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B1 " "Info: Pin B1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B1 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 1840 80 248 1856 "B1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B2 " "Info: Pin B2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B2 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 1544 80 248 1560 "B2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B4 " "Info: Pin B4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B4 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 952 80 248 968 "B4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A5 " "Info: Pin A5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A5 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 640 80 248 656 "A5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B5 " "Info: Pin B5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B5 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 656 80 248 672 "B5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B6 " "Info: Pin B6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B6 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 360 80 248 376 "B6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A7 " "Info: Pin A7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A7 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 48 80 248 64 "A7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B7 " "Info: Pin B7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B7 } } } { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 64 80 248 80 "B7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} } { } 0 0 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "" 0 -1} +{ "Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "26 26 " "Warning: No exact pin location assignment(s) for 26 pins of 26 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "CO " "Info: Pin CO not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { CO } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 32 504 680 48 "CO" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { CO } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S7 " "Info: Pin S7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S7 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 64 504 680 80 "S7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S0 " "Info: Pin S0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S0 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2120 504 680 2136 "S0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S1 " "Info: Pin S1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S1 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1840 504 680 1856 "S1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S2 " "Info: Pin S2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S2 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1544 504 680 1560 "S2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S3 " "Info: Pin S3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S3 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1248 504 680 1264 "S3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S4 " "Info: Pin S4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S4 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 952 504 680 968 "S4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S5 " "Info: Pin S5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S5 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 656 504 680 672 "S5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S6 " "Info: Pin S6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S6 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 360 504 680 376 "S6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A6 " "Info: Pin A6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A6 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 344 48 216 360 "A6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A3 " "Info: Pin A3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A3 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1232 48 216 1248 "A3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B3 " "Info: Pin B3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B3 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1248 48 216 1264 "B3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A4 " "Info: Pin A4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A4 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 936 48 216 952 "A4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A2 " "Info: Pin A2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A2 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1528 48 216 1544 "A2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A0 " "Info: Pin A0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A0 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2104 48 216 2120 "A0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "CI " "Info: Pin CI not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { CI } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2136 48 216 2152 "CI" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { CI } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B0 " "Info: Pin B0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B0 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2120 48 216 2136 "B0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A1 " "Info: Pin A1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A1 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1824 48 216 1840 "A1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B1 " "Info: Pin B1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B1 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1840 48 216 1856 "B1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B2 " "Info: Pin B2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B2 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1544 48 216 1560 "B2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B4 " "Info: Pin B4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B4 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 952 48 216 968 "B4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A5 " "Info: Pin A5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A5 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 640 48 216 656 "A5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B5 " "Info: Pin B5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B5 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 656 48 216 672 "B5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B6 " "Info: Pin B6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B6 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 360 48 216 376 "B6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A7 " "Info: Pin A7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A7 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 48 48 216 64 "A7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B7 " "Info: Pin B7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B7 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 64 48 216 80 "B7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} } { } 0 0 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "" 0 -1} { "Info" "ITDC_FITTER_TIMING_ENGINE" "Classic " "Info: Fitter is using the Classic Timing Analyzer" { } { } 0 0 "Fitter is using the %1!s! Timing Analyzer" 0 0 "" 0 -1} { "Info" "ITAN_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." { } { } 0 0 "Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Info: Starting register packing" { } { } 0 0 "Starting register packing" 0 0 "" 0 -1} @@ -35,5 +35,5 @@ { "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "9 " "Warning: Found 9 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "CO 0 " "Info: Pin \"CO\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S7 0 " "Info: Pin \"S7\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S0 0 " "Info: Pin \"S0\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S1 0 " "Info: Pin \"S1\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S2 0 " "Info: Pin \"S2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S3 0 " "Info: Pin \"S3\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S4 0 " "Info: Pin \"S4\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S5 0 " "Info: Pin \"S5\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S6 0 " "Info: Pin \"S6\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} } { } 0 0 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "" 0 -1} { "Info" "IDAT_DAT_COMPLETED" "" "Info: Delay annotation completed successfully" { } { } 0 0 "Delay annotation completed successfully" 0 0 "" 0 -1} { "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 0 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "" 0 -1} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/projects/quartus/adder/adder.fit.smsg " "Info: Generated suppressed messages file D:/projects/quartus/adder/adder.fit.smsg" { } { } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "305 " "Info: Peak virtual memory: 305 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 08:49:24 2022 " "Info: Processing ended: Mon Mar 07 08:49:24 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/projects/quartus/adder_8b/adder_8b.fit.smsg " "Info: Generated suppressed messages file D:/projects/quartus/adder_8b/adder_8b.fit.smsg" { } { } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "305 " "Info: Peak virtual memory: 305 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 10:22:23 2022 " "Info: Processing ended: Mon Mar 07 10:22:23 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/adder/db/adder.hier_info b/adder_8b/db/adder_8b.hier_info similarity index 77% rename from adder/db/adder.hier_info rename to adder_8b/db/adder_8b.hier_info index 4f08f30..7be6838 100644 --- a/adder/db/adder.hier_info +++ b/adder_8b/db/adder_8b.hier_info @@ -1,4 +1,4 @@ -|adder +|adder_8b CO <= 7400:inst38.1 A7 => 7400:inst39.3 A7 => 7486:inst36.2 @@ -44,241 +44,241 @@ S5 <= 7486:inst30.1 S6 <= 7486:inst35.1 -|adder|7400:inst38 +|adder_8b|7400:inst38 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst39 +|adder_8b|7400:inst39 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst37 +|adder_8b|7400:inst37 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst33 +|adder_8b|7400:inst33 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst34 +|adder_8b|7400:inst34 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst32 +|adder_8b|7400:inst32 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst28 +|adder_8b|7400:inst28 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst29 +|adder_8b|7400:inst29 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst27 +|adder_8b|7400:inst27 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst23 +|adder_8b|7400:inst23 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst24 +|adder_8b|7400:inst24 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst22 +|adder_8b|7400:inst22 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst18 +|adder_8b|7400:inst18 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst19 +|adder_8b|7400:inst19 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst17 +|adder_8b|7400:inst17 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst13 +|adder_8b|7400:inst13 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst14 +|adder_8b|7400:inst14 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst12 +|adder_8b|7400:inst12 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst8 +|adder_8b|7400:inst8 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst9 +|adder_8b|7400:inst9 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst7 +|adder_8b|7400:inst7 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst3 +|adder_8b|7400:inst3 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst4 +|adder_8b|7400:inst4 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7400:inst2 +|adder_8b|7400:inst2 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst +|adder_8b|7486:inst 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst6 +|adder_8b|7486:inst6 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst11 +|adder_8b|7486:inst11 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst16 +|adder_8b|7486:inst16 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst21 +|adder_8b|7486:inst21 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst26 +|adder_8b|7486:inst26 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst31 +|adder_8b|7486:inst31 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst36 +|adder_8b|7486:inst36 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst40 +|adder_8b|7486:inst40 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst5 +|adder_8b|7486:inst5 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst10 +|adder_8b|7486:inst10 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst15 +|adder_8b|7486:inst15 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst20 +|adder_8b|7486:inst20 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst25 +|adder_8b|7486:inst25 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst30 +|adder_8b|7486:inst30 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 -|adder|7486:inst35 +|adder_8b|7486:inst35 1 <= 4.DB_MAX_OUTPUT_PORT_TYPE 2 => 4.IN0 3 => 4.IN1 diff --git a/adder/db/adder.hif b/adder_8b/db/adder_8b.hif similarity index 82% rename from adder/db/adder.hif rename to adder_8b/db/adder_8b.hif index 288d8b0..e5ca282 100644 --- a/adder/db/adder.hif +++ b/adder_8b/db/adder_8b.hif @@ -19,14 +19,14 @@ VHSM_ON -- Start VHDL Libraries -- -- End VHDL Libraries -- # entity -adder +adder_8b # storage -db|adder.(0).cnf -db|adder.(0).cnf +db|adder_8b.(0).cnf +db|adder_8b.(0).cnf # case_insensitive # source_file -adder.bdf -24315e582bd755b4b98eeb6d569ea2 +adder_8b.bdf +a2e51ddcd21f2ca4364ec3cc2afc185 26 # internal_option { BLOCK_DESIGN_NAMING @@ -41,8 +41,8 @@ AUTO # entity 7400 # storage -db|adder.(1).cnf -db|adder.(1).cnf +db|adder_8b.(1).cnf +db|adder_8b.(1).cnf # case_insensitive # source_file ..|..|..|altera|90sp2|quartus|libraries|others|maxplus2|7400.bdf @@ -84,8 +84,8 @@ AUTO # entity 7486 # storage -db|adder.(2).cnf -db|adder.(2).cnf +db|adder_8b.(2).cnf +db|adder_8b.(2).cnf # case_insensitive # source_file ..|..|..|altera|90sp2|quartus|libraries|others|maxplus2|7486.bdf diff --git a/adder/db/adder.lpc.html b/adder_8b/db/adder_8b.lpc.html similarity index 100% rename from adder/db/adder.lpc.html rename to adder_8b/db/adder_8b.lpc.html diff --git a/adder/db/adder.lpc.rdb b/adder_8b/db/adder_8b.lpc.rdb similarity index 100% rename from adder/db/adder.lpc.rdb rename to adder_8b/db/adder_8b.lpc.rdb diff --git a/adder/db/adder.lpc.txt b/adder_8b/db/adder_8b.lpc.txt similarity index 100% rename from adder/db/adder.lpc.txt rename to adder_8b/db/adder_8b.lpc.txt diff --git a/adder_8b/db/adder_8b.map.bpm b/adder_8b/db/adder_8b.map.bpm new file mode 100644 index 0000000000000000000000000000000000000000..d76aff7fea3493c93727f0c99f827fc800489479 GIT binary patch literal 663 zcmV;I0%-jY000233jqLb0B!(300011WpZ@6CZfgku00000038AV000000HXi^ z000000G9#)000000C)lHRJ%^YKoB(VM+J0HLXQI^PM||dLj?sArIo}kjFQ+m&QJ0W z`~W2lLOjflbF(=`%0p3v5aOiM-i>E>=f*zgd7d9Rj`Jn)x6CEc^C@~!>DjD(dx`RK|dN zw{dbOp?={eX?!2HvYz|UZ=_kj=Qe^MO#NEZjk>Kg?1bH{(e{I;+lo6$)D8nb5nhx< zad*f)PUAQmKEOG7JJAtZII-tAkOjEdmt}%8GHhs1p4odtwIQtS{hy^g8QXBcXS22~ zHwR}}H;V}r+BSiLJB30MD67*O%|KxTMpM)dB4wJE8RX7zXk2bIo}dMP!zq*>(=uNp z`d~Z!D5t`|!g_|KwN>^%O|2L#Mhy7|00960cmWb;V*mnXAXWxqrvTr0C;w1C7ssH; z_yGT)ka%B5KSy`hpm-O@5JxA+U{}xi87hn*Eer`@l7WE5%Ur@x;| xyipd&PzHt;FbPp631owmd3d_GxcZ^m^zmD91;{7{1|2ZTz@P@D0RR91|Nr*f74!fA literal 0 HcmV?d00001 diff --git a/adder_8b/db/adder_8b.map.cdb b/adder_8b/db/adder_8b.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..abed25c51870d4c50a6a1656bd6336be5d7c64de GIT binary patch literal 2132 zcmV-a2&?xG000233jqLb0B!(300011WpZ@6CZqP*l000000MG^i000000K5VK z0000008|J7000000C)lHTsw~)H58r=3C{*l#G~3}1qeZbR*5zWm45}$r9(hR1>H@V zl4>Q&q#+W&0f`O?ArO!d@m}6b0|)^juN}V6_PKNJj6L=+cg0uh=-BaBmrMS*dSJ9p=|MqgEW&57xb^Q#^r|gx}`j>BoY(~VrIDT(QV);UX)s-B; z_Bl+a-9ka)=AdYB*59tQ3k@hTQap$TX_S+bx*_RcY0%b8mJdi=2jH={Ntd$f}s}A>74_Y~j8mKmS~w@zQ~?@%*+tz;BQV4f;m$lC4&#tH4hW_5~ z^8+8)kWtR0<{UGAsLzYxl)Mz54@Hs2YEC&}QsXjverMm!6Nn=12?Jd+A42BiUM4$_ z#7zHa(iT?6W%itq`9)Z!X7E4Be4=Y70(+}h1MK_=cH)P!Y++R2Ig2eOqiz#@e;YEN zT(Zn7VVT}!W!nHdBQnL^8leMZM#g>A7)4}`@};l9CmtI1Opkl_2^rA$OOW|!S0*20 zs8PwN>x3_T3z-{TnWOlO$Q;Ede^0;JwR04olnDnXTLw83vr&D=ScGJb>bte9dusI^ zDoht-OZ0bA6!ailc1|7nHAd4%TI(7hj~;T~vN}JJB^B%D<+up&`8j0z86koE07(D5 zCyqnkhoDq8LyZ}Ke^&s`G04;iudI|242tWpxJamE(oj!Ks zql>BsaK}R@>elzM>w*h2Q+OHA%hi^z-mI&&_Ckz2=Wtzc@$q=y^ZbX;uVJanzYT4* zFh_h$5WbN!MlB@P3C{2H@GZFcvq=Ru7|R*g+cNuyA63IquzBKlz-Ic+%dNn zaIBvD3)`?DB#*csJRexB&iZiD-#&S)!$);1hbtb+y9N8Y3*pK<*4SlYdMS^8+sb;s z?~n3~*BrA?amaYY`b)ihH_?Zq-pgJu*ALVm<2i>HG&h2iJZ9f%V5v`12uJ&V^LDL! ze2iyeDNE%Ye@3j}sa8(e_`weH$Jhi$m1+C63KTZqN^?_nQQc zdWE+=uRr#D2u|fez0!|m@r;-_6ImSR*z-X@5Zj2ub!9F`_;eJpraq>(O8ymM=ULJ-@gC=0RR7Z0TO3p00K@R76)Qy7pHh< z56^&jAnocG5)>Kl=^Nm4q2s?LD+41?egTMp0)8M3QV&(?=^C69ty}<6pa50F1f*f+ zdU(19IR-g0mS12VvthD5P#oz zPd_*RbxEI1dBF-`%B+DrkO)YbpKD0GpTCQ1-1}!-Agv4x24Iqb0i+6M7)X_Wh-;AV z;r>6ZAWt$dNPtNOhA1G7tjrUnj7=yrMF6Zo2CA$RNOJ?Rv%6!wzmu1%a|p;?f?!#g zyI6rdkgq|aa4!I@_VEl3;kjwBj}08&IY3!yWECJ!#ydwk`}q60dV0ot`Y$l4nZ(T? z1ylnvSs9O7A7@t|pLl0~Unh_r9tKgU9${oX@JM=L_x%G4*bNFmc`;-)$kuO_t$EB2 zw*CQ7i5zxi2%E3xeCp)@+k6736qbU((FYFli%&E7xfmRPaysbp;IOXbRQKm(hzH7P K05Jdn0RR8R0Q^}1 literal 0 HcmV?d00001 diff --git a/adder/db/adder.map.ecobp b/adder_8b/db/adder_8b.map.ecobp similarity index 100% rename from adder/db/adder.map.ecobp rename to adder_8b/db/adder_8b.map.ecobp diff --git a/adder_8b/db/adder_8b.map.hdb b/adder_8b/db/adder_8b.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..08f18061e0d8bc45c9466262986b952571d6f2ee GIT binary patch literal 7934 zcmZ`;bx<2j(}z-|#i6(sON#}kxVyU-4YW`!G)M_nyaWkOaVSze6fIhcyHng93M2$6 z_Vs<9cjlY#k8f^ge!F|KJ2&^c+qu~z#=yX+#K**N`#WU*>c$|j*IRctW-(qqW_ifl zcMi+~g2K#vB0zpopa37A7_$Kg?ETgr#H?#;@4_s=Y@p6;0e-e_-bB(wmM3((vfWO0p*%!=12I2vBXu@j4X^_IaOgruGFrE!GHpnHSnlxc@TI|# z4<+(!~qmPPWyo!0RUr+ zgJ6tH`dE)55_a?NL<6hspd7%u%nQ#-1rPu4@8#AMaZVRy(Lc6Y4^~5VE;4 zzr~WUpiA%96Q2kC-*kLdjK1Ep4BzxyPOn*piC`5nLBb7os2v4mg0YPaD=Cj|!o0C7 zay-kit?(V?(1vW}ex1}Cm2n)`KEq;M$XJ+f-EE@Q6QJJ@84-4``cP7QH zPR|o^-uY`OB12V*^w0O_S6ZB3HugY>xmfCXn%b9uYay1{5Z|05$J9BJojU<;u0!VSo>3=@%eTgRW60-LG z`RWc@BB8B4l{ z;Wus2uy_jpp4bVHZ7rpa z!tCT(SXfa^!vB4PnNb zlg!AbRU7h0UWq57i&&vE{7gJ0H26o3{Yt3hV7k4CY6kfKa`P`y|I5vPiJBESNDBBb zLGsu~PlXJ7|B{s(QPDG{Tlzk8++O4cfAgraHTg-y7GNU#?)cfJIs8urBbnjcwI7C^ zrB4lvvG_PSsjA{1$x5oSRHaf`r?~HG;o%n1}7VaFZ{YQ+fiSIS;c%TS)Q=h|b1o0kw zLvjgyz8NX!_r_iEdvK$zZI&YcbpDGOIdYUj_mAO0Vi*@g{-^jEQWiT%m?T{}t77*7 z9%SE?;W>-3%A2F8e1yIw&I6^>aK@+n9M*%vY?NI5m?E?=^2Axv2efe6LY3;SqYx)^ptjh)L3bfeyG zEHBgU%+r%j;SE<;*jQvMF1~oycTvj5-T*uPY-@q703nw`aYq<`TkXp0Cr>q zZtJhXGq$rx;cn;*73tn<;XuC5LStdf$7C3zb5%o9H1<}Khe{?u|PMQxh zfYK#-$D8)5zYIgbRx71T-Tt{TmyAxxnbKWm52CztWwavND^mo#zQ3Ps`rale2m?Qd zzkIPrsP@v%_WFI^iTh7^22JA8cV0J++)SMXSdbvxAr|fhj$(KltH z_@p2lptju2fT!HQ*Cu;J!vNsAE`vd}l-qe(R}1;%1ok#+SF@RZIccB42EAMrJR%M$TO}R2vqH+hlm)Ti;u+{~s zU$=7{{yw7s?d)fya3EjPG!x@x+f1KXw-XKZUvts;5k$4kGT|bC1TNtyxwmD@|MZ{pGY|{F{>1i4c_gC*tvQN$37Q}#cW*?oldJFO5i!~D-QAB+b~}UFg_}%pGyB}zOw1Dsyk%XMJj;g zWxlBW0%BJg=s%O4wxy;52fWHZlnW2%mBkXy6wjx)QtZ4kn7fu^M_=tGaK4Wj8|nuM zfSX}ZIpy{jteFm5L$XKcKZIrj5CJebNzT&QBdN9;%W{7yvTF;EBed)O%pt29dgLS& zuG94}I_Ho{b`^q!y0i&%JR=rB#tVSI!Ip5sP>!KRcJ9reR`f2_*pRGdbMc@pZ<_09 z*wS-WpTT||(3X<`I1RSM!+P1@-zEUgg)I?xuSn#kq(}l-tFgo=weSG>6JES0ubS%& zC8eZ-{b1ygI4oL~?aeaW^>qGGs`Q*>D#0Y*H~D3KMg7)o_F?N#i&Z;qcy9!xwiaEW zMCZUxg}J_GQZ=m}N`X?^Q~BZPFjQB>V;n^AjB^;u)LT5v+@o{&&h=z9=(ue5NhZxd&maWlNl(g1ZnkQ7H zQkf}_y5uQ)9M`w=?1*g~g4lsK>I<<-fjxi&os5G|<<-^{(N?<8@`V@#CfQk+1;Dki zEEd+1M{)?B<3B=X1Go-bp#u5|a2Ar5dK8EqKls$4O*+WP9RseaHjBm;(8m^_`aD-{ zF5S9OFrmtb9--xvX56>`8G^fY2#c#o_PVQsy?azkXXsdqT39VKQkgSpk~Mi$YcgcS zychNqDB!O#VR?Qr(|PLDvU^LxU+v<+J~!Ae8a%$SV1|`-G)}2JHy5+Bv_@`r2YY9z zbf65s;=sF|zeP&v4PY@}tzom?*$PIDw4q;b9!tI~7QMh4&_pZrm{-k8KKipxUvbQn9RCr| zF`J8(RrXZ_%&OIU4d z_Xp@wW@W0guqMLT-blXb3I48Zd5<*2AK_j~MNa30PWL~d$UD|<*JrCDi!N8%&|rQX z1hr6hBSl>kn++TbAjxT(r(k;;2{*yxmuMe<65_}k#9P2U`Qy7(SHT=bsXf+IwL|N{ z{+|N1X3C7%WUEVc27&Bce#5XgJUSTj6fv${NSbf7UrJ9o1H~deoUs+mPk#sl=O40T zF=ITf3Y;D2cGLg0l(h9nNl(8I><<1olhT(i$w6gO1G zGKEOY7grqJ!cHz`97X^)D$xz}Jd0qMdraj8IbPAOwV+BH2N{0aVZQ)c(G4J zZI#PiE}^8XodTb7*us+aEr<||w=3#{-du#nS^j`?iGxuL&5SB;n6F-2nw=P>(Wme{ zE)mE74OHSXa|eQLC?)txLJ>aSrQxMlimy95s$wguZvt4-Dq~pSnDAE$!=Q11cXu z>eZGt7At_%qPb3mK~%YiHpIi?0=#wc8G9=eLf-?VP6>XuoamoC6)g2BGT_=_sLdYJymUwL}KpZ7$@jj7! zW=9c}Ra!xw;#GjuHf$m9nd1NjEn}#cw$1&0R)7`GA#h&>ww=zW?WL0@y>zdjQqD~s zc7ZwG#N%}XQ2c$_Ts~_#dNwk^RhZw?YsFl?Rr#?{S%82wj}&n*3L}MV#gNm8@^Agz z*|@T;j#yUOIA%E`BH`=z209bd%o_~vX0ssDRF8PbZlb!|SrECZM?f;%sO}IJ&jeL^ zZnDT=66Z@@y#ZSm&n8v+=X6M3JvDQ-6&^B`=YL_Z}qmr)C+oXS_601uZ` zzz8&ibIKbm;susY(!Qj?^`|UZ6xUI8T0B_weN0N!I^(9##B9>PJL7}3YvYi0*NhuY z@9-VgxMT8zYUHOb=FOf5KOSUYZq@6%pShRdi@3|f*@}6-R&R&ph!i^GDl;vH7s>{{ z-E(HgOkFMfnzKwUcm?qvH*`Pm?;Z6w)*p^VW0O(@5m@f%gGB)&nOF~>=Is2NRDy~J|z>9dDoC>qNLN5@_wWm&3VM<4$n&~WZ>)WH) zNMu476I&hy3`0~iz{0H+r>vzmKh$m>31VMFwZTbmi2X5TR%Z{)(NdK#x)l}H6lx0z zy30Hv&DO zzt>56iRlrQf@>b3#D--wM~@aoM&qVsN*z8E**3)Zd{j!k*>jprSXEAP`(xk({_$Ejms~aq`rC0l`T5p>ZQM1N16c3t>9x?{!%5uUk zizD_T2aw?=qVJx6eH29f&b7-h?X`7I-!lHKZ62cmljs1zxJoL+Dny1r2H7%z)!S$ zqW`w@juvuY(th$JrE#7yY3WO!-s`+v+PnQ?vkt`@R6xlV>BHPLE`=!9_MpmwkyX4C zfJYZ?l$MDU9?<%riHu@IJI*oh{Fn;0-@Qp%Q?hhyWAa7_G$}r;VkkNnBN`le3h}}> z+v-2VZv@u$MBx~h{;nbEHK0e)s^OMTW>)M%moA}bfe@WOg&7t1P1{Td2>{I2T>tv5)LdGC- zV?Ma%$W7-n$Oj0Y<>Ex^D{sE0Uqu{tok*H8*LwTnBPKL%pJs$c&G0iia*0HK@)1Nr zUFcU=+zCYRt|o!x!@TjT3Is(DvlZ|4&DrEX)%)to6S*Q|&X^-1aQdr=W)5PQ7HIcz zH@-@|L9|bxrVYl!M8jw$TpRfF^lAa6!ff-R2Alu%otXtKkMLMUVMwcJv{?Ig-+18^ z9k{m(xwplEsUHmm-;rck7H6j9mYBPh^%!QDcIx6>3R4@*Ebd%7oc ztan`(oAvpN2#O0Q)=IyQNCZ=wA?IxkKSX5m-HmX`F#%-yO>WTC)|m2wufd}0moth; z4=K$?>*AJsTF|Q4Vz=Ul_+CP1MWl|^7R%}>$LV7J+*o12S19>3ALpsf!33f68|$*4H7T2nd+n zN_`O8YiAH|c4U+RPY1DFJz$p4Es4_AkT+iAU(ID2=$o9NQP)bcK>!CI?1uV|Cf+}{ zl~E|ARl&Pz!sc^{cH>(Ie5fu!rY%&*Tyy@4beJnT@(%Vv=WXsOoQ5H7_Q&1TR|@2h z1|bU1z~2s>=N#fC?Y|pl`fgugLVhiPxQ^3uGivrH-`)d;?NDeLf6C0_%iJ~dc^qi2&hmqpJ6=ilM1m`YuRH=Tqp;oSc`;1+WiZ$kI zj=#y9*Az^mB@(tWuOj~-P?sg^9Oo96dK{Y$ELky*VR;nLOGZq;r4X`DB7GbYa{e=! zqkadq?9(7vCeTYcRdp)Yiw5nzX&{|US$kM~DEg9dZDB9j;41XPI#_2^CVQzXkL-0g z2E>R!%Yt=_znMj`{1*>~9$%h?o#~omz*8+B*D(lz%H*8ttE1xHNXnorjT%<0&c4D3 zZ*Mm72n`})=^)F&oqg&s>!;}gk79%d#8YoSTL#RHCb0T-I{(2bJVdM%Mo1fYo$<0n ztJa848hRp3qsoWO19fq^G7-6X^NNCFKRz~nNE2Ta^-{f755@x2VdN}~W1RmU&(BO? zKq_srvRnFPV$D640jQ@xO9{oK*Jp(5pMG|C{6WX9&DL>jr%6>UpF9;uzw@jKeW2zW zT$w!B=UawWe<4_SZS^uoS=LX(35v)~Jo`}aEdTZ)W?o3)FsI%6DIUm2k@z6%B z&WR^V(Bwmv9QqMttkf!(JJ*QQK<{StX|gXV#Rukn=wo@yVt>bC{l{S;y$^o!B$gAu zzI7X?VtwhSt(z1~O>-3&HXcj$KL>J#^E5R6aG4f-mG?E(LvN{P;C1&vlkHbLD)1mS6qFvk1;8^hxA&$)BO?qGrt( zMsbq`RJ;eL*Xsjb09&NwVvq;nfK?}`^Acse}ktVcC h08CH91>%&qv|rIhOPO~@b8MQA)&_(Aen>w&{145xo-hCa literal 0 HcmV?d00001 diff --git a/adder/db/adder.map.kpt b/adder_8b/db/adder_8b.map.kpt similarity index 85% rename from adder/db/adder.map.kpt rename to adder_8b/db/adder_8b.map.kpt index ef3dc47..8bd14c8 100644 --- a/adder/db/adder.map.kpt +++ b/adder_8b/db/adder_8b.map.kpt @@ -1,4 +1,4 @@ - + diff --git a/adder/db/adder.map.logdb b/adder_8b/db/adder_8b.map.logdb similarity index 100% rename from adder/db/adder.map.logdb rename to adder_8b/db/adder_8b.map.logdb diff --git a/adder/db/adder.map.qmsg b/adder_8b/db/adder_8b.map.qmsg similarity index 54% rename from adder/db/adder.map.qmsg rename to adder_8b/db/adder_8b.map.qmsg index fb8e01f..ca9ca77 100644 --- a/adder/db/adder.map.qmsg +++ b/adder_8b/db/adder_8b.map.qmsg @@ -1,11 +1,11 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 08:49:21 2022 " "Info: Processing started: Mon Mar 07 08:49:21 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off adder -c adder " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off adder -c adder" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "adder.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file adder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 adder " "Info: Found entity 1: adder" { } { { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} -{ "Info" "ISGN_START_ELABORATION_TOP" "adder " "Info: Elaborating entity \"adder\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7400 7400:inst38 " "Info: Elaborating entity \"7400\" for hierarchy \"7400:inst38\"" { } { { "adder.bdf" "inst38" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 184 432 496 224 "inst38" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} -{ "Info" "ISGN_ELABORATION_HEADER" "7400:inst38 " "Info: Elaborated megafunction instantiation \"7400:inst38\"" { } { { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 184 432 496 224 "inst38" "" } } } } } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7486 7486:inst " "Info: Elaborating entity \"7486\" for hierarchy \"7486:inst\"" { } { { "adder.bdf" "inst" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 2096 304 368 2136 "inst" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} -{ "Info" "ISGN_ELABORATION_HEADER" "7486:inst " "Info: Elaborated megafunction instantiation \"7486:inst\"" { } { { "adder.bdf" "" { Schematic "D:/projects/quartus/adder/adder.bdf" { { 2096 304 368 2136 "inst" "" } } } } } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:22:20 2022 " "Info: Processing started: Mon Mar 07 10:22:20 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off adder_8b -c adder_8b " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off adder_8b -c adder_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "adder_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file adder_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 adder_8b " "Info: Found entity 1: adder_8b" { } { { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "adder_8b " "Info: Elaborating entity \"adder_8b\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7400 7400:inst38 " "Info: Elaborating entity \"7400\" for hierarchy \"7400:inst38\"" { } { { "adder_8b.bdf" "inst38" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 184 400 464 224 "inst38" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_ELABORATION_HEADER" "7400:inst38 " "Info: Elaborated megafunction instantiation \"7400:inst38\"" { } { { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 184 400 464 224 "inst38" "" } } } } } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7486 7486:inst " "Info: Elaborating entity \"7486\" for hierarchy \"7486:inst\"" { } { { "adder_8b.bdf" "inst" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2096 272 336 2136 "inst" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_ELABORATION_HEADER" "7486:inst " "Info: Elaborated megafunction instantiation \"7486:inst\"" { } { { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2096 272 336 2136 "inst" "" } } } } } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_SUMMARY" "47 " "Info: Implemented 47 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "17 " "Info: Implemented 17 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "9 " "Info: Implemented 9 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "21 " "Info: Implemented 21 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "248 " "Info: Peak virtual memory: 248 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 08:49:22 2022 " "Info: Processing ended: Mon Mar 07 08:49:22 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "248 " "Info: Peak virtual memory: 248 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 10:22:21 2022 " "Info: Processing ended: Mon Mar 07 10:22:21 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/adder_8b/db/adder_8b.map_bb.cdb b/adder_8b/db/adder_8b.map_bb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..dc5ba25cf1393eca0cbb2557bbd0e911d519c0a3 GIT binary patch literal 743 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXATP8cu2q0L+2%$BZAoO!6UBUP!=(OKq0|Ao- zau1qLO`PB0FsoyBq5m9w~bcTkXd;zdoB66dWo;U-DZs&907 zW#F;=#Y_$}g2Lu7SFrwzwso5;e&y!UZ*^Yc9G3jAUsYa~jY-$}Rs2HO;LXA0X4z{u z70*9*{onCJMD8y4VRx-(vX(ZN7k^uk7H8l8^IE*eJ^pG9(bX@1i0%LXEoj@My_5D% zTbnArsW-=4`Uw9c_K(d!9RDcP3D|e+-;;dp(>yaRb7Z(}LG=rTGwm0y21HA5Y`W>Z zDLwK|dmZ;n#-0@d@pInpnC`<@R6XM{@686aJ@XGNTTp-RO31!{`@O%#oqaFN!0`Wn z1*1@6G6R#Jf*5;Jy7=;nbpG=V6$)=(i3^EHzJDqGpm^cq{i@o`$^mtZ9Sux%3cNx5 zp|4&_%@JN3!w|TDA@nfIlEi0K6}#9h*(|GF4wbl^yBcPY!nXQ}Ly$g8O7f0m_w&K} z6B2oNbP^^tG9G!%oHQZmxIq2=3V(a^|D~SueRm$va}7Jj9s2CKl+gR%qf6hu-~W7uz7ky1+(f*8#D{zvUk@+3eLVUX@;W XdQfillaTMv8E&s*oB~Rb|NjF3BVR<( literal 0 HcmV?d00001 diff --git a/adder_8b/db/adder_8b.map_bb.hdb b/adder_8b/db/adder_8b.map_bb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..ae9b43834b2562e2560117c02dae858cc41c7e14 GIT binary patch literal 7170 zcmZ`;bx<6@&c|I#fzl!ehZQgGP>L3JDDI`WyHkoD#VOiCaX*T?!{N@M#qADvIQV$) z)0ywRNoJC4vinDV$z*ny5D5vX0v8#{@tGu^bsZ~LH(Mu1fDi{4K+40`-V(sg!w2Bv z=X@u?$<4(j1kki{^|ZCH0;ri;*a5f!njZnWR^|X1OI!EnZ7s;i&u1VZ^}hP2Kyt+R zPl-bLpVAu{mhF>F*%vS%2MDWfdN030+1bAkypa7vEW9fRx{|Y3z(l4sjdHcEcDD3uTsh!aA zTfjs=Vzxb`O7tL6E`i_8jJn0_*85QW#^jYjgEb;UIf(?A^v0V}u`TUMqeM42abrpq zwR^a_EnKFrNlcTxsxvicTKgzKv*Cjq8JfeUM?3k?#q%MJ>0mHDtvcY}kZJwfiKK%r zQKTaMepyxHTHEb|QxBlqms-@0o)Jkf{cHLFz&@%1jr^VD6y}3C*ODxxR{vT6Mk znT@L=M;Yn-6uv2k*!op;OE0!0>Wn>iSU9#i-3Y2 zA^I_+_rRcjeb4~kJ#hxrxWkOMsKahwjUu(`>FgDvqMxz?nmY+Awuu{f`Ej%;XTFN} zz6*K0Rqbi9o%0J`4puqzG)t+%J{?qYJ3rj2wrMh(ew%?%AFVuYaq6rV#r*t`gt3}T zUI=RCo{nEkgZtW>?k0U`cJ!r4X0)$*nmwE48%W})YX-*m2WM;gm)jBm2W=0H_b}c% zMus4Wwx$;pPI*hdRd(N(eJdFRM}Jp?kFAdWJwB2!**2(0=#Cq^c(M6#_Kj1apHN3n z5mJv0mB@V*)4DH`sJC+dhT_Z7^tg=NQa3?$=TVW@-mr;Mf}nDUyfd2asYUiAV;9;y@y3w`IP$ za;O=+nmQ~7+Yx?HhkAtnDh~CE_{FTMXip>&SE6aBm_DUwod2mOImavx9BrImUOLZQ z2IGU2`o)29O!CVi&*lXmMBzR*>5760&ZDwull5m@&^Fg)N__KoK>vR`YM&k1f5wGv zb3LZS4}S;DDcqMQUFkEy|I0y9CqY_7O5l%!AO70^q;->cF7-4rLTA05#XG!CMznkJ zHTC4g3l_{uvF=5jXBEYa#t7e+mne_g=>PEw!_qD>ADsGoWOT8u%*-FUFQ16{Dk3v< zq?K9o3RC2jeYEy%=~l$ z+HlyuB25N{{C#gj>mtP8$W{51{{khOU_xK3L0=s&aJfD zW%UcH)c;+|{}+pYo$~*|qEhAFN3eDcTPWpV`HKE6_Ijtg_%e`S-yam2&yR5@ z`N12tW1e`|U@AGT{qyFVk!1MK&VH|;w@aa&vKh2igRUsF7!4z8=4$SV0KQ3Ex{Bg*odTYlO9P(7pyVm{Q zBj5x+!w(tbj|2~lronY-V7K7x{F}yGaQqCWU;BZW>(bTf?XyWU_iVzd)cu$JFCRDW zYj=k&@+fYpwU5yZuKazLXOFr0vVH9T5hPmwykA&u*6Zldpg|h?BX{UYsWuZd{X<7Z z(?5v>g)FLK+QHc6jZg8=k@Sp#*rhb5IL%O2KQo>-=Ia-zJ+!9bvEo?0 zIg_X0B&VUl2CJ=ct1VfICTy%nHb{l^mt>Ylq@Ep64VyfB?oQnmjKXesRjj@= zAn*pF=F~8eCp(IMdE!^?zA?02M(5^c1CpcEH1uhMlMF1k{LICJutPtVD6&?R2437F zd3PVvFkh4UPOqQ_gcYnx)me(~J}7a_b6Rdu16o;OyGv^2oz6~wi#=+Z+wB3zNyNtb zYH0cKPk8=%V||#N$e7#(As42HGEQkph#0hK|8jKG)LD+Ab|7y_cnlww?TeCEI;s8n{B(zv=6>+;Z#6Bp= z266y9z9;xuZW&WFsmdOuC13_G#E;onF^vxmb`AFCjMF6uYS9~GNAhnDZq)@BubA2G ztyRVJ8d8>bpDuC$WhidNDD;6qlkW+3!6!$~cGfOSn6F=AkJjRk!eImCbt2|O1bfX(F+_8vq=6oV%a)7QiR_##mB=D}Z%z8x1O zh~KE4Q}~tvOBB9tq)w1_*D~DKZXQ%OAp&!5I+Jik4#W?q=?g0XTbI5$0@n1$r05HG8^}o1N8E>s63JJMOc>*j5Ih6RLjr#zyDsSEU35+S6j& zQ#i(l9IS+Rmv7=jy)5UCAy`g3{lb5IzLME}6LRrdz!#x};c6TdQO~;W(`S*C?kFNw zHh10$1X3SIWe!lEo-gn?QlD3Ucp!m`FDMF1t)jUax&v>{xoyaBi;6Jc<@-)e02&9K z(ZqGa{Z|RY&np#&`*gqb|@k!(sL$L=XBHs!8A^#o!ao;u#b6)ces~avID5 zyXCpmKLsBCg% ztd!}jROw}u>1ADw?)97^uJeMf^TMw4AtcRHWPqEPJv1)gbzkU^uH{x4_Zc6>tuRqe zRBYN+5C&4v+wp}1NQ!2wzee;NtMZ|`eRuFopAuTKo~gv_->g^ zWM7(5N?g%$>m|i)WNa1=8bkd>%CsdDO-e%iki4-hjwGxAo|t`sw668p;U>b{vKVRW zp|h~gqNj|LBF@sZ9A#6N64hSMPw%%`+O*lPVTKq3=cM9C>wHhnG&f>KrE};v!EbvN z>_wKJREO#crvtvaUZ1%u4n*xMDZ+(x71&SpI6J)+0V1=4i91xv4{ok z_v4c!^;pPa{{UDvu$- ztHy#JgWQd4uT!`zI$PR%P!T&ziu1u+D@`ANjc5MYdvnm2d=FjOv8}OtFUN~r&SLm2 zGJApU#e7V!t|XR*|8sM{JijG}4yjshaZofZ#TL^}ib*b9A4-J$={Yr~*SJ%;O!?e< z*n?Q0SNE$PQk?@8W9DJ!od+wzYiS=J>I`SeIg{QQ<4Muu6SP;hrhc!iN$Gc-eOx!N0F&Bs6s^v`g&?|dM86NV{hjM-O3efoWb_I3U9`;5>ZrGI$B~vM!LdbZ^i8 zt>Cd~mjDHw!Rvl2f)Q8D9e1v)uu4_>J5;(NYAbu@3rWct>OFe^hRg=uyEIox_nCOt zKdmfu>gZjx$_S5`9dl;q$8Xdk=uVkRS_ZBxibgIIvs{K3HZi?IbS{L~w^zk%HrsrwE$L(4XYsdsNK>0l@`thEO z=ss#o#8rGPCBeXI>vxZ4}Cf{hgD7P?La^0869f>^%_bcY6IxWS)f=LQEq0X)^`hE(QaR37!|kBFi~ zWHua#La&Y?gHn66-a-VCMs*~XObvsBJc44_GEjUb8e{*8&a^aN%v5}fImiv-rm2g< zsqZXjl>ut{bH2J+N<4do4Jd(icEDcAeDxZ$R02(gmOOV^Ewjz-lw zU}o#{yR(Fnr0ilcrqbCch@iSY6U(l2osc?QW#-M#6PqTd%R>29h8KRD08@?~PEYC= zL_5PN=yWdTlHrW;bp#$7lIa~QS*RhJvA0w9)Z%>bP&mVjW74SW5J?65>$t1bgn(ZE zE7B;0w0Tg1pd>xP%cwBv4by~l&KKVzdr=bfm|w(5VpaGR?`?4<2pZCZzT>+7-lJ!K zAs5s8j?RS*>wWEPMEq+w!OW+|YMQpR(7yvp$CB6kJ8%2GNuKiW^hV$(^}LZ|WSm#3 zgjQ2Wyo5{;Q4{#U;WFv-V^rKwa(J- zwVoRdMPK{}`^&Mv2_=`Z@f89T4W7IPPtJE*4Fu=D7=8l`H>9YZQD60Lq+U~^J#aX~ zt<*+=qs-Ntw zeP0GWE)vFa$6a$?_2*r!`;8E&j)we*c2*A|?1%^L=)}c?>OoZyYhk%hB66-xWA&#A zS6B70(uCOE?>EW@fj+3bC(?hR;S%v8{QTnYmW7(VxAzKSIE@;voS)wC{>Fcw@|^{& z^H4(6;XbhW&FUg?5?Qf&6+gd=pSR5>-`;z%nn&ncUa~$=edH&EFW+VH%jOWWozk{x3dtso( zhE2xG?rXQ&EsC>x> zRSq%z5{mff~dSL7Mg}v zj+c9y&W&bqm!X%G8Rj96ee>9r4(KTd3H8AoK55(#SLvF~FGxmdcJxaIiqEkG7L)B- z7K6_n6Qj@X0W4!f8l=02c3N52#af2SEj*lKK`bJ>WZGGp87z-x?13m7tpdR*%!efd!n&YFaY|0^lUl`hm50@w9-Nt*a=J7knHE$FCjA4l5pwe& z`wU9sy3;)3SFg@s@9`*w$`V~O*T&y&wwUan-NF(C;QaL5_QdCrxrI%b$E!P;|1r@E}n=L*_3?%t(z`~x2^k7uYf z+cNqxAJSokXX%c5=`hA87dYdS$S`%ogUfBUc9jvsTBGmL=hfet`-0q1FL$A*j$48K z@L)b7Cf-s6PQu(6t*gAx7j$nwbq+?p&r%Q(lxqcGTkg$-85J&Z>FIiE%cdxvqX& zV9BFqmQ!J~)ef=icyrF_pOdbT0llkZcPQP>fmY`#r$N74ALiEn3Y<2=G|0_80xR)J z801#Zj;GcGA?D|{Wj&yU@f|l^g<4zw72B3*>uDnu0}&-JefwJ|#8$EPmbbt~x}O&` zdSNHH_(Ruw#JZMM;!aTInx;PULC&aS>4BMAiQ30Iq!kRzxQ@LMcmw;m>l~0GW@wU> zo%=jD>^77=lX4q9w}bo+3mzH|0H-_^qNAm{8w0CP%5duX%rT2EM++$eRGfv6wvc&C zf!lXb4++k7ks+_v7I+_qPn*UNhPc^__b>3hWtH!`MrMN@NLIvmlJ~!8x?wZA995(U zdr$Glo3DE{CnigWWzP~;UU8P4!6Hkr+n5;NmT2X@&qF4R{Y~@yHj4nLVkcVAP6GBZ zyA0jWn!aZdCc2pi?q(B?%r7w~nWdVtyq!*oZ?YFDKpP$X)3iFG0q1_}5n4XY+3poc z%BU88xOTc*t|hcte%jXA`e`Qci-AempW?cxe6eV!2<2@kp2mgE^V0ui(bL(=%XM+8I;z%T z4(_$T2(JWN^>*`xRxpC*`CH-X$s5@}Syld}I^{raVWD;NY~c^8t`qS?Widw`GYb4UCfsd>J^w;F{{)mkn~Bp?4LI73Lg7QAv2ROSLXWoTo3;;= zE<$T^cmdC)M@EM&Q|*{vvaw-FTN!wMxWZlyrZ`m3$mT7hG$fv%kTN;;B`6Ul8QQ}g z{Z>TgisWN+@wZOdQtGbzGz6!zHEuop$t@2gGb!}y@84I;6B9%ky5*Adxw-N|VPR4i z=bDj>pVWH@qD4tFU?!?EQG-;&9s{pvpuTm;!vxc}zm;+dv%H~-%Hw2Xh!YzJM23ux{+x^2r4K=E*cD)hfTG7O6>@0_uwBqXS-(&eg-bP XF7H{HpL_8cE0oq1&2%{EpWX8xwA5t> literal 0 HcmV?d00001 diff --git a/adder/db/adder.map_bb.logdb b/adder_8b/db/adder_8b.map_bb.logdb similarity index 100% rename from adder/db/adder.map_bb.logdb rename to adder_8b/db/adder_8b.map_bb.logdb diff --git a/adder_8b/db/adder_8b.pre_map.cdb b/adder_8b/db/adder_8b.pre_map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..fdbc9ebcd358e75ca3d3ca0cadb44ad6d2dd23b2 GIT binary patch literal 2341 zcmV+=3EK7#000233jqLb0B!(300011WpZ@6CZe(Ks00000015~I000000PO() z0000003`_k000000C)lHTv>=5MHuc~FTB75L=nlp2%?DDxNgjg>CGBr@QAP|9t48~ zyiHUPMYJ~?Bp@C{j6pn5JW*7{JS4$vJc3|QQ54jNAc&%(;st6vGJaoo)l79wcU5;+ zW_oIz3O&_T_5byMU;TA;cWoMtMq?-lf;}Ss7jZDeoPTgPj97-hg@i~Sj`%NRxn2hr ztY<6a{%ka&pKt+Q=yHLUQGYjh4X!~O)YS)u_C;qO!oQ=Zaf86|h|V96)ISg-8SaCh z({M4Kn2#S3hGS`&Vw|VVd}=RJw1a@Q)nL<}7}ursbQbca?UZ(D+iZYlV4Z5PAzzfz zE^T{Ex230zyi?kxZJ(;!($hxUDecm>=jpcew9$4-yR_|5-Iks<+D>Vgwmnz3rKgRy zQ`+jf%35qab#kd{>(gWgXlCVUW2tO#d`~WuqC>%+AmsoQW;_z)^12dY$9D z4jdOG?(2C!?r`9Eh9?}iJ8+!zgyU8Rj%Rzq@ioa&Jm;9!$EP97@_4S{jeIKSJp(7q ztcNn_#Sb@>Nk3ef7js#!uE#V(o;^8nQ-=0lk|agUdh<2`mr+Rx7mE8{pIDzS!BK4= z(!10Xj!!voyxbFxk2`Q&=?TY&9XMX?3C9N=INsn1$NL>P-s}m-yB#>*>IuiY95~+L z2}is)NM5jt*t7@G*T)%8INt8Sah)d|Z*kywk0%^&bl|w&6OPw8aD2!Uj#oNxe8dxu zS2%Ed!V`|m9XLMY3CD{aIKJQs$0-MnuXw`od{#pNYrDH7`sWSv5T#R*ebi&0}#93 zF18V3cy%0V@R?L8JPWZ)>|!rMY>8bAA1I>5cCqac!%;9BHhg}Ja9YhKhA*+v9J?3} z7evR|#c<9dI@m6TqeBtS+1s$~gcy#@Wr^`9VSvE?#yBVN%pikBO!aA^koo)n6nhz7 zKy!o{O`Y&dzxnryZ0U2HgFRs`Fjbzu9x7`7wp z!1i@9Y{%4r?TcdA=G1}h^J3U=TB`eFfs0Xr0eDg!*zo6P5#ChUCI`F-D?(xK&LN+` zD4yDF*znT49&82L4IkL*!8T)WH++n*2OGW|+I;$YUD$BSz=rL^y0D#P$M%&oHlCje z5IB?#`w&Pq#W+rLHnhX63W2rZFpFbpYOSXOpZ0@KandE4Y0l&WpZ0@Kaauxo&Kmf%AAE{0?#lD&z^DD- z)4@2cwzursvkX5!a)-u^nc-PReLkIsaSDx)YWVDzM9J^4NU*l|5|L0XZxzRP6yt>i zFNST(7ejtcOmf?Qg<5+7at39Y&C(0)0@oygKEnUrmFZuWiMNnaycx5MFIk%z%8gHD z#Hv77Z{?6s^WuBzh!1F)W#Vmo@SWB^)LS9!>2{f3qsc3kJ7f7-tDE*NFko4)$#)t{ zXu$Ghm$BeKodKNo`HOA#YL~H$7_jhV7I9{Tj`Wwq3|KzuGL|jEUe-7|LEzk*?XsV! z^D3=w+Fvd5vaobw9K9pBOH7g{?Mn>UD5UxZ^A`%7QeKIhdP-h=OFh$Ew?o+ZHN&0> z%R~pTyj2m)WCyUkQ4!1H4q%zBh$ZI~6#GTx{vy7B1!emS{tL4(?vU+2m9g*$7pp6a z<(v*+*<2CJc^$yQ@s9s1A~k=ooj9I3@5*t_JQ+Q)I9BGepoIcOd zCd)3$&=GhlW7(b2Cej{GVuqsrR75N}hvz6)8Ox8r!b5{8V@S{**J;LCWu3r+KMk+s zBmBv98%BXS6FT369W(|zuvZ3Z8O zUG~v!6@7GHpZka(;q%rb?hCrP9$j0}N00Woj~+AlXhoNOw7jB^at=-g2$_A11wQQ= z?nS%s4=#vX4dk#oha<~6v9DVO9Qr#3Vxm3M-g`63RqV=r$9;5=Vobkf8L#J*ZyPBY zkPXUQR2~*Jpi!&=jn*&-v6%Qq$H&IUM;FW=8)c>c0RRC1|9AlsU}FFRP9PQsVrLhp zcxMmKfOsJ7>K76e8Sm*E;B%qlzaxx&}E0IeSFHbVSb8Rxo4+tK>jZF9c*`xMN4pQ!kKV3=Aw_l7RulgDDMmcMNfj z_j3*L@eB^Be52mN3RcvEq!i{_s8W9y*9of^FW?5N@PMj252OWv7-Ty{oqvdHknbk_ z-7K68yMPiVI2C$=6xwWEWX!?v2&hN_s?Y)$93VTK-5ulooxEI~L)ImIHst}!B6|cB z3L+qNaGT+w^>psu%`D)+*8wWvMN#DGf2(`%9X19jplA#bqk7WW-`8n|%OVzbh6O-5 LA0P$*00960bLLZo literal 0 HcmV?d00001 diff --git a/adder_8b/db/adder_8b.pre_map.hdb b/adder_8b/db/adder_8b.pre_map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..a39219ff5823ebb92ff5f73f246d3d9ca7dfe301 GIT binary patch literal 7951 zcmZ{pbx>R1*7vazXmR;La4S}%gyLG9qBS&l@Zj!JT7n0MVufObLW)~}K#@R@7AWrS z6nA*J_rCAk_mAh9^*OWF+I!C0Gv7IT&7OTov9Pczo?v4+KbYJ@tY_`+VejJ1A|ddc zMZwD+3Sj{Wi?BQw6%-N^1U-K)!SdGH-P_*Ennl;b%8>=c^7a*rzO^NbGQ{5V;WZyN z?1vgySi|)Hc339(|M8^E|M9-qyC#Gw9LkxMKwVuWIDO<-DpD%i=J+oGz1>no}Qd|4#)WBq$Y+09(Lc~yFnnUzXQ(q=UM=6v=BM-5g841v_s>jQ)V2YnIj??~`0TwtfEO(;oA^f7%acGK zAxXP@Fex{sp$rGHb*q5@trcb>vx7xY1n-i>!1e|PD!1G4i$^HNbtos-& zS?&qhLjITZ?FTF0F!r*fRlfIC)*8C^3)k*P3_C0Kl=HWaONrw^yVB_kT+;nDQ}ssD zM;Db|aw&wn6Y`xe1d|0v5NaS1+LQ7GzR*8^A)NkGUJ0C5A@c$BN#8oYETp{a?*yg( zBOoJAn@BPARxko!PfOYc0V=9W)m6;4FY#!+Exh)_=&rG=&F1cV2D|Q|>ToAWXT=wL zu+MNr1pngWoyLq7e-^VlkY~+wC+3o5hriSO`({*1%*o&D$u~B-q*p<>5`LG} z7RP6dP1plKT#pn{YT-KF53f@SPC|@I@03cxZ0}^rd9(0R_kIXzUqjjGYoee7oYg{E z=$?l}_#xq_7D`62Yulb;+ z!l9sz8x|$G>73EWq40FMpYz{51P`1~LdW*E>%xrotKZb=Mm1Ld8~3P2ca_TH-vZ+6 zKE6223dmG&9|ZEQ{eFcxIu^Y)c4R5AWBdnZz{(aqzFsb_r1aaM zME7NdNF^D$vSS6W%)@{YB3e<2sv=I+4F9`uIPmHR=ew2HVJGQk`t1-r-^q4Sd_@)_qKyG5) z!Vjj+mcj(u-3Om|q>1m@>*rvBq%(W4onsB{8YZtt@IporBlHi0zpQ9pQO1N^C^y-G}3|fR2 z@fk7x_=su+uQa#7YY}h7PEDt?M;x0`^2bt1Rrj{M1u4bChq%Ydtc5>+lWn5Jv0njB z!cC+N62KGeM~2YY<3ba#OzNDf(QQV(CeY9g$`cA-VmroM=EME6GZjFG| zQbJ*mJ&ipAwnp&Bflrly979frZ6%=qGazy7=E?g+R>hFNPFb!>;O6_qlve+AWM$%A z`I*;XqRtj8+pAQTUYQHY-9ht>*l0KR7Z0T*`FNB{Q#LaS$ykjG*y9u;r2mN__@lwc z_U^h0rb{2AT$(nJ;JQP)D3JHrwDH8*cyrGYz=6DhuXbiSyj|GS?sh z%(}`=9Rt_80%-k(`1EnsOt>bP{vxSPYL2rUxsGR;1ayi7CidWMA!TKuu2Sy`B$AG$ z$(-)5JMYuQ4e?Gl#C3w>f4*$e@42hN95y)q)@w(4n+}}10|mUoujEuSgIXkY@Yiz1 zkjJ(q(!Oc6*TjRgvjGh4?#UZ{U*vK^z}JNJfMNQ|5Wis8l>{;7=XHP$X0hPr!_l}r z=is$d9I%iDuVWDcB9<(eIdyA;bSqJS@fEDB*KGB4d zJwP>fx1V-u3a-3!9!)z-ZwYC!gdn04TX|LLWmM{)s+=9sP8A7N*9lce(N4u!L1?enB6C%zKL~#4K%}kg_HIvUJiSTOYiY>2q0TeR-h>T5bQr@Pv+$iDaFq z$1MB}DXY@$hhFpOc;*C%?5Qq;%gEWJE6#I;m&5p7roS>leE@58Bm^C4jaG%A>p~ZY zmz(O;;*MW^DJUU^ho;*?2(DGZFR|0&U^8`dyve&{>cPCp(kw|gMx0BnKBE0ghYr*& zlddVVR|)B?f~l;OpjGS8$+>&c%+;@;RZ2^VJiKsX-G$8q*SQib@qb39?Scvow9-{n zdwBm?)>tyJw(;zE#SmSJvP9PP@9AP_7I#w0zS*YjlrT!d7gAXpa1^*`CGLK1{D{r= z>4&#qRZUzChl=Az$BOlDS+bi5A{Y?=>}*B56}Hj?wV}4Or%I>qTPtv z0ZkWwmA)E&C#K#}g!O#NtkGiD=mrRyAGA6HT9pE=wt!akfJZX&yRFCR zpuSts>TCJjkM$AicAi6PIb4FgG=iE57K|&|heB_&q)0wbr^*;ANxxUhejM?OF%KI} z{>#15Gfn=`ec4>F@1=Z)r&!d}|c|0aSt{d~!Gl8SPJU``78joKe`zstDP z^af&G)O^2crRxi)x!2_IBPMeQa-2kZUT>g&xy!$ke<6w#W!?88bZZIyX;!w-SQ_Z3 zP&|Rl)wnGPLDN5g-C?F#vE_G~1?SU>TFA!oP{tWBdx}Cn#a{2n%V^+4A^EY__fQ=6 z&;vEtOb(gPdxhCQC_=3*I{CjeqXeD}ekGbm0>Gn*2f;zBGNG;<=EIrKJtW%1c4y(pNRV5#}M=bm!T-AMoVy;<`E9iJ&cG44QFHVcG5?4D2BRL z&l|Nnh=i&Y@_F1w5!qvPibXcKWak>Rd+@$d+4(_8Yi4+2K^dlhU`)$6#gcx-39P1v z_kI8+o6KKpYBA24rQ&&t$e%eh;S)&a_rwf1NEk)S26LHT)XaGV=NXJ&TPKmC;R#p@ zaaoQ%jg$EzvHJ+hKbNHmcC9ANMQTBsN`VXnH&Zu9Jc+9S`9;U!?fMO+BE7P7)&4r0 zD#^q}fjLs^N6xqrT$fe9RXjSYlU(yOy*TvR{qlAFPp9LIMTZNYQ8U!y=CX|ddU5&` zPGj1PD$k^Gufj;3CXF{WLPKptY0TIZIkA@}K^{}%{4?H~n)EGFlo8A0m*AZVZRk{! z)sFVOd;&2&3w2|o&Cl+Dd0wy~qe)mmO`Lm8)YEYlzNZl_Rc^$!uNhu9(5Uv58%!F! z1)a~Aht^A7I*_}?!F)?#zBFXvav&JyIUk3t5MZ%bmDvsrhJh|Fk=yX7?a zHmBH@H4RfYU>w7#>O)Dawivhv1nmxV7hri)zKh*6zY21HDFIBP!E8~J2eB2H=|NDD z?|S3TE%33IE<$a6KJ{oc5}H*eY?9E<<#CP5=zPi+RLm7rG{qv|a>($ba(sHcH}iyI z`7xnecSIvKp<7WzBkQ8Cn{}(ciME<3QT8@pV>?v^BnSz@wt0^;@DVjBH3x&0Uc!)f zpj^G`I_6XICa4BgRBRNCCc0=WNU7aTc9e`` z6ZrV}@nnor7ah4<70kB^Hp#+v_f823R)XRwNJ>B{4C}F2H67}sIS_F5`aZZ=%o>JpzlrE0vlGK)fLdHKk%sG-`!Eglpi6WAIILa z5n3>X85SWXFoVZjv9Y{izU0d5dGqL=@8NrRc1$h5OMUr1bJa0a?VmaB(b<&HbsO{D ztKcUDQb4u^fPEx`JuZTu_uH16ixXQ1+bOM`qDX3nj9#k zrk*Vbdywk6_dx|U`6!zuK0$u*L?2wk8to6Pe)$QC*R`Lb1?sa&T-9J(HdE?KSMFjC zBMWR-{gjOtLclkS)3sk$14&v7MVBjYa4MW~C|FbES4ZhKDU}AYy>nrEXT~lzPrijSTxa@s(4>aec!+5ATH+XhA`;Lp1}zqD@6^drfdm zaU>zz8Tdqo6i+ho(qRi5tC2+a*@{+?yA#3hNmub9<}(N1aF}laOdN-R8`83M%mH*S zG#E-&?t0C(Y)5`bMeY_06W3E>;9`rXA?Jd@D3!a^*_I(J5z|3sYSo%b*=%gusnil$ z9O^N!k|)b8ImXLd8|G?YWuFs$TiB#s05{VDRd*;Ih%@}A zXr(^W)kqT(`s$ap*%Z{48GIuz7m$sqI~-Eg$p|Y;jgEWcMo^c^7h@FXT=^}nFWxkl zPC!)BS=jCtQ@0$=TUt(|y?DCkUQq~*JYLaG9f+V3npI^8g0pZ#aTr(u6!d)8N2pDj z5`QxKbfy%3C1~T;@EI(j7ir1$36(P=2A$l%JpjKPu5!D&-)lu32-ZX$8y{$nhHX&e zlS-koyxG$HPF9p@X}vQWfB$&0s`9%f{8~d))+4%?Xm{gt%zc2l;Wn8k#)@UF_~#Z( zQ)_KvP*cm=W_+z0H#sw`FEIFvk4-fKA=cmwnqfnpeP%{7KRWWV5E0PU$(dO;lk%E6 z!iYM7)24scL_D=4R#$M`Qr2k^W}a>lD+Q{T&NV~pU7UuS=ePPg=If^J!UmcH+Zudq znz<&@cMf)Fm#4et+szDAa^Zc_oQLKDc{%;W>j3=cl8C{L@pb=z>k@oG%@j1axM2kd zpjaS0zBHc^JNFIddT%_Gp)Uw98oKX>zjE6X)6T1sIXqguy3boa=n7an;`!R>o(IV3 zJaYc4k(haRzRdzYgN~vpdTo<5)^4b-+>T3(9{nmu1)dmYFK}ol4~_}NC%6wIVv8Q% zSp4W)@#LIerAN%#Ce@>u@hMHKhu3M|(qXp8&%~v@vb2D1V9^}S;9b3Wr>42_a&_jn z0iY$C&$FvycRmO^Gm$@ED=H>OG;b{h);O>KF_PiK4}z}zfo>0!(^nV&Yk|Xz?U5Y} zCbRXS`ccMJqq=O1qgSQd-^Wm898w%8V_zj!wjvv%2L`55*)>QefX$|@@sXq$jr`q-p^Af!GP7t-~ z2tuG7ElCQnKciHpMqMbtRut-y)%$%$wuFI9dGOq<5$^k?&w z)Ord$U}G(`26T^9t^231qe6U1kfkF3RrF~2O4Wqhos=r$hLZr}2BSXXMt4%Y2hgv{nJZ=Z@Qw?^wpq_ z43~k~C(4p$$8Ag{K9dOXv);hT-Cyu~73xp#a%sY*0ZM`rcSG*lDDt)QPzUY0RqO^s zLfEkCZaJf5$QaWQBhYt$B%|<}J3W}{!*OpR1AtDIe$v#Yn2W1eRNHw$sLFY#D4Faz z)nquWxbgUBm5TL&&laLgC+_|?^938tGQRw6zj#XUE&M!%Qm_iL1D$Wl3}cJ4QuEFm zX5S^ur8zE37_4#L`<|8c!k?5;D4wEK_mfTqDMK3Elkc^}gtU&FF(OM^H-J~pBOaBj zfd0zvH~ZjAze-=tVBu5tso8vK3A-#oP50yaeg!Ut-U0{U$mKQY~g1} zQbAgF6VLgG!1D1}{tNf+sop?=I42Hp!pW1Ftci7J)a%K-z1pF`K<>_f=WI8wAc-0K z`oJ7}fFfhv%tnCJ&6KwB#Bc8z`@pAcVAA8g^vlZj`aOVcR)*6p<8E-()W*ni?@sq} z`c(bpRJ;s8v2E%KTD4kJH`i^c2$GR_GJU_{YMf=J=3nncC^xGuI5izHC~Iugg52Nk zDAvfT@rVa4Ttb`q(q3c@uS+>qT|nDTYybQV+_8K%TwKCG*fXWQA~SSmFf>2>BJe4P zC$Xvo<|tR0a7D(?ch>IsJLqqD!1qU-pxVoy6Okza69*65?XH>RRwh@hx)CRY7J5_c z{?HA|5k<8{Ut*8;j#fF_z54xzqRJPh4l^#2F&q7r&Zp^rf0*ap@Ev}=VhDloCseGX zuJ(TE-Wxp*3XZ+&jJvmyndI!W&++K=Zi`H8Fqv2i+@5OJlOiaQf%KKM)x1WD33~^L z{1u8;(0%EHg^-FAXZ~^C%DxqzV?53HgNwC&1P&~g*y;DLP#{$aNaK3=nMgl$4Lq% zK>0mlk$~g(+O_w!TH-8p15WuK7#r_D1?q$n9G-uvT~gby-j3OgI0FD2)>5^N%R&c^ z&y0qrcGEj)Teohm#2Y8<-2y1%kHl}lXwOWK4(0gUd`B@!)8VU=(_feC{s|M?DLEd4 zE$~Z$mkocef@lSgw=clEd8GUFs6Ls?wp{oDl_MIR_g&(uUSY9_Eo#tb9P;5K+hqRxk9V zni~o*oe57XzPgS`VBc!2C0$>!b%BxASKX3tl-QmD5G%9yUJVG@^+-0fg=6|$t(k0@ z#mb0%;O)EKuL1Xzo_aY2eiTgQAVX2vU~(zZ`+xnsyTA9v4ouNfqkc`*izq&OF{*Fx z@VQm?dpfNHgo9Ah#bzEECdNE4OBiQfU}C8By9ZqRtu>~=$Xc0|ibB~uf()<1VYI;d znHa@K7G*Z&jS$=YtP(Yv{aL?*yY*R*yN&FuN{biXBfDP=f4S!uxQ|vTrbia`h^v6d?-|n$kR6VQhPD6yv(X8zG5bOKH6gFcaO?? zh>0d)ZzQUhU8~%c5um_~rRrjGE-X{stnW2#oZB=H@@j|lao*+Wf2f~Bvm(#`$5 zgsUSNI3Ldjo%8Kdw7|($WTIGyMMSEt*0tu!+pZu4m0b3Z*sae`OBr~w8p1c;X5n!Y zD`=-UubT5XXa{eD5Bv=xwR#G`LZ3WEM>PXE!W#G2sNd(wG)TLWxqfRTlX*q+g4yGB zDF#9FY$rmgy7(|`Af>1=Kbb4pZGxrac>sZK->$m9YGay9gtF>;rK$^umklXJ9rUe+ zKlq;J#^w}LJ78&3U3(n6{u9ZmLJl<2sQJ8q=bFgmu-1J&h7mKjIK4@qtMy)qPn{h)KLmO*2NQMMBp5T@6=n3Dk9r~x1CBNH z0Rs#|kb=W#3D2sh@_-i2?M_0!s>WzliNfYwQU@aY=xni$l;r77gwed~qiP*u{efC&AsF zh2!4)etbXfs;j1DYG$hX>7MH9CO|_&t9*fu=JG@`PqIG5&E4MBg+ZK$k3s&ky%U&0 zKv0;0PlT6WlvjX{Pn3D`m%;N8w;OJ9`OVO3K=6>|egv z=>9OA`pS)#^{V6M+PsdIr3~>ki#{YyP6{rtVi(6L-18$_ z&1y_`!CVm*b8#C4zk~IDR%X$gN*IcvR<(5dkH$qqUnu-o5vY_|nLK+v(Qz$HzxMMa zcwv7nz3;kKwNCZ-N+?$ofhuj4;pWd{zRN(GnPIkesoy0{IYE(h@%6Dj+d;MV23k00 zCyi3Hi*dWTv3Gm^{$^N7Mco2?Sw38S@Hg%h0SHI(DMj82A}CYfhq9G!&tmtM7>uw8 zhCAU`RZIV%tMlE#t^iGqtVp_%l5bz7ZwD?4DG zp=I`GWWVwHb=k0IE27S0KZ1WxT!+Cm0vABu`u`ChIbE~gfLBT({)V8;ciQiZ0RuKpeY+c>GB2;z3cq|pdH>|CIe4`Nn8kjj zNUfDMg%Tt9-)J9)U=h{|h$p`$d6vN@I>Lq9q1iVOX5cz^8NJ%}!MOh_F62lJYvbot zMSZ&ot?%GJX*F$09M5h07u*Iq6yMq!W_c2Be-`Ml-=2?3?V@T)P1|1_jlC)bsG=WX zoOB4V$Z#+q?yR`QS7avdL(y+=(OVt^sOq@xMY>nBnr1rXvJg1ySD4=Oi1t#PBSe`$ zWgs-DOSGH!1@h!lZD=!BDjw4VK5Q(rQaAajektYtJZO|2%Y%;A;^SCN!%-Rf!xp;q z>se{AQ3&L|b8IqY5-J5gIkYb4lDiAs8Y7UN(VG4()0Os zw^!v|o|;7$c2vHP#0?Y5msuxNuIm!{(hyJ6CcmleaD3`d&ed`l*nw}mi8;CUb(+eH zEjpUmQsPk^-cX!rK04@60Y%!OvZ28X>TI~syU#L?WV$!ch?|Nf{ySzr9&}#-MDd$H z1$D?~k$VF|Zu=~CvPA8UB!S;%q8%c?D(B#5hUjLl78QHB%%7*fDr2I0U(^{a<#PkK zJiDZALC+M#9@U=z(X10g75hP@=y5XtmPETnL06h6SzR6?F-T$SFQQFZ7d7}@UANyU zU%-YWnLn{KHcbIC%*C5g=X|N?oBY<`A*pJ+ zlh18*<=?1RS<3sIUmIr$PcThEm&t5Sp%otGu|d2u$TE?9RGX~bRz8c}un5tc&VRD8 zP9&=ra;7R9jF#>}>tDp((1Nyvvt0x+E7ZRSHQW%_TqYS(dpwwqh2mwJaDJUP21Mn5 zHe{%kXO5oPEcb|17&k28lN%APB8+}mMR_%kgYCA_kN zTZpG*!5$A$1@I1DzD&Y?Jt3!QR!fPg*>|L_tD-?;AgI}3F{x@HW!{l`G8J9`s=W@YMCqsCe~sQd)Lle06F4R~Nx zrpn|>e=i<-nt7~2{xL)t8&SW~)^Yk9+I;=fLh_}je+V$@DSCx_q?J1T2Sc|f43l`I z2D>N@cGO63r3{}(76_NfgSJFBs(uLsg=+u_9eSEO3W;UHgiY9kwa%vX<+80ZgLc{H zv9m2`@OIuCQn3BD1W*T1saD{TUNW3W8QSp)hdGH+VbD^roO=oe6)l9+ zwZOjm?@>XH{;~oh2l{c#_e6Q7`PKF5 zP$vr;I+J_eImu1#3n$rh{RXn2o)G*Jm-_z7li$JLN69_JtN65VI5XJ#Xp%@2>}s}^~8MC$8enkbrx zP`B!re;+mmuJ_i@Ht0bTyqmr)q^WP@?s5ufyr|<7Q?WL(WjfYuOC-IM&Xtc0V2o0B z{l7a9I|le}4=3|cjxyQwrjKrGp|iy8E2AerCFr$KEJ9VSmjzO*al8kwrse`nA07M< zGH19Kz%BYM`f0}y7p=Owzh_|HxeMMgg2VffvtN&0XQG#AGt$+eKGwmX2EDW8=MEl3 zAW)k)cup@efC6}TL@^iGi*$*CEztnm_!TcNDCWBQk)5Q#JFD3JGk*A3FH)Kkc;_9v zpH4A{V+}s~3hR(i^!yyVPsr0a2ZUS(YPFx%qqx2C#*ws)Ea0&@VOJiW$b8DGE_@Z zni)u+0*gl?g=LRzPZo^}`+Zon#wW3vS2rjrEgvI?Ugtu9|2!R=230&GRRTCTePne{ zIgN0SI_k9X;|_YVUzD%J}GPI38jG(#)^Q=+#LrdRA} z2zg`b%6QW9or!IvVUe+u{xGmt6w>CB0&@k3S0uYe7B`%6TiA8@@K|3R$v09@ht@zja0$m(r-Y5mmvu7v>!Ok>vP-gJPoXM-tv8u1XmwE z!Uw->YCGPh!wtVb%w}#o=56c9+V8_NZ__3p$<8}T{5_HQU$t+q00*!?s@sg$*Gjt{ zy7CYqDSf9ZheCel68<0q#eSuUky=L0=M1EWJuD(v2qY!Vi{5}Kar`h3mB99~r05Gb zWI}g8uEq8G{jps~*qT8@x{Lmg4aM6Y6?Z+~X2UXgwJ_)M`1|Ar@pO=$Q47Zs1!u;> zVw9FDn{nI;8+vW(37BvxtDkGnH(m$&mfIG$WDsdDyAOFkq>{}8v_;pBurx(}T@9-W zd;Y>K2-`vAv#02>c+knL?<UhMxbjltZ4wb09mIMfSV{T0!yH zKwu7bPoe}Uwm~wjb+J$r#ZDk_B^hP{ zUiXvT?>HleC)`2SwHe0bO?uwjoo5b7Xn+iPK;P(oN%o7cSr?0V(Qq|T`@xkZ^_VrV z7(EcSXyO?9__IU2>By_tidH1}RK#e`b3C6Go{%LgcB|(w;?`l)`OKe8EY2w{yS{JN zAXGj)EgqbT(VYXCITBWW)qc&EpD-Jub!PHwvur^I>sJCm8-4uGackJ(9*J99_g~&= z*G%0`uDoIy09*6!7-St!;8c;}I;Kq6AB19MRxRmu)#x_O4!Rk_95x~5Ghnt@P7m@i z!Pq>oNDylDVqW)TTdz_>nqg_!sTcr$nyUeSFKuSQ6TAkH<^#w!$hwrQ7%^qZ>qjcI zhYytdXNP@mAbYpkKme*WXEO_VD>fE?`;jKfEa+hNwAPu(%?Up zW{jMa7(Iy>GxvJs=n6~_qgna^Wx%r)n&r+IuF7V^<(g`%fKw${a=-kKC?55`i`bq|?%T5K0PFB$kMCHUiONL@N^RMGd7h-^G zdTLG;>}h^S$+-s_ohr;#ucEx7d)s86JzR&yLXC#E%)utB$xS26CHT|T_?EL&%_p*uAIqZn}{v%=;t^5_1L~Mz2XM^_*8J)A{!-tHTCJA zj1dd^HY#VbXPnY#54b~EYzL5Y0&a1!T&XbkVC70UPHe{jWIfhRAc6dBhb&4Oww;Pc zc?{caK9L?~AdDmM_O!KY56d{-xQ#pv8o%&?w{8s}Zv;-Els@Q$*`WF&5!#BN1li-ckzQ==ojR1ywq?22X)d9Q#NG5=>s^*e`K+90Ip@MzE08>-}=1SK)QY z3xBdr${O;RUMg6#GBb#Ym|Edq{JVc-OtnN|9v}ZOJIrCoikd65^-JkJ~s4kK3LlE~gNIAcka$^_Gi5X`$( zITKy}cn)iuuU$~OxgDq4Dy-TKqshi+Vwk*CKxzvjxV0g;bFN$qGEP3+k&(zcut!}z zBmCQKa=?0l?$!YXp`2G~Vq@!MR^*s)($G=w?R$S&0-@vLFUc@|7coq+tk~^foYikS z=ZY=6F2Es(-kAYs36cFjH4wq+TngI1C7q-F+hWUKE#X(_`F!3Iuknl0Iv+Zrny!Ij z4IQ7^nnwj*k6r&ZWAOHB2GNzjQiVtoYEf6|ANN3~DKOKQR6o7iq0X%9c4E*2IaeTsQkW>YEm$80}-mLyD1ZzIcL z&eMagKuX(NG2&m6D{)K`qlxWE<08TOx}kVvQU@*yTZ zuF~}%QLxvm{QxZIk&bCN8CBA32B>qAQ5XFqbl{xhaS&;3DK;+lJU^ZKSUdhHLvF#m zip)SauhsV_CTN9cR^`Y3$AHDW1PR7>FRx$)1jLwqtgDeU$|B#dAi?9jqvs6NsdP!) z3L=Z=wrza~YfuZx+ttwnYLWf3FJ2Y7TYtn*kC&j;tJJyPn@X?Zo$j^gp$Por;w+>a zJ<6L1`->UtlHDJyUB#KUki8gDY4_-bm-}1Bt@dm1DLOh9 zE;$or7B23NZ6mMEw|-aH+y%tOO*|O~CJS{{UcPQMUe1ky40Sv?M+aGHhXWTIw|8&8 zG&fout?+UcWNX1%)cZnPpq?C}-{~p3x7$T>OfvQk4sxhyhHdI@(w5_FX-cp0NplDq z{oUiYynZ+gQJ(E?$PV3fQG8$@MfJ}KHnM@T7atz=ZY6wp&7~ovsYhoPI)=-5U8E9| zk09ExvutOohTa{)fg)(Y^SkEj5@W1VgW$Vy+fM)NAM3hd0T!y1_CpMSL9r~A-8I&m{oPe%8-rDX(2)R588-BUlj7PwX3;s<(~PM2MBec6B(Ds`K#z>BvH-PH zu?(k+l}ahrW#+5ht*{R7nVO(~<+6T~;!d+>)>h`S5@9Rsu@yA%V zWlK5I9qVkn-wUB7>qL)D*Cr@ygAdXZRmQ%Oes;mBY_p338;%JX=ik?fq#WSoaqL4L z{+q;sou@XYHd5rpH3H2Fk7m>g4vn=f5YB>U;dSi|=@km>&yx=Js2*?ZW;GsFGIkr} z`w70_>AlqZZs%i@XmvU2R6lYcZL@GGz7a&>FzS&rpFp2@My`niL|2ECX(l=SYRy*_QK|+ak%bACEi`Ia4t1ltWoeG5T zVN*x=jHx5y@q2xgG>61#tJX5PWY~~s3$$?-a`vm|^lc4q?hSc+VBfBps=^+}Aly~(xXLnVY4*SlL?c1r{gq^z7Ba2y zQcU`g7(%}1SszOyNC}FE)TRu~b=34@7|_QQNatIlkEKy~Kh#x!rkEaa=lgO)vE~zp z=-vWeHqiJ!+WB}NBD<|qZ4#;qTF(n8Bm>octjW4_0Tzaa&)0jW`UegqSZAC&z{D6| zFiZ#mV<`|0_8%vXkip)LS0aVX|cr_$vIa| zi@Nbkn~oFiHG}F8Cxhj5Z+S{pUQPq)TU2Md)Nb37GwxkmqwEvBGOD9QcVx8O60_V{ zWVAMnxo$?2bGB6C2V9E-zzJi?QrUE$N6c4Oe|E1OE$$vk9`XvISY`Y!K0ovSkn2ie zNTnm?d+LXG|KSj{O7PYs-Yfjy`_KoO^0lAiE-26PbTakBK$4@IlF5KEx(g={M!POV zU_yRMrk~DXkr{HU?5+J2nSu1-*2Evho(tu!nhqc5Ltm$G)SSlNVm!e+Zvw?IdX3^y z&vfUm(fZK5xcP%!E&lFB{1wgaI~Vxp>uo68~Sta;l$j%~(wXB6#zKyC6^QD6LQ zOdoHZ^I09OAO07V&0bv}Jg4%2Cq;m{F40!*?vcX9@$ALGXe0OEh=m;%lZ=|=v6Pw7 z>Nek+xrv!MpE!Y)yE!K#E_;!j5wCfxlR4;ueR;%9V_!K zQM4d$9JS#$Hj@+xJZ{>m+0D3=M>f7J&yPjd^!|D>{d z7yC*$>(#C!`_Koi@YA7<0rh~rq_giN23>WN))P6V(gqv5GXYUbxR(QC2?4q}lk{mr z*5%?g0TMz}T`4`;^aWiX|8^M>G)8?i-V(R;>x!s#ZL9iqS7!TYe87--`3)j-k@Xd$ zGp%;7JUCvPGz7a9&wEL{_a>-gtRXaf4=|$+MSa)VEY}!$rJ!S>?e~o!8Bz2bFe-63 zgj2=hI}#J1#s65+@YmNG999&X>Gd?2kjTY(YHUkujdXvFs^TsEqIH}eD&a6eaJWBk zW3fChcW%r&b-nq~y7M&ZGRKn$KN8D5V`tGpu+3q-FpP$H{yJJz)m&D4%5K612ZtFV zQPF(Qf8@wHKRQ>Dn$PDuez$k9YFyG+sZO);>E0CSk?o!r^X4+5a_NsZ?cQY5+au9g z$9DaaLGf8%a(HLVxO0O)eg9DV8)@>rt+!VfzXyab{H5|9+`Avi4LdeF=Yq1lb@PKB z)x=90cTc4k#pG@xYztdi+Dg*zz}m##$87R*CIp1gOOQadxTm_B(xa zF1|_IelhA)8!v+=tAAGWvt;X~jGS!)d#h%Tb28gmKZ4f^vH1uDb`t6pN?>1Tc zHZ`L7z`alZ;&=UG{)y+QHK3fq4n5wx{x^s8DPG2PJIU?h3*m51+Em{oS8`qdVf~5d z!0tON#9CgZp>OF1+?|)T@mcAQm(G#n?MBQ5NFi&=nb9ESmmapUHyW$3@*mbMag__1 z%h8sjVDMIV*`Djhn7>Lu_}nIqfJMS>?Ej!rl%Nt1)n@#B8tVd3ga0)xx~ z10?UPQ!RXZ1>q4itj`zyuekP!+rAO5NkuV^2@AumH`SNQJ8!|ys@UwYfRL+;QX2M* zrjRY249wSf@)}7l>lW+|8UeeeN4|y;>izkq{9imoCe@(K!Oe#oWO_MLO_Co8KbAEU zN~w?w(YtGx4pozL?u9A9i%)`wlZu-264??z%`*Jt^LwT>u>amysX4_p?46RHLhZGK zTvJlfPwEb%8ZMUX*sNkQ2Q&@RJNL7XuOe6#i71U#8w(D+XN;AecL)kzI0aKgUgdxy zX2}Y8bxhu6QieF%%VRcJw8|~ajoZ`;sy{Xk(GD%G&Tms^tLrIny=RXB*l$^z%kaXf zzBpy)MSbYO{j!DW^3SUZw+Gj!wmDi!ys$MJWOmzPKE>Av;YQFlxK;aE wxK72@v%jz^pu*QX>sdj}niz@(A7eO2L<@O1`{Q2Wl&e<`GodLx{3n0@3%YU9m;e9( literal 0 HcmV?d00001 diff --git a/adder/db/adder.rtlv_sg.cdb b/adder_8b/db/adder_8b.rtlv_sg.cdb similarity index 100% rename from adder/db/adder.rtlv_sg.cdb rename to adder_8b/db/adder_8b.rtlv_sg.cdb diff --git a/adder/db/adder.rtlv_sg_swap.cdb b/adder_8b/db/adder_8b.rtlv_sg_swap.cdb similarity index 100% rename from adder/db/adder.rtlv_sg_swap.cdb rename to adder_8b/db/adder_8b.rtlv_sg_swap.cdb diff --git a/adder_8b/db/adder_8b.sgdiff.cdb b/adder_8b/db/adder_8b.sgdiff.cdb new file mode 100644 index 0000000000000000000000000000000000000000..2ebafb300dad23d005bdd670e0444c4081b78364 GIT binary patch literal 1698 zcmV;T23`3N000233jqLb0B!(300011WpZ@6CZgwI7000000Cxod0000001N^E z000000HOu}000000C)lHnaOTlMG%J1H3TUp5dn#LlTCmm2IR;%ir6#@goG@%ehI%r zUV*nj?2u4|gp`O?HUW}=!jM5E3&fCJKtLe)@_)x&cHO$&=T44{Ew|OK>aM?rt{zUG zd;0x;zt=R)dZz!1Ha&VCh?^6&@=r$O_LHK2t83PJa7;VP!u{3npH*n7tNv8L+*h1; z)eoiqnlZAs**4iPK}OqTKL;6YlbK($Y5n8S9!cow&kEe13J&^*s7QK5|4yq8mbqqr zu%oq7(tR}GXzr9QWAUXnUcdef&q_5OTGJJ500)-E3bj(xZFhlzG6m~PO*i7uVegEi z8^?yue$3^)uvhZTIXlhT=r!xjDX%ELwkDqnIGS;9)pSdF-q#&}P0o_58=5D-I-De|=X_jU?C=2e&l-4(*(mnyxpqMOAyq5jZ?9V`)5D?<+&1Y7 z$Qwg_rxL*cXGugJ`XG5i&E1xW-ycRIxPR`pMBJMq5v$S}TmqqWWP(I|=ychGDH!Ko zW_uLs_bL4Q4$l^(&zDj9{#l3q$6i@{*S218Sp2@{VnH;@_Z5fVj@Zw+cwoC?UvXGs zUvaS@8WsCT4!<3-f8yeS?TUTPVTt`+7Ym|Mv2XX9!KXrKHwEuQ@R#%=_Py#cEotNz z2x_a?DXj3*Rl#1)uq{N(dDvyaj*o_YA=t?>jGR(%O8r{Ujh=!3HWVO=I#sOM8woH* zdO~!-&PGGxwgCIK4zouhup5F^M(Ujcdu6m!VynQs(_4a7X2ClJ_R?sl#8!cMr#}d0 zD{Y?OE5pqB1hKK>E7qb@cD{LV)WbMtu9sn^ zF+ptX_=>gYlmo&%IO<{gH7x0-P=cAp1hG9N&c>j+Lio7w4_bNWu* zsZZ~xQ#n2FZUx*Jvfm-Xu!cHw$G)tbng2mv6v9R!7QJ&8=NFl_STd}q$f&;0_}!%U zrN&;lO19%GJuewwen>XlY;4?_wtBA#6K}CTXUL=8GsAr?#QZ^n4$U;DT9fhl22er1 zsS{F)U{stWQm=P;SP}+GY!~rb5pc?RP6Fhm0xy;zjx#cVj z`c;3}d^+RFUDUI_7%Of2tQ>S`R{bHXyt+2nMCejp7} z4^`^v8k`fYTmVs^09C^Tq+#ZIc)A8T2042~!gNH=)mAWM2CL*iQZEE#W4L2S&r>gu zVGIl`V3L6W#Dggfc6SVMjrVg6@$n1}seGf}!U|T@gQOJZTBuTg7uN}^7cbxetMGuT zvj)-vKn$`SqRv0WHOP09{%#g71~Z_92~LHcAcZzt7a4OhBmosEKoxcZX>K5Pc6W^T zck*&|4q2D<*_0P7i|i2)TLh#IZZkZzp3dF7nFSp9IzR<{D2jYSLmm{T6mWn8B>^Y} sG8tipr~j?)y?59cq=2F^K#Up&&i=kmGh7z2uro*i<$Qn`00030|NVe4R{#J2 literal 0 HcmV?d00001 diff --git a/adder_8b/db/adder_8b.sgdiff.hdb b/adder_8b/db/adder_8b.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..e10e311e4534e0c29798fa77282b61f4fd21f93f GIT binary patch literal 7997 zcmZ{J1yCHY(=Sq74=wIcyc`7%JKTyEife(xrFXbPp|}<=4#kUm(Nf&q<#2cR$M^r< zJehfKc4tFhYtF;+~R_%+KEiI5%<0Gv$#FSRX+}imy%#9xLbqoZACyM_F1VhyS zmZCKOE!`0h4KWfJWm3z@)YPPp70cC$KAG$a-AhiD6qjcf=l}UrRzgilw0OKnZ86i7 zE(JBD5}7BCL3DP>LVH)#xL18AZe9699g2mHNsok?J9Ky>WAPbc0qM$` zo}Andz3yMV;XDtGJ*JOy zh@k*PsjbNd#}LgXuW8W+&!RZ|uoEASS9DcD|Y97Ap zs0(OaMV;f$$`rzF{mFA_$7k?FB6=zD+Q^xTw<|=Nj`sf}k{@WY>I^z)aP^hTDM8zY z-+H_?zOYZWE^q-I;%vT)CQDrhC-7JMjyl9yR0E2+)dl}dsP+QyvH|nqd2c=-) zE!FILMW~~lH_`mYRdQ%@M~*i2xI4xBhnzC?zMO{pmM?mF+Z(>n(Ke3ynZ-qH1o{6( zULDA8`Ny3bD9=cp!Tndf5t1jLp*(AK6awvRw`~bYXUlnTFAWKgQ$u#nlO!o_-K7|& z@Er-fR=b=n8w_Mv!_9(>x|sX!=WuYVSD|MJ2MVcUuW&62%!D28L))fbuo;4~>2CR( zX-C+K{6$UN*G~MnJ+Frzs~ykebVZ-tG4>+G|8WH&^S+AX98M*en0&^9c6x(y%7VJ- z?p2WQq?Xl-lwPZQabYM-CAVnbuZr{anX$ve9U;&wxUA*1Z#yPD5OyTBKu~)Y0?z!C zzJ7rpaYLhD|K{o?keg-n6F8H9ZMmI<+Bzd3xFkyK6wY;=81$g#f1-CImD=Hp!>Dut zyrX{#XVeIkXut16(!o)+%uP1Du>xN2v^LPCl4IX1E97%%)-D88# z;EG8+&b1ng15f(VI_b>I-1@<6G!pCA&V~P#vE@{azVIGI0o+Q`B98z8+9Kn0{^=G8 zubugTTCLB4bD@{caK>Mz-;#f>)cZai=*bh0$9~?|@9Q5-uA`{88^$pid7F|~Tbxq- zojfv^DF0!vz8VDo zF?wtmC_XHfOiSZb>lI08A@lXwWTEN~PtumUq~}lmu~MAz$+FiZypoYnnv!t)r^F0k z18DRAhq(aSuiVyak>{#ifine5PAvKUu`5yeKdji5$P3E|asA8F{_mirXVN|x!IqG@ zW5FAv@;X6>%K2_-G!WN7pFL_p4;dhHP$}M}4)|2dZ`@5sAKoMM9n+=^S{(XL&sKBq z)iL9#NlBk;WiJvgB~2v!^ZiqH;5t4)#@12&)%`h6Pff~>2B#_^*h*3AQb+1{a(6!SKb-!s8x^DA{AWoC`%I1rw zv}S#qRe2=7i8gmEZjfaR`;b$ZztI2FrF#I+G zB!|cbH9_>ZhfLd|v1+FLp}@rd8}I)H|9|8CKf(XazrUCNKS8HMMnl3$gyK{$6iR4a ze0XQRjC+|P{1^qj)>6p~+RX5s#rx_9?8f`acW{ zYlP8pMR(f1M%kMg`NRN_w!#8aH_>|Pnmv6|kz{;Iih*=&dCigr%%A_)?x{fcK0W7K zsakgI;+e41M$e>UdSt97G*d4k@C!xxs}c4bF(ta=T-)Wp3Hn(vgLzK0@uny<*9HD& z)7_k_I*4*qUMC(VIFXO)8+$sVnC5w(R*XDTRp#E`@g6uN~zZNnjl z4j2TGBbo_HhU(zjcC%&$<5yAUY!jBsp+moB8@<)On%J2ATzc&?9H;u4CLa@Nds}aX z4mnMBBEzAu53fTBaj;4kCG4jB!l%>EWr>5+R8|pH}3c|NKxDszwnZQOtZkKag_8|0o0OfBxl}JI@nbcoBS+0Rw zfH9}=K=K(wqn>LzH$z3zngmi}azjtu;b-F>sLG(q1;h>2yz7%n>pK5Ztz*U_A`j|9 zZ6O!1Zy1fY(#-GO&=$49{+l7R(3R5;!omqO?3TFW?x_NBl!$uL@Cva1_L4Rb7@*HR3!*x+a3s@$s}MkKTKM-|b2Jadds77yjH? zgwO4s&a<=M9w~SFN1>p1c)KUM<5~n&oA<(**&BauC@L~YVXX$=6f?4)M>UQc19$o* z)s8*-h5cLy0xcEr_MX@_aU?{3@-(^$`EJqf&{SkJUT@s}z2X)CyL0}1IHII!x%1!W zcJRI)F*ttw0oy2~5UWF5dMpwB<{F)K{5WwPEq3AdU*0F#@u$al(MU@gBeaViq>Th< zrsRCaGY`buBDy-OyU&-B;UVkoykAeBLqyy%IYYCc?Dg>5`B_tQ$T@vh_@| z^*plIC2!}ud8##es>9yS51N{jcJ-0-RF8)$WrTT?J#@@mr5PO$FMJ)tO|H)?4#8)s z)zK%5Em(;(`V~VH9kOeFOcO`CsS9P_6M;Z>TFgCCiZaj~e5FR|IB`Aq zmE*mn#3)La(HI%9e;T++PImr@?3{-T#z}iyWH&qf#& z>N;9*_@PiA&M20wKux6J%N~EaB22M$>RuOaXa`bqcE))G&WFg%e80= z>(u4r^c1h+du-^pO?B0>&fB<0JRlaB02vw7dL|D5d;sW>HuOb^ADb5&e!yKAJ*|=3#nI|>v-H>KU zu?gaPH*u_;)YZXQIY{3Ia5DzDsp=0ejv*47*>!iaYe}Up(blRgzNS`pI?{(Lj zA$?xveYj-jzT$^h_dKbadSvIi;-IDt6i?5_iNn)2?prVT+=15wMSaTqEM(ctAiovM zYEBGqI>c=nf56XfQb?cJKrDBEtRq6FU${0mX=x&=XGp)yYC=;RlaxaOoj`wV8l;aC zxVZ@26b5c;k)0coor{v4`;fuXufFu{7cd}uQPD2LS$1^wBSJm8rB zBvE07Me12LxWzcw8v#FBx`%0?9RPmu^o zio5ui4KR2B7?WO*pSb}0PrQE^<@Lwhht52d{#n<1=#}mYcrQ~~pc;(b7b`&qE64T- zz!t>APqDY2Mn=RL6h@GpPUXT+(E zD8Vx29;eGGr#Rkwf`aW=?=?b0FnC|7bPjl}TjE7fr>(c7!nMKUPcFGaG&g{-3_jk5}jNO*0%?ECfsW4^_WAj{Hv<#rPMjRCKfm zj+2XVyY~gSi9S~7*Ewtir#k0<3v}(!kiLh%qtz|)9oHV5&a@O4JI%rI!txQs9~;_U zk1+3Jq@~oi;F_<6Ud)79o4HwjQ(LmhvK=l7i(0IASm>eHt@^_G3yE~ruF-u||NCG` zo+8w-{*wcLRo6mje$ZDm{_+6+aEATs^*(oEEDCnT?G-PSF;^C2R{C-RzzH$n1X=R5 zx6r&#yBqeb%-r-Tc!^QUfk*0+sn6bj?53tRsi&>_mLbah`gEai0a;Rc-a8 zL3Ykd1`EM%B8(Wb&AWGXLigwJU8OUO!?Rh7OR49C8W-ETYt57E+6(wrWSjJab7tPNfP zmJ#zFoFIQl`(iK5`>-H=5eRG2IJ_~YW#(-@59>jVl9C2IbPm>%2CQ@r=pZ8l-4o4Z z!XG%ug+f@z+%oLCG~9~*x|s-0;P=-m0`&AYVET&o$ihUsBRL;wu0;YFdNcZUCR0`y znsLYU(GSwngy=@{Z;7dHSb_Hsx&W!KzSpehRIF`qq^!;sq-%9RmAbUSJ9;B#`kC-h zF;YMU0pP$tRt5J!CMilBJ^rUMeSazB$1rg7$W$=7pD}qb)@>k`3b=_$2Fu588VE5T zB5W!}tcu~A?ceg24E9zJHsF?eRtfed!5`@>-6Gy9fp*;@seSP37c4+rQ-pmoMwQ-Y zcsZQZDS;cm<^u%cfY4?uES^EXkBP6%P!=pmais}}N$ zrNc=#4hkq?l`_H%T`ouj_J0R%LV%m};=g`+%ODL&BMq@bT$l;Rln~8sR@eYMob(p{ z_%@z(*iAaYzvJj^A$>yPhbp?<@pkwMZS?fdn$q5y(vKMEe{WuE{Ml(p2TX|8wIYKx zo&!2z6$=kV&PG`c zAS;IBP_|L?@#yi2sXlzjE{?w=nWzGHdZR$oLqUUbDao;;*MM#PT@Tu^upwHj(+_e z09qRgl9i@pr;jEC^hSgdzAo{GjJ`jNew`5jt^VD|O0S?NEutYUq9~n?8U(Mo82{pK z>HSp!&!`*Scd=}d>=m%8uCx*HrMGb+Z*v@gdDCrS;d{SWWE!G@QtVKD2fIZ zyPr!fE=M!3&lIt!7S2Ig59v|k&=!h+<}DqQe|E~&+>p9+K1|N8CZFsb&7SW*JHUnP z)iTd=+7Z6Fg&b^E*;IY*K}M`wvq9lDm`Rf9zdRFNwrmnG!W#~K;+E<15id%ccy2Bt zH0$=PG4*P{<%27m4dxExYP-nbijWo8Mjq%^Uh_B!uQ>2Yd^RNgB89}?)xO? zoQGqI01YUMKWkHe8>kjZ_UCA^lz)=#z1^Pp-UrglQnT$C8tj=HpGw)XmhWg*ze*&` z-|GGtrQ>p@`#Z`F?AeL!O6`b~L z7jx@ARNC+beW@ODw6F|59U;D?q$qq@UBP;M2Bv}9uSPylxf^{stwe6IW-(2b6Eg}5 z_|hCkfzvGRK-4PQ*lxA#RNy}J-0NH3blZ-mqQv>_R$jho?<*UU7g# zhq;9bFpFk4BlYm$V7M%Eh)uGyqIF-6MmE6PP$w#4Qe&s~R$JMydh^HCfy!m3JpBTG zZ7^d_-DPg!GFi;w0`XMjn<)nu{>6q8OP7<&O_P%nyBBc_7x3z$Fz<5w!Qj=63pUi= z^kkJ=oGA#j{?`C3oJcW*hi&3)lsH;8aCLpHii2xWGykaM*vpH{*oL)z@weXbRxJo$AlCLF&5`+GpfpBm;90KJgO{?C5P1I?Tbi=KRYX6MBi?G^pX zz8iiw;n$iPq6-V*oKfCh3pC6R*Un833}OdAT!$0wjh18iG~sxGWy&f069G<;`sqdMvghxxNxC{+YQw^%Q#Et@iWWVRARdVNhT?=5>Pc zWzWsiy+H!~t@nbiq?vpi9p1!6F)W2F@%^IBLTAiPVXHwWTF3K?#W4yioPKYNv$GbS z_G%)03q}+M+&)sD`C<7rcXEDY>Xuv3jOd*VA$NzsMj14kUL$)MV@`{@%Y~9SCa+Q> zo~{P;p{ZBiMV$k1k|#HN{Nm}J?H=VwdZLlbYnv(pEuwde%c9R05vu2efz+EhE;Kcbz;jCws-lzTl! zm3#5a0{nwpuOq9@PX(OE z`X#14Zp@cbOK}x_#GYoLX;PeNS9)9?P<|Fz?)uQ*%sCq*aCXAkq%hj_HJ46U^>-)F(fS-oU)&`yC(@wAFy{XknIZ+C#^_$Zyi#Sd} zCynEL!;YO|DeKMfnwUES@Bn!ziBXZB0h~pS+{`$=i?8jnvzV+!uy^_KP>8{5*^Ii>ptjHKY06F|%0R+%@(W zHB?^LA>K7t^OF6Rw#``G!RDSYlG>fowR-+`?!EaLrpK?st>(6b{10~4N9TV^(bBl= zM@9q(ymxB$s?{d!z-cG7-`8vIW+t`pF3KXxMA9=!~CQlP%$M`6> zI0n5)m?CdB*PwaBHA@{8$5kXLePi2r#x&~3hV5y$%C`qXmpAS!1M9Daqw*|mV0m`a z$cM9l69tmsn9L$@SEe!Iq+;+i65ZRCWdPQ8bt!ER3PEY!vJ9h{-nnJ4^|0gfnsr1A zzMnPSz0kNMb$Apw_{$GaIY=k0zYq;?Ir@XUqcQqiw;%yJ0w{vPazEJMX=ID-)VFVC z=!&w1{X^2F((&dr420+0d^-MwRnRyMcuyDW23m!a$KL(Jua?6|n9F}B06H16#)5K= z*RlDzLq%;>ypkv?3`b$2rSRsCVH-HQK&KE*rC6gY{Pp_*QS^P`5v?aD;l72F`+MUp z>DEd9FBMkr8@`Wlrp;?&F*9w(j{U`1Ve>&OD0-f7K=WdPVAVDrVw~8r>y6GILjUDv z3uGA6pxp>@IyiQIq7KQzO679mYV50GaXymmJ-u z(sU2D2!Tl-NWVSEeub&FIWljGQQW?uYMrDCP>d1Ad?Y%}V%_@^AziW_I9dWcYCR#e-A)6-pfbjF?TuWu(<_@z)^CH&0M21xv|*v z+3w2An+(lDtIVL@5~ZZ57~`(!;TJpvgqIh0MDGM;dE!q*y%h!5A11Y}?Oo}ahzMj%g7Dvz*-Yj_*aZl_)5_4x z?D$z8rxnVR9xr*EJZ~>KJ@2G1e*R~5_-kIJCVNX#W~;y~gbPo3aVNZNKgYUBX5(nH z7gbAQ42k;8Do4|MlY;-ugXOY`)CN;~f%|G@m8m9k26)KNayPJyPM*>V{_LJ2pgQO3FYAOoHF;vIx!3kM7sKTz@Y^EzR)+m53T2 zj&miT$_^YKd=gaWcSIR2~ zD*bV)Y2~UCH!V`8$rO9ft|0YR9tpZU$X){Wa`1rD!quU4o(Wu5;O$(sWMgiv8H_M< zR4dS`o$1NZd`1=c3n$t|A!u8St}w6xftN8-Uh5o^V*V3##6s1FATW0dD(0H(g} zp{Ca44Vzm2e727lPHO&JH!dKORuZc7L!AU|Vx+gt*T|d?dtO9}Fh7V8dlX}->qMS- zmdZPx#Nr-3-ZYP9CO#Dpxh0M`OtJ@3Fl&r$kU{yIc&|}5;Ww|tgeFnlv4O+7cOeMh zqcg~{kxqJ$c?=9dK(Ll+KrS8nh}KM{|8Ci9iPt_4dww{&SH76H$T6Pxy7JlGI5W;^ z*HqEp)irhMJK?!0lsE<^?;_gz=hCUY=!@N;UbL{ymeEbeN)eT{21%DJA7#n*_x6~I hhDrydHIxNhV(Z<7dxB#;tu(z<8HDIZwEsFk{{xiAfN=l- literal 0 HcmV?d00001 diff --git a/adder/db/adder.sld_design_entry.sci b/adder_8b/db/adder_8b.sld_design_entry.sci similarity index 100% rename from adder/db/adder.sld_design_entry.sci rename to adder_8b/db/adder_8b.sld_design_entry.sci diff --git a/adder/db/adder.sld_design_entry_dsc.sci b/adder_8b/db/adder_8b.sld_design_entry_dsc.sci similarity index 100% rename from adder/db/adder.sld_design_entry_dsc.sci rename to adder_8b/db/adder_8b.sld_design_entry_dsc.sci diff --git a/adder/db/adder.syn_hier_info b/adder_8b/db/adder_8b.syn_hier_info similarity index 100% rename from adder/db/adder.syn_hier_info rename to adder_8b/db/adder_8b.syn_hier_info diff --git a/adder_8b/db/adder_8b.tan.qmsg b/adder_8b/db/adder_8b.tan.qmsg new file mode 100644 index 0000000..14356c5 --- /dev/null +++ b/adder_8b/db/adder_8b.tan.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:22:25 2022 " "Info: Processing started: Mon Mar 07 10:22:25 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TPD_RESULT" "A1 CO 19.344 ns Longest " "Info: Longest tpd from source pin \"A1\" to destination pin \"CO\" is 19.344 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.994 ns) 0.994 ns A1 1 PIN PIN_57 2 " "Info: 1: + IC(0.000 ns) + CELL(0.994 ns) = 0.994 ns; Loc. = PIN_57; Fanout = 2; PIN Node = 'A1'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A1 } "NODE_NAME" } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1824 48 216 1840 "A1" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(6.202 ns) + CELL(0.651 ns) 7.847 ns 7400:inst8\|4~0 2 COMB LCCOMB_X1_Y7_N12 4 " "Info: 2: + IC(6.202 ns) + CELL(0.651 ns) = 7.847 ns; Loc. = LCCOMB_X1_Y7_N12; Fanout = 4; COMB Node = '7400:inst8\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "6.853 ns" { A1 7400:inst8|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.391 ns) + CELL(0.206 ns) 8.444 ns 7400:inst13\|4~1 3 COMB LCCOMB_X1_Y7_N8 2 " "Info: 3: + IC(0.391 ns) + CELL(0.206 ns) = 8.444 ns; Loc. = LCCOMB_X1_Y7_N8; Fanout = 2; COMB Node = '7400:inst13\|4~1'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.597 ns" { 7400:inst8|4~0 7400:inst13|4~1 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.387 ns) + CELL(0.370 ns) 9.201 ns 7400:inst18\|4~0 4 COMB LCCOMB_X1_Y7_N4 2 " "Info: 4: + IC(0.387 ns) + CELL(0.370 ns) = 9.201 ns; Loc. = LCCOMB_X1_Y7_N4; Fanout = 2; COMB Node = '7400:inst18\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.757 ns" { 7400:inst13|4~1 7400:inst18|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.387 ns) + CELL(0.370 ns) 9.958 ns 7400:inst23\|4~9 5 COMB LCCOMB_X1_Y7_N6 2 " "Info: 5: + IC(0.387 ns) + CELL(0.370 ns) = 9.958 ns; Loc. = LCCOMB_X1_Y7_N6; Fanout = 2; COMB Node = '7400:inst23\|4~9'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.757 ns" { 7400:inst18|4~0 7400:inst23|4~9 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.412 ns) + CELL(0.650 ns) 11.020 ns 7400:inst28\|4~0 6 COMB LCCOMB_X1_Y7_N0 3 " "Info: 6: + IC(0.412 ns) + CELL(0.650 ns) = 11.020 ns; Loc. = LCCOMB_X1_Y7_N0; Fanout = 3; COMB Node = '7400:inst28\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "1.062 ns" { 7400:inst23|4~9 7400:inst28|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.736 ns) + CELL(0.206 ns) 12.962 ns 7400:inst33\|4~0 7 COMB LCCOMB_X1_Y15_N24 2 " "Info: 7: + IC(1.736 ns) + CELL(0.206 ns) = 12.962 ns; Loc. = LCCOMB_X1_Y15_N24; Fanout = 2; COMB Node = '7400:inst33\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "1.942 ns" { 7400:inst28|4~0 7400:inst33|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.396 ns) + CELL(0.651 ns) 14.009 ns 7400:inst38\|4~0 8 COMB LCCOMB_X1_Y15_N4 1 " "Info: 8: + IC(0.396 ns) + CELL(0.651 ns) = 14.009 ns; Loc. = LCCOMB_X1_Y15_N4; Fanout = 1; COMB Node = '7400:inst38\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "1.047 ns" { 7400:inst33|4~0 7400:inst38|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(2.039 ns) + CELL(3.296 ns) 19.344 ns CO 9 PIN PIN_58 0 " "Info: 9: + IC(2.039 ns) + CELL(3.296 ns) = 19.344 ns; Loc. = PIN_58; Fanout = 0; PIN Node = 'CO'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "5.335 ns" { 7400:inst38|4~0 CO } "NODE_NAME" } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 32 504 680 48 "CO" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "7.394 ns ( 38.22 % ) " "Info: Total cell delay = 7.394 ns ( 38.22 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "11.950 ns ( 61.78 % ) " "Info: Total interconnect delay = 11.950 ns ( 61.78 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "19.344 ns" { A1 7400:inst8|4~0 7400:inst13|4~1 7400:inst18|4~0 7400:inst23|4~9 7400:inst28|4~0 7400:inst33|4~0 7400:inst38|4~0 CO } "NODE_NAME" } } { "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "19.344 ns" { A1 {} A1~combout {} 7400:inst8|4~0 {} 7400:inst13|4~1 {} 7400:inst18|4~0 {} 7400:inst23|4~9 {} 7400:inst28|4~0 {} 7400:inst33|4~0 {} 7400:inst38|4~0 {} CO {} } { 0.000ns 0.000ns 6.202ns 0.391ns 0.387ns 0.387ns 0.412ns 1.736ns 0.396ns 2.039ns } { 0.000ns 0.994ns 0.651ns 0.206ns 0.370ns 0.370ns 0.650ns 0.206ns 0.651ns 3.296ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 0 s Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "212 " "Info: Peak virtual memory: 212 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 10:22:25 2022 " "Info: Processing ended: Mon Mar 07 10:22:25 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/adder/db/adder.tis_db_list.ddb b/adder_8b/db/adder_8b.tis_db_list.ddb similarity index 100% rename from adder/db/adder.tis_db_list.ddb rename to adder_8b/db/adder_8b.tis_db_list.ddb diff --git a/adder/incremental_db/README b/adder_8b/incremental_db/README similarity index 100% rename from adder/incremental_db/README rename to adder_8b/incremental_db/README diff --git a/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.atm b/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.atm new file mode 100644 index 0000000000000000000000000000000000000000..ddb4508d97c9bc2c91e18123b594c1f70cb669f9 GIT binary patch literal 8873 zcmYj!by$>N)UC7%L&y-qfPjK@$I#s&AOk~6OLs{((k0#9-5@R93^kNUibxMJ(3FI^bGH3 zQ~9@}lOCU-F;(x{7wgm?me%09T;2C>L9dkMbANm-gfp60%ht(#MNj_?Dc$2WxMakl;2 z_J)K{P*$je8udo#88@xfQr$D{RL(#zupAqqQ?bqpD5 z`%V1(XeFo>adCHKp8WFj%uWbY)^e&*#N`|pJ*GP*M?_ff=Tui@#`UM8l8=A;Zg)OR z7JsVVuvBW=3i+n#n`w==rkv9=e|6l_a8U7~<>R5-4B}6lHeJ=#ChB9lurG#@n=yF~FR_3~HKFle=|6J}tqKz{*y2dsZscGkC%HZy?Or$DuJ_k2c=`8; zAty0eNHf2b0Sa57Ao$0^IF)Ef14vfibln-Xpl89I2g7 z@3O-(03Q+8=djMWp$WvPmD}wJjNImaYJUxodE2odf4tHg#CL*7Jh&}OMfY25jIr~c z&cf5%(=)l5%6uG;R}D_0h4(Lxjb#vmi=sqP>3+bW8>*=@Hw29B*?oE15|1;IljACa4HhJEJ$jojK3kI5gXN?|#}vjzYD#Hx$g-|Oh&)RoFZ zB90G8^z|UP9QY`lgVWFzJoF+{cm2hOD>k~szeZb#$WVR16DF0mZR4dd{@@nh6^>VL z+kSsveEH~+oeD2v9dzi9|2d}rdxD^6c;;(3R>dcmlgM8?T}wzdy9aC&^M?$}?QS^J zPd%pF%RTcJ!-(xLObS`V%R%v1h%gi!;r6eazrbOFsANAzK!0)#6FJIr{X@)F9GCD2 ztWdA98n?h?Ly4<3s@39tnSz`FiqAlZ1n>!#HA|S$QBUd54+}T`UsDjFLEc<>S8Jry zF5F}>=X^sPp4+n@G(@RF$8fhvNTk(!z6qk~ z|G=>nf*87P#gbHg3z~5N?V6*Zb)@lL?|9=E(u1<2C4ToJ!B^*8k5~D`)gj3)*{?=& z7nScuPMGV$o<4v8=JhDX}-wKiwhT-0Z|R4K?S z_!>*?BCvl~NwNXOjn3BLT@2-~8Zj&O3DGJUdXn;_jj7P|Wr2ywDK1?o34nMl-A2F-$u( zbB<~Yj9S!!-ozMv$wT;#Y&!)nVv?c)L*Nl5Vvbl;Zus1*@~i0PMxo5?o}wyh5GU53 zB?TTp%&$Ve-pNvl4&KSp5_A?bQ!XIm2k-Au1+gjb`ArG<4zY~7bHZl8;RS@jXzX?R zt%ls!uJ?RBOk$Z#-<`Sdp6ikP^>~2d(<;Wj!rBxON^5=NtMrWej1J5r}uz0q!ev1uIL;d&$BDINP083nGSQm**;-8MP?5Mf2f+C&qshcA8! z%g)_?tl-&aQPkX|fDmTqS|)+_)79k4=t(NK57nyYuN^pLi;;KQ=XK&d6Yehg=~GF& zOcOQo=h~J}Th#O^@jBPEU2^`3MJ8*Jx8=ytS??kwkEIA(4Km7qmppe#sTgUla;|MQ zQ8Id|T!d6Ox1RMb_`dtm@Rp9AH^s6m3Fd8}eGbS^w`Z8pO8=C&o3X?5lPVWUOy5im z^A;>Y%9u^?gblBYfTAT7O6{ zYQ0F{D76Mum4x`)6m{du6@krD+vkCLFO6FKNlqA{8|nk7Ns!XoTvlfK9LU$3X-;DB z28!GjZoQs*-qbeKP~!;$iNQGaUqe&VcB0)q7!_*kk|7wb zM&ihENw4F~t>xMtH06})w}aJ%Ni{przH3PsN5}%JNIf?nGM@<%UPA# zH`;#mR}1y}LllJO$^ruvgft9Q2}#*2*L8>ih|3v&ONvkJfcQOiJRijvOo%!jOZixY zUJ)fDcPRicx<{!~Rl~%Y6||F5AkK=c)%C|rO28{)rE^H~E}hQh<-QL1+rOYim}=)= zt=PhJrg!tylYTrzUbL|^6u(VDRKr4*ob20_XroRnH75lj{?5Sg`HQbHK!C?7h$R41 zBQd^BLF~UIu|}OK8S{3G64 z3RXjhNq#?mj^Dc=u={CNO6tgBNro!Wq8{ai{pV1mK?eV>;J%CgohKH%Ly!#)wX)pb z=4UucAI{r-amd^E<#P8dZ!~H`vb{p@wGb4{NJ{&IsFLc?0RD4zE+h8 zcOQIc)DvuB)6-trh_DZ-m}egGrCJZT6jWr*GVPgA9)H!Y^IRt~rIg4dG4=W8fD`se zCi1jqBu(@cw2z|a)lpwq%wy+R%hYz$8MY7Ht>E4Pl=Za*ao9CdkKyt{*JXV2Hpa-4 z_;_1|HRtb23~q_5P!nn+I2>(`taa4OmOJYGW>Uk_Zk9(Ps7uZM(AHx`6)ruTR2&ds zwWq3`jD=ZhL&ZowubTEJnc%1?eAFC6gLig2DK{yb+{VV&RCL21M4T=zUY=IM| z@xz<(O7i(R@Hg79-e6i!X3HJXaM2g{f;yyw`m{MFt`w%d8`J4<=fz?B+DS^0WrRE| zGU*Z(7~$`8O+Z)qXqJlwUB7*myN6BgBy_=rATD4J?uZku)nW(gw8Hu^HN{jGpy;h8xhn>9vL}wGu@|m$g{f zq;m3x8QYAr3=Ss2(`^SFDwfH%t3q3%FnP>uc#kDGOU?5b9E%o0_o||qns|xZ1*&x? zmQ^R}xL!}Frtd(#z|XbmC$*=_XSx6ICh`d5t(=!HsU|Ar+J?+6wKomH)QOuZ zu&r&e8|By9Y|}D{lq<0%&pTyTEn+R03yQnzkPApMaG&nfe4O}pm zmsJ!?&kvt59zcqf7e21_+j{c$s(4js+a;Bj!VP%yjE>_S3dSu2&FA38GG-&eyUjSi z%Qb=eas*RcEO)i!_4x@aaeCFSUW8=NMWlaW`ZH2RUp>&~#>1r0v{1OO)|8*52T^=) zBCQo_#wM}trK1)}QAh+C{2dZK)2tz-YaZ2{^iXLL#oS0wH^^0m`H_IuJ&%-!C*mUk zpdwf@Y;a*P&yxuDzLc1$)@n+EIog4)VzWiNY47#IcFUKi-~GLf%r&cT1}}!_Zbj7$U|QmsYIpm`tHWtsFknR$y7j zb2^|iFq(xwV)6(iO>Ud0&tV;GHGnZji@oesc_$R)cE}&m1gKCzEm$)^EvT0cGmU)7 zMH<4AAhShQ$z>FdpVoo%md7mW-~7p1XgmAXb|l7ykX{(0>D1-4bbprRT}UqSo2L^Xd0s9^ zrtd{BjzmWuLJDpx7rMb-Ct)RgZpKsC{PBS}#;G}RUqh?r@3QkNzaBTu@JhI81MZbJ z%a`V?rq2`$=7JZD%?%ToQV_{4Lz~Y=WM3VGX{EW*>v_$Eq|X9blJ%2~zSBjOZ1c{l zmVU0)j4sjo?VTCBTAtcNxya zqzH>Cf+ttAMqa&mZZ$p!9!uDdS<8&1Yr~c&i6&{Y7{n!s-aq4;N#S9qax|0PsVu%T5nYsMF{iz?Y_br&=sYaplw`9B zjk3kfw5jo*H|#W7#NRNL&pmMEgw4@35ePHCbvHpjVGsdMP|uL_J{&v65_S z>V~&AD^NgQsp?&cF}b!oAsSASQkhfzmhk<`Bq)hR1^E1dR4)(8jGGq2c%iq z>u?98sdRZ_^+CXfSF@B^SulBUz1fs@<+Uvj)M>q+K06FWXv%7O)@EJ$W|??ucXv#e zEH%izxWqLuZ|+Cy^6;@Zxue16o*a?VooSdYb%)GO5p|!%H0n1;pEnE4?Vh=Yynn9L zLS%nYU1}XWlMNB9G8>3;KRmOVBk->aq5=V0XS8U#+HK#xrWfkZsduU|8Hs@IP zm@jV4EED}65Z=4`Da(34aY3=!DIFQ=wSCHC_kq- zC58>lkh*2fP&N|5+d&qPmVF(REqe4~(}^Puu_#cVZ;*B5;FKQRnaDn=kIKg1B}SM? zM%6k&rI(F2IaJ!`@aB3C-A@=Fk>5YTCEB6IKH@7RB*JR0(jT?NTwDaE`Z^>)Ab;B} zF21eTKij18SFSCzWt+^yH_>`n7|yBJKU3xeC=ssib+L|BhelY_SLd}33M}?0Z&~a0 z)5-CG^wj{;JO+64hGMjZ@y=A#isjVN>0{103TlAzmAAqz!sSLzCb4Ep(mcR+#bceJ5QP6vE7A>u|h}WL6uR4)0em+p&`6T;l*Gwymz3QWHn- z5RrOojxqBg?yXX0NE4!<+VE!v>00}ugXPI<8ifk+jMC+2g`;clAcJ=5O$F=E$9T%h z>|P%ZeF*`rPSsvNkxKfA*yD`aX;69wNA9B<}=|}Ky=KUL+UYrPdAa+ z{~&4ohh(bRq`kSwT*r66qxDSqAGoJkoi7nO3|2*@^VA-Bg zT%iT{_)n3lqjV`SPd)fg+>3eh*LEtW7$(mHBUBkAF07s>Rk?caSHQBoo7?~H?2DCx zUojfs<>jj_z8JjEES+S0^^cx_QIdf1ABKRbM3c7lDkDLjC-Ts*TqB7_qb6LHpIv}I zZjb`5VX8B}Um|HVB2?!UOZ7;z zT=sGj6+avk|Gn#%V#I2B{Bkk(u~zwiCjAe`?xuj_-RlZV(bOZPNsRf`q)EmaSQDkZk);iv~lTZZvzY_LcWH=>?oSp)n%E2!cO9a&=lGw72 zM*Kd{~`X^_o)b zzhm@pwzYo3_PXrMDSUrSQyM5Kk^AmlkS_Q7D5}X=!jyY-CC-<8PSybdD>DkEx|kqy ziVPlh#W-9azWr%yS_)Jl;DKtTNs?=!cW#{BGvl17d#<_8AQK8)=voIAt06_(_Murt zL}k!$j2EeHa&D)!X%Q4F-jQJ;4;p4n@%mti892gFkK2UusV+uqKtQjy{XWvbChe!_ zDuxltZ7nBu=R$LOk+}x*#o(Wpi=GeYMw{J_#XfBvdEKK4Zdz)1P2>5cLu40AmBqs@ z5jARr9&)MP%n+qIya*;1Q3;9GFS~prVzWlW^uvpA#KWJ5n}avYTGg)Ac6P;A;kRYy z4GRR{w~qd-AgFozu1k1HW2Smq{RT*aucvCP^#34r8%fpP04#x6lI-_J$DPd^qC+OX z)r5rJU{NDXnJL$|xuXiM+e`@K7QB>6e+=~M6-3fwW?+*<-BOwRaZ89$bD9NP?UlRn z!^N+^(Aa$sF2-OIe}_LsGmrQ-i(c|8Er%IApz9InpO^TX1~^r4Zg7B(`lk0?M8C)O zB0I=+orUIo1x>xCL6?lO6fp*Y(JudcCYqqYucTjxshDVF#H5n3XgJFv==Gg#b)_a1 zkepUD`Og-K`@z_&%EIN|Q_+m+Gf2oNJ#n@+|4pi=nUj)2sb+CDGr{H|i1)WX znF;(_{?+D{2pP1|5UMUU=5L_SnVEK)8cP~3?*PC?u0jz&EX|5tAoucNlezjeGn|^flYBZ} z-XB_y#48*^=9^k*85=yOWFFEVS1H*7QU)8YTLR|!RAe8vfrGOFVX49*@yw&(t{q**8j7b``z~fP0Kq5m}5{v%u0oT9q~qjeCbqZL&U>^o8Ih~j@Cl^ z7pxqwSzoJ|q+9GuIgTXY_k6#$TKIt>Ui*B)>Zoecs>6S7>D!G>b$-}Ke@fgUk@IxM7s}?Y%UbOKpMFA9&k1)oh%Ox6G*P;$q|XVfW94nO8h~ zVYXN6!VM6Mvu5VYF`uj5xRLw_B~1d(qFL*&tEy*ffM(vZ$V_*c5wFijWd6Xm+xw<$l()U;MOhva483&ik6&)kW@f#A1(6e(BPU+Aw`g zUg0m`7yO)?<@{oqUtYo0z;{BfU&7J@y@*<#%ubIic|$CU>P3RBYdHj@{^|$A0Qb^z zAPrlDrGo+ozhHeZDuu{Adz&gZAvvn+z9>q@1cLev{ODl2lnw>cB)RR0577m@xvHF fYq}`Sn!U;9f#q%8mt;|@8C4xek9f*`xVira>+@jv literal 0 HcmV?d00001 diff --git a/adder/incremental_db/compiled_partitions/adder.root_partition.cmp.dfp b/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.dfp similarity index 100% rename from adder/incremental_db/compiled_partitions/adder.root_partition.cmp.dfp rename to adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.dfp diff --git a/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.hdbx b/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.hdbx new file mode 100644 index 0000000000000000000000000000000000000000..88453f08844cc06d89897084b9e254afb9195aaf GIT binary patch literal 3327 zcmVuG0001Zob6rPciK1}elCB74lmoDlWnYvZ__gmgwPHzWT|R2;)#~Hq!*dLuo9_x_pv8eflKB=_%@l>$}LmxlPdP?i++{ z8~>GPnvFWk#ETq$J6ea4llWoKIz2_7!Zbo@?4fW`6XP#2ntQQ-6QCpvm+=W&hBv;8 z7HQzZ?HEB4PB2Gda_dDX4j0L9PUMN8{0aEePh!-$91hXiiIRXv%#wkJ%cQcHPH>09 zG+Cz!TKG#ZMvEw1WuXhi^FWI*@@_&uxDijk_5*jB&f#+IefC`st)uWJa#kw{3VDhA zRk{>a$oxCsW$cy9U9g5T0zdSb) zDI}pN76)z>i=^E!nEM$xd69Eihgr$c1utg60f(o@=`405e=RcU+(e!SMK~!Qx%6%v z-WncypQE(#uoRycH){cByylZ5g1rm_K&YCo9g&3`zAYC|al13cb*wvo5sb<80b?RQ_@vYMjAi!>5=%)P|%m+{*c z#Qy9BbAIn<)Xws4L*SgZ{?v^;(FuM=sIAdc%{(O_wu}4juR3 zh@kP`&_BEx>Sg^0Qp^*Z2I*?%iIVfQ;KmG+lY-0S?{7lI;MRS9a*BR1_rCDk*f1?h zC?QaOK0>7O(|4_}0yp?~>vO;&m;aXy`c@Hehi(aKw*i^JS$XKzFgd8F+=(2Ocvv{>wIyW&7dEFgc4*M6q(e%7O?hZcoM!oYB)V~^DPfpPL(cp4A9$b&Q zy%RJX^`_%bSCe0QeXm8^!z7O!a>wWCU_QE7T1ZEd5fY+)`qsTeuUnbH?XZ7Y3~ufxZWRQkM4;bas{URCG>wuQcR#^-}?--RkyH}1pFqCM1=w0CV*Y_e6u6}D7ZqU;1y)_R z7*b*4E+l{#SvtdM z|7twp0VP7$V~%(4YLanIEfHl+rcOhh?xa7snhpohPNTtKvXhdwn(VUE9Sz=JUuCHj z&Gun5xE_{QWs`Y9;wN`g(H$ky0ebO+IB^2kD{r>NSseMyjfL7Kvy7i0syKa9?Y_Gi zdIOTOOK(CW)%4+htBAovwT3#>)>zaF(%;3+!~|1Qv%zhm(vD39D--h3G=UY_Yp^u! zL9obo(V}9dOElrqfr*lzaj2lBOK4H)U>9awVLnob*Nns6I4avsvS7af zG!hl|xmKP1DIbRHTg62wYV*(Dc@>$n2kWgoojlf`>!0&7@HTwPIh5K^eSC1$ZyfXK(fVu3fWpl zh8QWy)~+R6UE4FV)t+E-+GDoaq3rbUj~~-0j!d)cY)N*EwZb;GG=7Y|W}6*o8EQfp zTeuLyg*9J6i%HyU?loFFxY<lqX8Xp?x^>Xp zykq=WYD$m~no5V5LeD(F?cdX$g~=U#`5tU+*l(Kt2&7SwTy8XtFbJYZ1Io{ zC-FzDz>iMi^LMiyLV&0`iQ>C(GT}t(oE}Q#Zq`^QktjNe*={1ef4{myV)Cgv(pVpn zsQQQ+D@jbNJ4S@%%_FkmBfiXa?KA5p3cAVKAKmmsE8C*_I#l02)avU(HRC;!%?EC5 z>|#(=BUOW0CzH*%?r0&F5BreD8mUCpNX6OvZa}29N6DCM1QFRtwO-=6_h&Xz$$qWV zt;0U|y-VLJ8mZ!29>sFNuCuu)l}ArwZB(LYqh{OW^!}TJD%>m|EhLRKQHi37YO;cw zO{>mwmbV0nY@rhEaHv^%DPZguHS;z+Xl~v$eiSWK69`&JK?~PyLC9yANMj9DqG+I6 zA*k zH4mF=cBwi=%TWAahtR;Z&eoy2JbD^y8B#^dkQFZ?)vXugLxi-kej!yobg7pwA=!1b zEQ2N6h0Hz}R#q0k_I|N4vk#h;cMTrZ^OhDXzrd%4l{dcqtFc}oRrCt;pPPcWw(Gu7 zgBsEl#$>M$|B#)DqgnX;y==$S&lJrLb{|38<4oWNP${q7Y% zCc8|r!3+c2CacB>qq+DgS|bl%&GqTrzwzP(a-YrE!kf*ox8NPX!Is0ArF)KH=yc(b zSy3owwO;dLM0strcVA^7vgWR0F`u25aJaKCZ@;XTn~;!)Ad%85o^V&|>5Uig0iNu} zL3^P3W^MJ=>Q~dy#YDbYBl~{;j{^Pwz$oLEO^nzJ$H=b5$fk_UsLd>kKkhQ>Qe8J^woUk4?dfy} zM%lbHoqKC9n0tYnz1ntC6H{>?+Y#lmwF|Fd^5 z6n7?>&p>jz`P?f@+%}((9#>3I(|<-WONsCl#k~Kj_NOmuMcP-3+iIPjBKUW&iDGfx J`Y)}-Ij9ewiA?|i literal 0 HcmV?d00001 diff --git a/adder/incremental_db/compiled_partitions/adder.root_partition.cmp.kpt b/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.kpt similarity index 100% rename from adder/incremental_db/compiled_partitions/adder.root_partition.cmp.kpt rename to adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.kpt diff --git a/adder/incremental_db/compiled_partitions/adder.root_partition.cmp.logdb b/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.logdb similarity index 100% rename from adder/incremental_db/compiled_partitions/adder.root_partition.cmp.logdb rename to adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.logdb diff --git a/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.rcf b/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.rcf new file mode 100644 index 0000000000000000000000000000000000000000..f60c384ba5d8bd2a9ff5e3c50a52e8b2b54099d3 GIT binary patch literal 1606 zcmV-M2D$mH4*>uG0001ZoV{9EZ`(K!evW?y(Y)*eLCQmy9rVF=9KgGd-5fpgWXMOe z#=3SOJ6jan?r&d_qVAzY9d2I=l8R>L`{vTn=jZ3>e*b#h?4HqZzdIZ~ce6Vl(9LGM z?jd)zT6=S6ap~>%$N7uv9XH3#es{V2`f}+lzjV(1zy9QHj>q*5?RUL1|KII?hi+XD zVH*+LqqIjEqL|Xuvy1QI*^g`Q;J*%aFEJXtZni5#nTfFVme_A8#$EKV_Ws!{*XX^w z{2Nj9@CW*|UZBxRXd&MC@$MFV+3vq}I*0X=@1p1Je&KHCEBEN4e>-Qsn)7dg*!ki+ zdWYWJz4~^%9?*E47X0R_bGBLwdGFBZJsmoC6gxxbs`Jx-`{sG)zjfz+<)^lRac|?J z#eNw7VJ>FtF4kNBWWxWSPiDjZWIh>BN4?MF$$r3NLN5@sD`Xyy{$KtUzt!Pyy+GIf z$Nmuget|rnp!NJ^@A2ov?p3FAw(#8D@-KheC#+C%T83i@83g|@N<{oDvYKdF4H{i$ z^8i$6P{rmFla&&bCOf)BTad{XXfk23&DWqt*A;BGV4KYo%8+(Y;SVPkBETXgGL-ZY z{XjKApEY5noHo(T3Xv4j$Zc!dtre;UWVTUib@TjWlo(w-JqdqwxY3i*eDLAsW^^Ax zjD2bMM(;Bg%PtoVAv2ynj_!xE>2x%F4F5KA4Db++jK)Z5$dZV$28a>u^ahqOxWZ7g zO*ernrJ%ao$WgjFW;4iaR?2xFRH6F{BTCF)QC`BS|G;m#}Rm}qF zxrmk9rKUHle__K#kh}V9C-;EYl0*zf_$1pFU^f*_`V(`yh9T zi2TU7;ViIdJOxb}Pa&HUc)%7>Cbh&*#FC0YYWl~bHqeZsVn3tlgI!-!Q<*Y}BO3~u z?k`NS3=g|Ow;@-ArJp5s>S0P!Pg67fH0?%DbA*`WHL>Q@QA+_C3#b*ZOF}00X$CS} zA2I@wE65^BGDEY|RECu)R*N)HOHHNlq6C$eTv~A)3AO@_M2sidB;neM>7)E@u>~L> zMnq?d4!)iBU|Qd9s-lC~QK⁣|nH-pHe%=j+4`pHPEtvomfv6k_9Wu6NZs>?_fKv zs8L^0ru6P^p?8yfrpnW7HqZ+OFsMq=r;o^~1l#JY${%3)Q1th+%33v)P0tO4wzf(#UW1L;v%Fa3VT|SkBghydOenwG@z?Jp){ba)$Z;3 zEXR#0%TPc~7`D1!=~T%}a9ZkC?R}?bh2=&Y?Ql|H7tv|yvy==VN{EP-Gzl?5e#%cQ z!a^7gE7W=u_zNk?N7a5jt%$DMFk0j`OflgoA1GI5GAjyTQa|w-IVO$7hdt-D8cOP< zXREUiZ9NM?!hnI$uMy@{&3KfPGMxMw)o1+F&9Ne8!P?Gi6*_PE?L&JxFDneVhB%!t z=sx1)(vlYw+9Sw5hMa(8oxrM6`0T>M2lrDIyrHa^Er(->6Pn?K z=IdaA%do=0R1Blq9z{a3@|>JbR-O~>`PTr96PAq=rwS*9_c#o`$kB=M>Nvp{IXbB_ zmrqEh(vxVKBPWC5%qLhHa!#n7Tncjwobpf6=7QwZYI3uHu@qoN09Z8B2>>Gzew7<1 zqp)#;??6fUytsNN17GRt%)fIG(p-1x$T33@nnpM#)Aq7rH&b-RI=Q~Orxn~clJ={Z zB7}5GW{#F@)9(j=RK|#v{E19_5$;s!G>VH_XuG0001Zob6p(bKZgA-#cPq}J_4wBGiN#D9jOZxOlvS%;f=aX-bOX-9C zQBs;stEoXljTCPy8XWNGMX=^ zP%x?nf4r?KDmj{dmu9Qw%W5e-OrFLI>0v&5j#3{aXhV9K&Bxznlj%41>kpIZ-P7tG zzuu4koZOA2m-+15eDwT`Ni*ot__M@Ij z9q8s12#&uFR$mu)^T`Wq#QHWLkFg6Eo1b*Y-$pPSW9f2DrJWzg%0qXf85q61JWcLK zU!TU()4J&Bwb+(g7p#JRPnM5BY$*9WiN<{a9Ud*E@0&$2Ss=mLC>ArQ^JN7~BU&t2 zL7dpplt#0#ke)}=)x+p+xtarMzkc5g2nhrgu_QKxC>f~@OJuZy{>&%;!P%HBmh;Ki z)p9mp{47cRr|}4yosS=2jBAp!`FkDic9^Wk534z&$NhLYnmjFjKF893j;HsK_b;gt zwcEhNdzk(3Za!v<;9ru|km)Dc_(WtvBt`y(kY9+>hz%Bh{@V)H@oFKtZsYv?&+&YL zVEW(lvy>ud538rAa9s{FZ`OY{f0m`zY6A2iRFh==lY$KcR)g`Jt>*sd?tdgA1;0vP z#$P2TT3Arwx~_1#dj2|QBZ8_R{VP@$OWjt!&BNhh&TC__>L%0s@n4Xu=!QuNB?P(- zsEKu*p8tJ*{xEu;01TiO`}^I~Y&wnzarZcRK`IR0-XOfRx^Cw-ym9=%^?FcNUT3tO z8`pMrGg_Y4346|<;|7E9%JbUThjnth)e3{5Z9745IqbwTF5SUyh8)YdbbD@a?X)@d zL(agpI_@7%J8a#C$d*_kr#I||Eh})=eNT=rDliNje{X@l!CAqyD=uf+`?kMB~l{(gK5L&&SH?RhqaRdV&ehaj-q0p6Y4S<5-!1Avg zD6*z(07XB1+?IvroL~UV7h6*?(GWNJw%5CKuZHX8abw%A7j~Q*r?XjfH(m#3-HENcs6)Rhj7^*)EON_JPnY~K#;j%Pzd?u{FG zKIHwhfjt8t%Z*{%8V)~7kR@b=%;5$1%y7YYD z*qmh*>l>TRFFx>_4)$;`h?pEsDXRtZSst#`p9A2&(T{Pydmb-Y2$AggAPs%E!ap*8Tj;k63|eEa%VdQnhVd97a^dk|i?TW&k- z!N@}wSN8&SlHwTAiU%Q<)6o`#1y--9KPUqGlN_ru&u5DI*5O%DA zeGTjE(u>4kyny$m7h13=d%zNcoeo4Y#cR8lZY1$6+xfA8V*~O(yZ!K{@Bf&KFojij z>DtlkuNlv4DVz$xj@w1Xb=|?$(rv-Vkdf@C*UmB>0GJm*!UC3uoeBG1;0`v*0FY%m zyB|v}_${!Z+mPXADub-`8c3Ge%Vhcl>f!krd^wvb5;AFTIEV_IvD7pC5fBZz94tnt zcwGqdfOlT4NpyAw6Cay)jbPdU(_n;A8g~Bd%nib;4sgHD=7*5LxXx~>?|n&@>jC9( z86b~G_-!%}Z}5Az=$W`*XZ(GR|4nvAIf+K;_BP|gF>ES|+6xD_eXJWe5O7dfte6Fu z?E%$+&d?HT1Qy|zsle#1AY!PTym+6^g1%!T)3JhX=nfpeyB!6O(5#=)IN0*}avQi` zZm(iYg*Do-olabF1Cj>r6>6JItWl>kkK8NbTmq%I0Riu5|X@U6TzYhqVUWa0)gs82ID+^Rpxq zL^Lqwz9}DqJncqYuo2T5tYK#miE^tmbYgl#-(#eVFy&;#6djhbQdAO%B+{?LfuLz2JTI0UP{c&(l zvg2MUANLest?+QK#&ECX#=WR|zFOnH#{F?{PqX7*06kv?SQ8%ZwHWS6ZroEraj(_5 zuW^4I+^gAfPs_)>2C$|)-0Lyi)7-dM1;xEyubHv!f< z5BJR&?)BWbHw49fv&Mam`{Ur=%#M4beB3vshQ-CbBEJIPdIkQ&XgXUh7g+OzHZP=! zO5nqwA9HX8O&BYnMw4i0YGywT^KR|=#0CI!tVf@YJ3e;GzD0VT|di5gPEK`Q$ZBmLb+4UPsW6#&CMQLMy{7+EqO zQfN0RD4?`_#*;Aq$r??p9sS*oy`!N?lNz?mMN{nH4wm4d3GF5Y{SjI|i_Wtlp$dm1}RpAhbeSOXep}R`qu~G zM5rkWo|4h8(O!X7jomXV8U}Pb^2)ZV(d|}sxtY~rtPJlQKq~sT@k$Wbz%^V(wD`?3 ztYjkZy^KoR$Ee6&MwR{i4g=^uPL;xm*xa)l2-d{tTEXYAYxQHgEsA9PL;e^qza`Pm zFXTX$^6ieAzQ|*9kz<=)<&2>Eg#t( z19;cM&OHgKHwpk(ZM69OHg*=NmBMbBd9CKsUWDPcmBaf#Uwg@ZxJN(06HcVPn5dd? z1NW3>TzgUYa6$*LX6Dmggs}#a+6$?s_ChPDy+F4k2dQ0+Zrjym!j)FKvLB*ieC7B` z7uh%znIEJmt?S2DcxsnQ6+=In+Jz^=xPpSjPlTn&2?OPXmP_rb4};ZeR~lQ$ei}?K z{WKWBrP1O8DRvsHl>#Iafs|{rT^AAiX;{;h6RdKqk%mO2}dFD?y=5 z*Z~&&%gATRsIn-?N?I~nH7yyvnwE@FK}!a@9eK~%)#!G+x>BUd1{leHwvn;J;~QYO zU&h={%%)Jxf-EpjGdvSa*NAEsW`gkq9!VE?G=soH{ZUWxe`ghQ!bB1z#ay$JVs33e zS4+&*jQ7NhH~TSI2GHX(UUoW_&qj~Oc7JH3^zBCAs*M)+NH2*U>22|k^b!_nf*(dc zn_ZZDkSYiIf4FbS?&6YP7g9_#}3#YKtF(Pf=v+DI1p=y$iA^@~v{1|L1!bvWGd~ zG7`YMV5D!5n1?q=C^85=Up$s7jJGbSFPhcV7n_yT7pYuHeUU1)zF6yvhxEnlfi8?4 z9$#O?jcn!$W_ROJr67Hg(~KDB09fEolPl(S0(Y8xF^Af(k#Z+SRxu~ciR|PfeANux zq16oBp>^O+EiVqri#G)Bs0WVdze`>)GnrjgM+*$x;j~hE;10pS9q!W&G#8#Q*EpAccM`?G9X^oafjcQcLPgS$a{;Noc%TMS+M}Uzb|49H z@c>N^+@To)cT^P0DhgU7=V4}HqT!N8Of_S6XfX6Hau z=lg6gcIiI5D;&)UT2RamuNfhHbu>L@hh~V`QQ3`~DXL>uF(-@>mK1ZvG>Whx8T$#qwaCX7*0IlTY# zE%of-I+_qyY|!*@9hxCrM`afr=RANdjOQz%y{Od;*HNn(uA|oBIwy?&||7_P%w*&$daU(n19b-``jBV4Z8QZ4Sv2C@SI4CEw2eB}|a&$SN zu}3Z0EB6J)wsBhFN(ocbMaf>zDA0j-*G16noX2DCbE zpq6Wg+jf7J94IrU(O+(gS|{)qa-hgQvS z0lkXh0?=)xg3_%P6DJlEZwN2big=ksV-Etzo0m3zuskv*Q1@s;Dt37y?o&Rzj1&ivuDB8K^+ zrkOw50rSVqAt6j*1w=LdV@5UoV@4JIW6*6U2?*R zE`eotHWg?7a9R<={L$IXJA5%=?A*@7l@lbNpG=rI@uZ-rrk~8Hrk~8H{baSEI4CHx z-y1*3io}{rcTr%1jXZIJRi;P9=}VEL~4xg@5l4d{Mz^h z=?37Oj-JPhh)Lp~QqS|dznQ%%B&rWK8+& zIDI5H<7K-0eMIed_L=j0(QAHpM z*aR(+^b{fjQSeXP^J+l(L=l0}YU<8aq~#Mu2u2IG zkL`*>6kQ102c(bfWh6@ETP%2~h#-CpMQQ|DRoYWf#Sp=HV8B`lvg))ap^6Y|1#H3~ zt5SOss)%3|8X$p!tXl0!s3L+0GBlt{R<-sdR1v|eVt@)4WYud=LKP8oIKu#{WL0cW zLKP9*k_H%tK~~N7BvdKKGKBwvVQahF>N<=HkHox?9*Kb;8h+^TLD|tqF|-?^vNS-Us*1eQ!ovmLwTfF z1na~)utt?Nk5ypRn2Uc?9R_#Zw+7czw5PnCUAC3);QlgB33smXc+CEpwrsX-%{Hn- z-}45kvyR)!$qDLOw(ngIdr>8uNxJgAVSoE=Jwb^rU$EK@)zopncQRcp*`Duql$i5N zlzd<@?+mRq^j%C$4DrqV=l9sae#!Et$0XThS^j06E>54d-9FR3Tk8rtqm_}1a8}m- zp&Vu!in>R_5H8oyj>t?mUH-#qBP%d>k1WTj(MlcbmQ+_x&%rY??L)kjM+ibWKBPE_ ziWzZp_ien>j+kTiA9cik^RP-q!m7TDRW*gbr~>tw8oOLv+s|KQQZ9dy_gKaXkH1i( zFtllMiTp+5sAq8!9T{zFVXg_Za!GS{qC16}jgBEEq+Y>6H`HtE5OR%n+v6MA-$Bl4dz8BAL!gGtuhA7&Zc0TM8%AllxRDv9JA)ABj(C#MJVH0PM|f-dRBxopA$xivfqAg zs-?1+=AOMmzV%!jhtbO9Fj^Xii6~T%A|jIYOqImKO*5Yrk?d#1OfZQw16mQuf>siK zLFIr6ZIw365}Q__rRMIHWlJ55$6yvJP>p9rxz~g%k3OqALh4LJziRn%A98i%w2Z@brn&vp5A~_Bc zjzhZNZ$OlC&y7L*Z`^$^?qI2ElqtD5(NJ*;6*FS9%+2?-qx#1hG5_GYNG5b*TaBh! z&{QZ3I$?;={T8%QE`u@NdM;Hh&Mm3YGA-ydx1>?vAwVjW1+66(Zkh#6MY5n1o7gnX zfTkiD&%-^Z0BUqXPW6uMKYbK kzRT9s{id^0jI%1Q?X2wcVet>I7wGv_OE;eXAI=LyO6Zlbq5uE@ literal 0 HcmV?d00001 diff --git a/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.map.dpi b/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.map.dpi new file mode 100644 index 0000000000000000000000000000000000000000..cd608df43e56e8ac33fa61f181c8c036b1a5b4ce GIT binary patch literal 1315 zcmZ3(&&U7*6?5LsjV``rA#(iwZ%rGU_ioc_4yMSI=Qx$USklOLDR;g^yvv7U2d_-J zoR(()hpX6Ah9^5U&0N>6lGDn{>eBxg>~=~=ZoIF*qkf9{Y{Z;%=c9hOBrTq_)u!R>r5^t@?)m5E?9ctOC$enLukVGQUX<)F(`OIgTX)|r+xuqjO#bJO zoqcnK!CnqA^(j+xqkpg4`NC`S53_l{PcDgwjt;*%Sz*?#6*=qWPRom5 z+4(MZ*W-WB=k<1_ZB2I*o`0ObL{zu4`ONvGQvs*am1Weq_?y=b+W}lEb>2v9m7a~77m(T3C(ux-NqAQ+xR&v$mV?|3|-3%*~oBKYq zEPb)>>xOxS?@#}D@awQ7@B4_l_dcA4&ZSu{b;mh8ZyySdHRq99Icw!s-@|Uv=WQ>i z)}5KD{OQ~5OR_aG-}I}WCq0{5Dy|gbJCP@5+RtqTxzGO3e0Xck$KHppGORea^yNkF z%52*umeqEV&q~&*!;kmv^5)b1O0#^|JlM~^#%8jO*WuR(+vC1}Fq>lj|Bs07rZ4(Z zMcZ66Hgko=vymvW$ClDE4%A-&9rYDr%UhE zk$DrTGjDRFM%`YHU2j*OaDO>#-yV)R$BK^`S{9V*w_V)1B1NuS&@9TlY;RUxmuAAi{Z)BLoxg5U@bm5heaUb9I(Oe(mcA1+clY&p^}`3hR@6szH)iZV7WL_F zneNYzF;TYvSg%y~hP|KV8}{BbYHzklh7q?S3#1K)K-6&T6^y( zM9pe5u$sGdldtx_n)|;0>)E-1wqN?g>h^~JT|6~@{R7LWpI@^;%=a^@w|siN_i!uD literal 0 HcmV?d00001 diff --git a/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.map.hdbx b/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.map.hdbx new file mode 100644 index 0000000000000000000000000000000000000000..06f8fcdd17d60f420c2c382894f5205115a5c016 GIT binary patch literal 3235 zcmV;U3|#Z94*>uG0001Zob6p(bK5o=eU5(xMlYMpcw^$iTQhx-6{XeIwzQI*o$QN- zq9~hNNmO}B<94#YeenS#1<|yMb=`!a^$^7Z!H>WJaBz44QfFtV7j5t2@a8r}FMF>L zc3u2;Vi+#!uF@d(_~CdP#a++=JYmq zD9X}pmZD|23KF!8qqR(3B7P0DjN;%X3O6_6?3dxjUu6sUx(Ggoet@=dbQ62)H6%s6 zMBzGHiK@tWuXdLaMNg8*54|*4phe_o>tK`0%>2}F!>_fLU!hLHr)?Z0$?6Uz+5A6& zpNa@+B#Om>TPGs4A8i()1ScR73+$!n zuILnD0)UHJBoU9f&3M<4odp7@V9O?zt&pI#x5<{CpJp+Kc79h>2ms=NM3Sh2Oa`=h z7x6M)o;dssS|d!-IGkr`6eq6{8m$74Up5YwypB2KqWyN&EvqR?yv$;O$0A6*aFx71 zf!rU1&4M5M9(Ck(JCL~Gtv~bQKy-rd5$YK9%&^ahK?uUecZ7ULaOdP>5GOEVem{AU zR~kW_e`dTxX9*e%I&V&1EVI>Wmgj_{@AE(1*9JPzLSAh`O=MVS7~=CGISFFXX-A&_ zGa_j65A?5Kj{36yK*T)QY?H0$fhakT1qbF3PDw7q?+!x6;Lz@RYQ%7}2tM({*s>i; zC?QaOK0=i8(>Eud1#a-~HspZCKL1Y+`jaBz4&4&e=>RetZylgp%jWnRos$$m3Ncyl$X8X}J{Q3qQO8nbk?aj(Ebw=aCnkqhy&@1ts8c>xdFZiL!#isa0Wc6Byh+qQp^R1%F#r_I9|4JE|s$4>3yB zL@OiCvN%ph)g)C@Nle(OGLywPPDj-wRZ~e#*sOAs#cy8DkE%(krjng7xyMfi$LXk= zglaMiap_uBZ3!%XR82xPnT58r?5ef|4nL|Up_;6M!l_-=mcZag)g%>o4w6%4Z+7zTks7>pC`lDAaJsL; zZQh?Ik5u8ULrUs!fz*8+Zu5KJ$s=`m`;Zb!Tq1Q(iQ9a>n>)8n?yaeAa4ZyioTkqeyeDRP_N15X~Q z$Xkb#RWSKZlPB4Hrmhq7%ih9*)h)5%!-Nms32&+R>cR(u4+0+)4nSsVz*o#au*d>m zDf~r68e{>Hdy63o6GtHchVU4oUIg&T-)wE@%(VBcYD*p@M<<1LSv4H!|8)P019)j zT`#-6@$lXCm5frf?GNMO^{D(-wrj9S!}M+@y0g-%(0<`2Nxh99lm|Ov`Cnb;cTmS> zj`ba66$}2=F>rVY?16B8Jsv<}1q`L84|i@&3?8aA)S-CSdniUEW>yZEb@nFQE@9l3}JP^U247@TS3cI(4xv-63qA%{z#=$Gx84O zs7sb>vWhLF0s4nDz|R(AA50fv<`JnHDl}jzG{D+QnoO58 zVWN?wNin&P7PXb>*i=c=APpo<{FjiXV@bqFNt$jgX_`g@Nz-_OiR2q*lmjZv{NpiV zTgCoLCYQnGez*#wxXKu@zG+4|fR?2vTCsx*(OUSF6||VbzxFqc)&c&t_4pUNq!6t* zca|z>5d|$rP!kKqPt zA^QnvTd5*xpn>;9Rcs>>XgYY<0;wnT9!In8e%nxqptTkr*7WeOC6`U=V>cl>F zR1R*fjfZu8JOP#D;Cx3<1uu2g3GW$s&huGF(H5_)c_hr+=~=Vzp;4miG3DhL3FjiwBFx7G)dtTm`xFbG#c@3N1iLRF?UR@#O5UKN8C{a6B zYrQ<8>E+2)I=XupvqD?y&N9+kCy(elc?K(K%c$FegVhBavghPA^N#c@t04+%$Z3`u zdZK&MqKYO|(QR`TO`&OV!$j>fw-yeuovvMJzziWpEw0-_ht&-)q_uV>(X}hFd;kVW zuXZ~XQ>_@H+LcZd_i2A+yOK2TPT6_hdzcUDO-;K}yfRJ5bGOb+qEsCrtu-r&rdcT$ zj_K|tAQk>qx6qN+T9ri8s%>u0m1Oo+P-54_$oa zjfQpuB@lj^hc1dLI?dZ?_*7MFBMWFccg!iCD=|sU1f%Y7p{=#;sHSaa;$nBUY1Z6i zGu4uys%d98kutxsX-At!nfCLhlS2wj)3g)srWbmZ))_0*R7Xf_O*^V-+Ogu{m^y8* zZkD61b?m6_=0dZ4FvhK;UKK3Wuw(ACVP0*q>Nbygnft7n_s{^*-B;+a@|7<&%)9sA zr`Ec4RMV}?e?0}B53YMX1GSWCim7fL{v!VW(XMOQgw8bWI#xVjQ93FoUIM@BDSj^T z{O!BhI}QN){iCvt=-!<6y}< zfQKEAF~*`#fbCT7;iqSK*;85MVl&V?<|Is(J7OmD38O({Zs*H^Dk%iRyF# zQq!4EPUH{1&$3O(r^Ab3?|b`LgC4x|dNBQfe&4_SL3|$LH!F9L z@@o|T%u|BpGVs&zW3Us72SesFkQz6idsT_s;S + From db64b867f654d2e6c6ff5633bec9bcface7ac2d3 Mon Sep 17 00:00:00 2001 From: juzeon <812312770@qq.com> Date: Mon, 7 Mar 2022 10:41:24 +0800 Subject: [PATCH 6/7] add triple_selector_8b, shifter_8b --- README.md | 10 +- adder_8b/adder_8b.qws | 14 + adder_8b/db/adder_8b.tmw_info | 6 + shifter_8b/db/prev_cmp_shifter_8b.map.qmsg | 7 + shifter_8b/db/prev_cmp_shifter_8b.qmsg | 8 + shifter_8b/db/shifter_8b.(0).cnf.cdb | Bin 0 -> 1254 bytes shifter_8b/db/shifter_8b.(0).cnf.hdb | Bin 0 -> 795 bytes shifter_8b/db/shifter_8b.(1).cnf.cdb | Bin 0 -> 1503 bytes shifter_8b/db/shifter_8b.(1).cnf.hdb | Bin 0 -> 1200 bytes shifter_8b/db/shifter_8b.asm.qmsg | 7 + shifter_8b/db/shifter_8b.asm_labs.ddb | Bin 0 -> 3681 bytes shifter_8b/db/shifter_8b.cbx.xml | 5 + shifter_8b/db/shifter_8b.cmp.bpm | Bin 0 -> 627 bytes shifter_8b/db/shifter_8b.cmp.cdb | Bin 0 -> 5336 bytes shifter_8b/db/shifter_8b.cmp.ecobp | Bin 0 -> 28 bytes shifter_8b/db/shifter_8b.cmp.hdb | Bin 0 -> 7319 bytes shifter_8b/db/shifter_8b.cmp.kpt | 10 + shifter_8b/db/shifter_8b.cmp.logdb | 1 + shifter_8b/db/shifter_8b.cmp.rdb | Bin 0 -> 16033 bytes shifter_8b/db/shifter_8b.cmp.tdb | Bin 0 -> 4352 bytes shifter_8b/db/shifter_8b.cmp0.ddb | Bin 0 -> 56278 bytes shifter_8b/db/shifter_8b.cmp2.ddb | Bin 0 -> 41510 bytes shifter_8b/db/shifter_8b.cmp_merge.kpt | 10 + shifter_8b/db/shifter_8b.db_info | 3 + shifter_8b/db/shifter_8b.eco.cdb | Bin 0 -> 175 bytes shifter_8b/db/shifter_8b.fit.qmsg | 39 + shifter_8b/db/shifter_8b.hier_info | 102 + shifter_8b/db/shifter_8b.hif | 62 + shifter_8b/db/shifter_8b.lpc.html | 34 + shifter_8b/db/shifter_8b.lpc.rdb | Bin 0 -> 435 bytes shifter_8b/db/shifter_8b.lpc.txt | 7 + shifter_8b/db/shifter_8b.map.bpm | Bin 0 -> 609 bytes shifter_8b/db/shifter_8b.map.cdb | Bin 0 -> 1762 bytes shifter_8b/db/shifter_8b.map.ecobp | Bin 0 -> 28 bytes shifter_8b/db/shifter_8b.map.hdb | Bin 0 -> 7043 bytes shifter_8b/db/shifter_8b.map.kpt | 10 + shifter_8b/db/shifter_8b.map.logdb | 1 + shifter_8b/db/shifter_8b.map.qmsg | 9 + shifter_8b/db/shifter_8b.map_bb.cdb | Bin 0 -> 722 bytes shifter_8b/db/shifter_8b.map_bb.hdb | Bin 0 -> 6398 bytes shifter_8b/db/shifter_8b.map_bb.logdb | 1 + shifter_8b/db/shifter_8b.pre_map.cdb | Bin 0 -> 1894 bytes shifter_8b/db/shifter_8b.pre_map.hdb | Bin 0 -> 7660 bytes shifter_8b/db/shifter_8b.rtlv.hdb | Bin 0 -> 7655 bytes shifter_8b/db/shifter_8b.rtlv_sg.cdb | Bin 0 -> 2437 bytes shifter_8b/db/shifter_8b.rtlv_sg_swap.cdb | Bin 0 -> 634 bytes shifter_8b/db/shifter_8b.sgdiff.cdb | Bin 0 -> 1561 bytes shifter_8b/db/shifter_8b.sgdiff.hdb | Bin 0 -> 7751 bytes shifter_8b/db/shifter_8b.sld_design_entry.sci | Bin 0 -> 168 bytes .../db/shifter_8b.sld_design_entry_dsc.sci | Bin 0 -> 168 bytes shifter_8b/db/shifter_8b.syn_hier_info | 0 shifter_8b/db/shifter_8b.tan.qmsg | 6 + shifter_8b/db/shifter_8b.tis_db_list.ddb | Bin 0 -> 188 bytes shifter_8b/incremental_db/README | 11 + .../shifter_8b.root_partition.cmp.atm | Bin 0 -> 7523 bytes .../shifter_8b.root_partition.cmp.dfp | Bin 0 -> 33 bytes .../shifter_8b.root_partition.cmp.hdbx | Bin 0 -> 2104 bytes .../shifter_8b.root_partition.cmp.kpt | 10 + .../shifter_8b.root_partition.cmp.logdb | 1 + .../shifter_8b.root_partition.cmp.rcf | Bin 0 -> 1336 bytes .../shifter_8b.root_partition.map.atm | Bin 0 -> 4955 bytes .../shifter_8b.root_partition.map.dpi | Bin 0 -> 837 bytes .../shifter_8b.root_partition.map.hdbx | Bin 0 -> 2020 bytes .../shifter_8b.root_partition.map.kpt | 10 + shifter_8b/shifter_8b.asm.rpt | 129 + shifter_8b/shifter_8b.bdf | 1081 ++++++++ shifter_8b/shifter_8b.done | 1 + shifter_8b/shifter_8b.fit.rpt | 1006 ++++++++ shifter_8b/shifter_8b.fit.smsg | 6 + shifter_8b/shifter_8b.fit.summary | 16 + shifter_8b/shifter_8b.flow.rpt | 120 + shifter_8b/shifter_8b.map.rpt | 223 ++ shifter_8b/shifter_8b.map.summary | 14 + shifter_8b/shifter_8b.pin | 278 ++ shifter_8b/shifter_8b.pof | Bin 0 -> 524488 bytes shifter_8b/shifter_8b.qpf | 30 + shifter_8b/shifter_8b.qsf | 53 + shifter_8b/shifter_8b.sof | Bin 0 -> 240789 bytes shifter_8b/shifter_8b.tan.rpt | 178 ++ shifter_8b/shifter_8b.tan.summary | 26 + shifter_8b/triple_selector_8b.bdf | 2247 +++++++++++++++++ shifter_8b/triple_selector_8b.bsf | 274 ++ .../db/triple_selector_8b.(0).cnf.cdb | Bin 0 -> 1502 bytes .../db/triple_selector_8b.(0).cnf.hdb | Bin 0 -> 1208 bytes .../db/triple_selector_8b.asm.qmsg | 7 + .../db/triple_selector_8b.asm_labs.ddb | Bin 0 -> 3853 bytes .../db/triple_selector_8b.cbx.xml | 5 + .../db/triple_selector_8b.cmp.bpm | Bin 0 -> 714 bytes .../db/triple_selector_8b.cmp.cdb | Bin 0 -> 5854 bytes .../db/triple_selector_8b.cmp.ecobp | Bin 0 -> 28 bytes .../db/triple_selector_8b.cmp.hdb | Bin 0 -> 7396 bytes .../db/triple_selector_8b.cmp.kpt | 10 + .../db/triple_selector_8b.cmp.logdb | 1 + .../db/triple_selector_8b.cmp.rdb | Bin 0 -> 16485 bytes .../db/triple_selector_8b.cmp.tdb | Bin 0 -> 4600 bytes .../db/triple_selector_8b.cmp0.ddb | Bin 0 -> 59672 bytes .../db/triple_selector_8b.cmp2.ddb | Bin 0 -> 41904 bytes .../db/triple_selector_8b.cmp_merge.kpt | 10 + .../db/triple_selector_8b.db_info | 3 + .../db/triple_selector_8b.eco.cdb | Bin 0 -> 175 bytes .../db/triple_selector_8b.fit.qmsg | 39 + .../db/triple_selector_8b.hier_info | 59 + triple_selector_8b/db/triple_selector_8b.hif | 42 + .../db/triple_selector_8b.lpc.html | 18 + .../db/triple_selector_8b.lpc.rdb | Bin 0 -> 399 bytes .../db/triple_selector_8b.lpc.txt | 5 + .../db/triple_selector_8b.map.bpm | Bin 0 -> 702 bytes .../db/triple_selector_8b.map.cdb | Bin 0 -> 1821 bytes .../db/triple_selector_8b.map.ecobp | Bin 0 -> 28 bytes .../db/triple_selector_8b.map.hdb | Bin 0 -> 7018 bytes .../db/triple_selector_8b.map.kpt | 10 + .../db/triple_selector_8b.map.logdb | 1 + .../db/triple_selector_8b.map.qmsg | 7 + .../db/triple_selector_8b.map_bb.cdb | Bin 0 -> 756 bytes .../db/triple_selector_8b.map_bb.hdb | Bin 0 -> 6323 bytes .../db/triple_selector_8b.map_bb.logdb | 1 + .../db/triple_selector_8b.pre_map.cdb | Bin 0 -> 1603 bytes .../db/triple_selector_8b.pre_map.hdb | Bin 0 -> 7160 bytes .../db/triple_selector_8b.rtlv.hdb | Bin 0 -> 7158 bytes .../db/triple_selector_8b.rtlv_sg.cdb | Bin 0 -> 1518 bytes .../db/triple_selector_8b.rtlv_sg_swap.cdb | Bin 0 -> 192 bytes .../db/triple_selector_8b.sgdiff.cdb | Bin 0 -> 1401 bytes .../db/triple_selector_8b.sgdiff.hdb | Bin 0 -> 7182 bytes .../triple_selector_8b.sld_design_entry.sci | Bin 0 -> 168 bytes ...riple_selector_8b.sld_design_entry_dsc.sci | Bin 0 -> 168 bytes .../db/triple_selector_8b.syn_hier_info | 0 .../db/triple_selector_8b.tan.qmsg | 6 + .../db/triple_selector_8b.tis_db_list.ddb | Bin 0 -> 188 bytes .../db/triple_selector_8b.tmw_info | 6 + triple_selector_8b/incremental_db/README | 11 + .../triple_selector_8b.root_partition.cmp.atm | Bin 0 -> 8675 bytes .../triple_selector_8b.root_partition.cmp.dfp | Bin 0 -> 33 bytes ...triple_selector_8b.root_partition.cmp.hdbx | Bin 0 -> 2157 bytes .../triple_selector_8b.root_partition.cmp.kpt | 10 + ...riple_selector_8b.root_partition.cmp.logdb | 1 + .../triple_selector_8b.root_partition.cmp.rcf | Bin 0 -> 1469 bytes .../triple_selector_8b.root_partition.map.atm | Bin 0 -> 5329 bytes .../triple_selector_8b.root_partition.map.dpi | Bin 0 -> 781 bytes ...triple_selector_8b.root_partition.map.hdbx | Bin 0 -> 2079 bytes .../triple_selector_8b.root_partition.map.kpt | 10 + triple_selector_8b/shifter_8b.bsf | 183 ++ triple_selector_8b/triple_selector_8b.asm.rpt | 129 + triple_selector_8b/triple_selector_8b.bdf | 2247 +++++++++++++++++ triple_selector_8b/triple_selector_8b.bsf | 274 ++ triple_selector_8b/triple_selector_8b.done | 1 + triple_selector_8b/triple_selector_8b.fit.rpt | 1094 ++++++++ .../triple_selector_8b.fit.smsg | 6 + .../triple_selector_8b.fit.summary | 16 + .../triple_selector_8b.flow.rpt | 120 + triple_selector_8b/triple_selector_8b.map.rpt | 218 ++ .../triple_selector_8b.map.summary | 14 + triple_selector_8b/triple_selector_8b.pin | 278 ++ triple_selector_8b/triple_selector_8b.pof | Bin 0 -> 524488 bytes triple_selector_8b/triple_selector_8b.qpf | 30 + triple_selector_8b/triple_selector_8b.qsf | 53 + triple_selector_8b/triple_selector_8b.qws | 14 + triple_selector_8b/triple_selector_8b.sof | Bin 0 -> 240789 bytes triple_selector_8b/triple_selector_8b.tan.rpt | 174 ++ .../triple_selector_8b.tan.summary | 26 + 159 files changed, 11204 insertions(+), 1 deletion(-) create mode 100644 adder_8b/adder_8b.qws create mode 100644 adder_8b/db/adder_8b.tmw_info create mode 100644 shifter_8b/db/prev_cmp_shifter_8b.map.qmsg create mode 100644 shifter_8b/db/prev_cmp_shifter_8b.qmsg create mode 100644 shifter_8b/db/shifter_8b.(0).cnf.cdb create mode 100644 shifter_8b/db/shifter_8b.(0).cnf.hdb create mode 100644 shifter_8b/db/shifter_8b.(1).cnf.cdb create mode 100644 shifter_8b/db/shifter_8b.(1).cnf.hdb create mode 100644 shifter_8b/db/shifter_8b.asm.qmsg create mode 100644 shifter_8b/db/shifter_8b.asm_labs.ddb create mode 100644 shifter_8b/db/shifter_8b.cbx.xml create mode 100644 shifter_8b/db/shifter_8b.cmp.bpm create mode 100644 shifter_8b/db/shifter_8b.cmp.cdb create mode 100644 shifter_8b/db/shifter_8b.cmp.ecobp create mode 100644 shifter_8b/db/shifter_8b.cmp.hdb create mode 100644 shifter_8b/db/shifter_8b.cmp.kpt create mode 100644 shifter_8b/db/shifter_8b.cmp.logdb create mode 100644 shifter_8b/db/shifter_8b.cmp.rdb create mode 100644 shifter_8b/db/shifter_8b.cmp.tdb create mode 100644 shifter_8b/db/shifter_8b.cmp0.ddb create mode 100644 shifter_8b/db/shifter_8b.cmp2.ddb create mode 100644 shifter_8b/db/shifter_8b.cmp_merge.kpt create mode 100644 shifter_8b/db/shifter_8b.db_info create mode 100644 shifter_8b/db/shifter_8b.eco.cdb create mode 100644 shifter_8b/db/shifter_8b.fit.qmsg create mode 100644 shifter_8b/db/shifter_8b.hier_info create mode 100644 shifter_8b/db/shifter_8b.hif create mode 100644 shifter_8b/db/shifter_8b.lpc.html create mode 100644 shifter_8b/db/shifter_8b.lpc.rdb create mode 100644 shifter_8b/db/shifter_8b.lpc.txt create mode 100644 shifter_8b/db/shifter_8b.map.bpm create mode 100644 shifter_8b/db/shifter_8b.map.cdb create mode 100644 shifter_8b/db/shifter_8b.map.ecobp create mode 100644 shifter_8b/db/shifter_8b.map.hdb create mode 100644 shifter_8b/db/shifter_8b.map.kpt create mode 100644 shifter_8b/db/shifter_8b.map.logdb create mode 100644 shifter_8b/db/shifter_8b.map.qmsg create mode 100644 shifter_8b/db/shifter_8b.map_bb.cdb create mode 100644 shifter_8b/db/shifter_8b.map_bb.hdb create mode 100644 shifter_8b/db/shifter_8b.map_bb.logdb create mode 100644 shifter_8b/db/shifter_8b.pre_map.cdb create mode 100644 shifter_8b/db/shifter_8b.pre_map.hdb create mode 100644 shifter_8b/db/shifter_8b.rtlv.hdb create mode 100644 shifter_8b/db/shifter_8b.rtlv_sg.cdb create mode 100644 shifter_8b/db/shifter_8b.rtlv_sg_swap.cdb create mode 100644 shifter_8b/db/shifter_8b.sgdiff.cdb create mode 100644 shifter_8b/db/shifter_8b.sgdiff.hdb create mode 100644 shifter_8b/db/shifter_8b.sld_design_entry.sci create mode 100644 shifter_8b/db/shifter_8b.sld_design_entry_dsc.sci create mode 100644 shifter_8b/db/shifter_8b.syn_hier_info create mode 100644 shifter_8b/db/shifter_8b.tan.qmsg create mode 100644 shifter_8b/db/shifter_8b.tis_db_list.ddb create mode 100644 shifter_8b/incremental_db/README create mode 100644 shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.atm create mode 100644 shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.dfp create mode 100644 shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.hdbx create mode 100644 shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.kpt create mode 100644 shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.logdb create mode 100644 shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.rcf create mode 100644 shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.map.atm create mode 100644 shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.map.dpi create mode 100644 shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.map.hdbx create mode 100644 shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.map.kpt create mode 100644 shifter_8b/shifter_8b.asm.rpt create mode 100644 shifter_8b/shifter_8b.bdf create mode 100644 shifter_8b/shifter_8b.done create mode 100644 shifter_8b/shifter_8b.fit.rpt create mode 100644 shifter_8b/shifter_8b.fit.smsg create mode 100644 shifter_8b/shifter_8b.fit.summary create mode 100644 shifter_8b/shifter_8b.flow.rpt create mode 100644 shifter_8b/shifter_8b.map.rpt create mode 100644 shifter_8b/shifter_8b.map.summary create mode 100644 shifter_8b/shifter_8b.pin create mode 100644 shifter_8b/shifter_8b.pof create mode 100644 shifter_8b/shifter_8b.qpf create mode 100644 shifter_8b/shifter_8b.qsf create mode 100644 shifter_8b/shifter_8b.sof create mode 100644 shifter_8b/shifter_8b.tan.rpt create mode 100644 shifter_8b/shifter_8b.tan.summary create mode 100644 shifter_8b/triple_selector_8b.bdf create mode 100644 shifter_8b/triple_selector_8b.bsf create mode 100644 triple_selector_8b/db/triple_selector_8b.(0).cnf.cdb create mode 100644 triple_selector_8b/db/triple_selector_8b.(0).cnf.hdb create mode 100644 triple_selector_8b/db/triple_selector_8b.asm.qmsg create mode 100644 triple_selector_8b/db/triple_selector_8b.asm_labs.ddb create mode 100644 triple_selector_8b/db/triple_selector_8b.cbx.xml create mode 100644 triple_selector_8b/db/triple_selector_8b.cmp.bpm create mode 100644 triple_selector_8b/db/triple_selector_8b.cmp.cdb create mode 100644 triple_selector_8b/db/triple_selector_8b.cmp.ecobp create mode 100644 triple_selector_8b/db/triple_selector_8b.cmp.hdb create mode 100644 triple_selector_8b/db/triple_selector_8b.cmp.kpt create mode 100644 triple_selector_8b/db/triple_selector_8b.cmp.logdb create mode 100644 triple_selector_8b/db/triple_selector_8b.cmp.rdb create mode 100644 triple_selector_8b/db/triple_selector_8b.cmp.tdb create mode 100644 triple_selector_8b/db/triple_selector_8b.cmp0.ddb create mode 100644 triple_selector_8b/db/triple_selector_8b.cmp2.ddb create mode 100644 triple_selector_8b/db/triple_selector_8b.cmp_merge.kpt create mode 100644 triple_selector_8b/db/triple_selector_8b.db_info create mode 100644 triple_selector_8b/db/triple_selector_8b.eco.cdb create mode 100644 triple_selector_8b/db/triple_selector_8b.fit.qmsg create mode 100644 triple_selector_8b/db/triple_selector_8b.hier_info create mode 100644 triple_selector_8b/db/triple_selector_8b.hif create mode 100644 triple_selector_8b/db/triple_selector_8b.lpc.html create mode 100644 triple_selector_8b/db/triple_selector_8b.lpc.rdb create mode 100644 triple_selector_8b/db/triple_selector_8b.lpc.txt create mode 100644 triple_selector_8b/db/triple_selector_8b.map.bpm create mode 100644 triple_selector_8b/db/triple_selector_8b.map.cdb create mode 100644 triple_selector_8b/db/triple_selector_8b.map.ecobp create mode 100644 triple_selector_8b/db/triple_selector_8b.map.hdb create mode 100644 triple_selector_8b/db/triple_selector_8b.map.kpt create mode 100644 triple_selector_8b/db/triple_selector_8b.map.logdb create mode 100644 triple_selector_8b/db/triple_selector_8b.map.qmsg create mode 100644 triple_selector_8b/db/triple_selector_8b.map_bb.cdb create mode 100644 triple_selector_8b/db/triple_selector_8b.map_bb.hdb create mode 100644 triple_selector_8b/db/triple_selector_8b.map_bb.logdb create mode 100644 triple_selector_8b/db/triple_selector_8b.pre_map.cdb create mode 100644 triple_selector_8b/db/triple_selector_8b.pre_map.hdb create mode 100644 triple_selector_8b/db/triple_selector_8b.rtlv.hdb create mode 100644 triple_selector_8b/db/triple_selector_8b.rtlv_sg.cdb create mode 100644 triple_selector_8b/db/triple_selector_8b.rtlv_sg_swap.cdb create mode 100644 triple_selector_8b/db/triple_selector_8b.sgdiff.cdb create mode 100644 triple_selector_8b/db/triple_selector_8b.sgdiff.hdb create mode 100644 triple_selector_8b/db/triple_selector_8b.sld_design_entry.sci create mode 100644 triple_selector_8b/db/triple_selector_8b.sld_design_entry_dsc.sci create mode 100644 triple_selector_8b/db/triple_selector_8b.syn_hier_info create mode 100644 triple_selector_8b/db/triple_selector_8b.tan.qmsg create mode 100644 triple_selector_8b/db/triple_selector_8b.tis_db_list.ddb create mode 100644 triple_selector_8b/db/triple_selector_8b.tmw_info create mode 100644 triple_selector_8b/incremental_db/README create mode 100644 triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.atm create mode 100644 triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.dfp create mode 100644 triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.hdbx create mode 100644 triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.kpt create mode 100644 triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.logdb create mode 100644 triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.rcf create mode 100644 triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.map.atm create mode 100644 triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.map.dpi create mode 100644 triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.map.hdbx create mode 100644 triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.map.kpt create mode 100644 triple_selector_8b/shifter_8b.bsf create mode 100644 triple_selector_8b/triple_selector_8b.asm.rpt create mode 100644 triple_selector_8b/triple_selector_8b.bdf create mode 100644 triple_selector_8b/triple_selector_8b.bsf create mode 100644 triple_selector_8b/triple_selector_8b.done create mode 100644 triple_selector_8b/triple_selector_8b.fit.rpt create mode 100644 triple_selector_8b/triple_selector_8b.fit.smsg create mode 100644 triple_selector_8b/triple_selector_8b.fit.summary create mode 100644 triple_selector_8b/triple_selector_8b.flow.rpt create mode 100644 triple_selector_8b/triple_selector_8b.map.rpt create mode 100644 triple_selector_8b/triple_selector_8b.map.summary create mode 100644 triple_selector_8b/triple_selector_8b.pin create mode 100644 triple_selector_8b/triple_selector_8b.pof create mode 100644 triple_selector_8b/triple_selector_8b.qpf create mode 100644 triple_selector_8b/triple_selector_8b.qsf create mode 100644 triple_selector_8b/triple_selector_8b.qws create mode 100644 triple_selector_8b/triple_selector_8b.sof create mode 100644 triple_selector_8b/triple_selector_8b.tan.rpt create mode 100644 triple_selector_8b/triple_selector_8b.tan.summary diff --git a/README.md b/README.md index b89dc79..57d4cc8 100644 --- a/README.md +++ b/README.md @@ -16,4 +16,12 @@ ### 38_decoder -3-8译ç å™¨ã€‚ \ No newline at end of file +3-8译ç å™¨ã€‚ + +### triple_selector_8b + +8使•°æ®é€‰æ‹©å™¨ï¼ˆä¸‰é€‰ä¸€ï¼‰ã€‚ + +### shifter_8b + +8使•°æ®ç§»ä½å™¨ã€‚ \ No newline at end of file diff --git a/adder_8b/adder_8b.qws b/adder_8b/adder_8b.qws new file mode 100644 index 0000000..9b540c2 --- /dev/null +++ b/adder_8b/adder_8b.qws @@ -0,0 +1,14 @@ +[ProjectWorkspace] +ptn_Child1=Frames +[ProjectWorkspace.Frames] +ptn_Child1=ChildFrames +[ProjectWorkspace.Frames.ChildFrames] +ptn_Child1=Document-0 +[ProjectWorkspace.Frames.ChildFrames.Document-0] +ptn_Child1=ViewFrame-0 +[ProjectWorkspace.Frames.ChildFrames.Document-0.ViewFrame-0] +DocPathName=adder_8b.bdf +DocumentCLSID={7b19e8f2-2bbe-11d1-a082-0020affa5bde} +IsChildFrameDetached=False +IsActiveChildFrame=True +ptn_Child1=StateMap diff --git a/adder_8b/db/adder_8b.tmw_info b/adder_8b/db/adder_8b.tmw_info new file mode 100644 index 0000000..f9d7d70 --- /dev/null +++ b/adder_8b/db/adder_8b.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:06 +start_analysis_synthesis:s:00:00:02-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:02-start_full_compilation +start_assembler:s:00:00:01-start_full_compilation +start_timing_analyzer:s:00:00:01-start_full_compilation diff --git a/shifter_8b/db/prev_cmp_shifter_8b.map.qmsg b/shifter_8b/db/prev_cmp_shifter_8b.map.qmsg new file mode 100644 index 0000000..701b624 --- /dev/null +++ b/shifter_8b/db/prev_cmp_shifter_8b.map.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:36:47 2022 " "Info: Processing started: Mon Mar 07 10:36:47 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off shifter_8b -c shifter_8b " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off shifter_8b -c shifter_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "shifter_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file shifter_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 shifter_8b " "Info: Found entity 1: shifter_8b" { } { { "shifter_8b.bdf" "" { Schematic "D:/projects/quartus/shifter_8b/shifter_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "shifter_8b " "Info: Elaborating entity \"shifter_8b\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Error" "ESGN_ENTITY_IS_MISSING" "inst triple_selector_8b " "Error: Node instance \"inst\" instantiates undefined entity \"triple_selector_8b\"" { } { { "shifter_8b.bdf" "inst" { Schematic "D:/projects/quartus/shifter_8b/shifter_8b.bdf" { { 32 488 584 512 "inst" "" } } } } } 0 0 "Node instance \"%1!s!\" instantiates undefined entity \"%2!s!\"" 0 0 "" 0 -1} +{ "Error" "EQEXE_ERROR_COUNT" "Analysis & Synthesis 1 0 s Quartus II " "Error: Quartus II Analysis & Synthesis was unsuccessful. 1 error, 0 warnings" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "246 " "Error: Peak virtual memory: 246 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Error" "EQEXE_END_BANNER_TIME" "Mon Mar 07 10:36:47 2022 " "Error: Processing ended: Mon Mar 07 10:36:47 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Error" "EQEXE_ELAPSED_TIME" "00:00:00 " "Error: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Error: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/shifter_8b/db/prev_cmp_shifter_8b.qmsg b/shifter_8b/db/prev_cmp_shifter_8b.qmsg new file mode 100644 index 0000000..d72ebb1 --- /dev/null +++ b/shifter_8b/db/prev_cmp_shifter_8b.qmsg @@ -0,0 +1,8 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:36:47 2022 " "Info: Processing started: Mon Mar 07 10:36:47 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off shifter_8b -c shifter_8b " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off shifter_8b -c shifter_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "shifter_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file shifter_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 shifter_8b " "Info: Found entity 1: shifter_8b" { } { { "shifter_8b.bdf" "" { Schematic "D:/projects/quartus/shifter_8b/shifter_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "shifter_8b " "Info: Elaborating entity \"shifter_8b\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Error" "ESGN_ENTITY_IS_MISSING" "inst triple_selector_8b " "Error: Node instance \"inst\" instantiates undefined entity \"triple_selector_8b\"" { } { { "shifter_8b.bdf" "inst" { Schematic "D:/projects/quartus/shifter_8b/shifter_8b.bdf" { { 32 488 584 512 "inst" "" } } } } } 0 0 "Node instance \"%1!s!\" instantiates undefined entity \"%2!s!\"" 0 0 "" 0 -1} +{ "Error" "EQEXE_ERROR_COUNT" "Analysis & Synthesis 1 0 s Quartus II " "Error: Quartus II Analysis & Synthesis was unsuccessful. 1 error, 0 warnings" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "246 " "Error: Peak virtual memory: 246 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Error" "EQEXE_END_BANNER_TIME" "Mon Mar 07 10:36:47 2022 " "Error: Processing ended: Mon Mar 07 10:36:47 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Error" "EQEXE_ELAPSED_TIME" "00:00:00 " "Error: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Error: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Error" "EFLOW_ERROR_COUNT" "Full Compilation 3 s 0 s " "Error: Quartus II Full Compilation was unsuccessful. 3 errors, 0 warnings" { } { } 0 0 "Quartus II %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/shifter_8b/db/shifter_8b.(0).cnf.cdb b/shifter_8b/db/shifter_8b.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..441729cff03f365333ac9f8b78f82d9f251aabfc GIT binary patch literal 1254 zcmV@6CZfX_)000000N?`v000000KEYK z000000OkY$000000C)lHSj%n`F%)%Do(rBr1;LUf8{QyRGYMiY9 zvCNmjU+@LpAl?!n-W|@l<9nI$Oq>h}Dij=fY#-la`#$U$C(rYI8+p+=_Z{W$$QasE z2el4<2Ry>|H_XfOD@wu(d?2yR&Px>!N_4g1%Sr6?suFT=DP2wz+1Enpusv?&T<3Bq z9Ja@isdjoLln&cb_T^AIY)9FbLg}y_WnT=X!*-N?A(Rf=QMLsOS#8`+hb@Iuh3(kX zOSePmupMLHoQb_W6FW1LcieU>qsji9$=%sZp_a`Q=GjbJEVGk^er^+LVzpmBS;)R~ zs~lUb)qPV# z=&Tx82X?2}$LsPrUxNWn$X@@2f`(k(PFWc#+5p{GeSj=HK4;1xN9gKfN0c zs|3nwHobi%QJaRm&&BcH$V1midEtO)j~$bJrHpDN{j9{GsthDUwOU9 z{kq=(XZ;yU+*6Zd$S+afi}EP)D_=i?`fFc5j5>LgIfTryX>+v&@4F|^#`L3cnd>^o z2hu6z;l}F2wZY2fU~O=3xW2J+{qBv`2b)87!-USAMW#M~O6Hn0!4B@x`o{hJC{GF~ zOO_gJ;uvGJ5m7y}Rbn47Dq6aj2+tlIfJTNO18wX8b~mYhbK^gbks$UBPmc&>>$IR4=v-bpH#3<6QA;mu$P}*dAq6OpCSb~&gioX*W zpDNQ6O)D%x81pKVitgM2iu45Geo&+@2zQ4fso016K#`sx+&PN$1>rtYBo!N0Sb}hm zsZ3uG?l?tKv2%qb2zR5(^aUyFo{BFjEI}Aj#u9`Psz{o#rst^mOOS4vC5T#{@(n3@ zT8x@B^p&8*D=|sT&$i3O_+FhtQywe+^CxByjua7N_d)O0l1H>!dAVW6Tsv4I9C#g< z7bnJ%gN``sOnSJ<4j@Q^rjLb|+C)G)Zb+`DO~%^YJMb7mG2Us&@g=_qIepp31lsdaO#Rt1PhPc8sRK8Jf;RGw{K~gG(qSV>nHy}R1KL~2Nb5!~Q4zOYYs1`LK z&5xqR&)>y$!s^8fxWFnrkko@6CZd(Qb00000073!)0000007?M> z0000002>1U000000C)ksR6S1uK@{}6m6G@itPIC@th|H}iX$Y~Z3RIgk`N_8L1}4e z=})kvw6wJJXINVMg);-Yy2;6PyyQLRc4z0^n>%i?SS+>>N62sBO(gp<*z*fLH<6#K zIoWp?X>wWA>3A?2_S%!)uy;Pa9=EIA)4|nbNpvNrs7c9p^`&IhYAkm z9SST8ok}Pbx#2dQTGPQ0!2v&Ry$(wC#mN?S*>1gSw5; zACPl2>A;6aVD>XP6vi1DGcc>jSG?{GEbL*8rjvjWhaNv8v)FHdCzT4f5n^PPSe00e z@@nyaMk$q1Ol1^O8TnL3@Ru=%8#DY7M@VAM5_;2G19^&A3~$;eoZ~Kom`=yKS{XK4 zUGRrk5}qB2O*}gi8J-=949|{4hG$12!!9O1S`Hg=FhnAO!$wW*uVK_u8L`LcK=20A zw@6}kY1L5}x{o(JiF@QRGK0l^q&_fYAVlKJeg^`R*pfU`Ua-j%3}Q=?w})7qTn_rv z9zUZe-HZMY00030|9AlsU}FFR5FrG_!R~(XKK{;*A)fv~hG%fd>E2yO7(pr+9Ka+4 z0~3&z0b-ES0N0>kpdwelkoe$`AWuK{cpx(z?=*+6y$RW Zs9JYd7j(l7Lw}2~f*lL89RL6T|NpJpNQeLc literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.(1).cnf.cdb b/shifter_8b/db/shifter_8b.(1).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..a51279eb6734dbfdc897f8edf6f49b92d5db2209 GIT binary patch literal 1503 zcmV<51t9tl000233jqLb0B!(300011WpZ@6CZX7890000000#vC000000A&FH z000000N(`w000000C)lHT1juzFc?i+R&ijF*mo)+At6Cib}nxFEBX_-aDn^$FC-90 zkhpV#vhRpp><#aE@_lt&$C)HE)dQ#41ccFYw`8|tFx3h3H?r>*=}bR)kN z-N^3(y0+i5t^8JWBfk~h$nOHWw%=!3`K{-vxASzt6VvThWdDR&*o33+UQ@ zpKIl}q8s_G=th1Q(6#+O-^y=AH}YH2jr=a4$$$-p-C@Y{!z}CZS<)?kgV7ftWQN-e z)Qoful+rEl8t7r0q#G)xtPk3y+nTdQY!Z>!hPsiqKso$w0VRIZ@RbfY^u8g1dS{y# z6b8g=3RSzfc~KdcxZRvuIoWVAl_Okkt}eDQd&fWq6Z(m^tbq@mK7@OSW7%v{SWjdTtjIq zvR-rZnP~&^m8;jT>+R!Z+r08Yf_(tL?31h_fFqV5y(pMFxoWACAy*$YK`#O?2{JE9 zum>i*t}tO%FP`t#G+{k7FbbkreQ_+{tbAKxL3%+L?v+@uvtk_dmWWQfiS>6-Pcvcz zsn;zb0@}a3f;#R zGJXjP5~vA!I~y|L1sD!gF=!k^49~%Eu!=!nh>#c0z)%^;=wyfmQsbbJ40$n7B=sIF zXXjzQHUeGeBvZtp^Q0ev`mag|_r$Y6gu zIgK28rVMkyyhpz!H{53P9_uKc-IDTC$UF*A?-4w^CFLiPzd-f``7oZ{ zlJXPC-ynO6d#eg&`ZLNCB#_6G(Fdv9r5lyuXu|t8>V@q|c_DU|ANZ8jwerfmj5H5uWkzbPaM0 za`uRPI(P48CI*n-b%2-`MUkift?s>dm>HCTqA@^>>PcsRU#A%^i&)se&hY`t0{{R3 F|NlP~yc7Tc literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.(1).cnf.hdb b/shifter_8b/db/shifter_8b.(1).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..616a2626da504062c556df323ba2606ca1c0befd GIT binary patch literal 1200 zcmV;h1W)@9000233jqLb0B!(300011WpZ@6CZp#n=000000M!Ek0000007?M> z000000I&oA000000C)lHR=Z9dK@dE@37wfwAhNjGduGf`LP+R|kg&0gglq(Sh(m{j z4habz`V;&KgpU0>ch_$BQfNo}1FST6Jv}uwT{V3Ro6Tl3ktfUeC-K8*Jy1{dQD6Rw zFAt;j;7`{t>dg}wW%s#!o_xHxY%G{+KJDVOSTg7|Bbm#yZj0t3aib*!`jyr` zpNG~zs3^O?r7=`bS$eeaXztO>qsF7)(bS^oEYVq_vqWc!&Jvv^_AIE%t{YIB0Amwu zwWhQZl1oa(a@lj)a}kkIp>CUa!HJG@MVZnNW#&(5X>A20lDalSS~<~+=?-GR;t(2E}&)f;d=xHu2#&c)2GC80t`dA*tQ z#N40~-_u)9XEeR_ln>DUOZ?z`(*n~QY&JEH%Gw85E-eTnTIM5U;Kd(u!kG&T^OWwZ*=*g#bBRmY$pjin>;r(5Up zpxssy+{%A}2eQ5`v0hO!b|8LA#vYA zs%Z<ZHnhrE=KIvN?##XSoH^&-|0X%-`SSje=Y8|ro;Qjx z80_3yI4lf&+kv0Gg2LHMRv19of&&~Pnfn6)Q!@(y_Yae;coS0`jsVbu!lRgbg8+BN z-md^tfbI%>8596~9>|OUzqaQB0_*{UsVgi$V7~7kFviL{20p>t5vI|$j+{1aMnY@e zJ%ZfeEFH0*q$>ML*WR>5Sr>Igu1+dMgG+3;C#zbLq%onYnr|J5s9LxfaO-QU@KC<@ zv%RNNe>b*epsy#$B2xI}%+(oM?FI0qSh-Pa>D+jBqr^}q@*x4Im@mUeir`a;oED3p`Hn=iz+pAN?$~6S?!(%)Tk>{Gbw&DQG-LA}p(U+xkkvd=T z(v%l(F#cNXv@^AL^HlB2D3|I!))L3F!>%J);<<1ld{4sJ8OBv_yGE<2gLZo*jN|lhy_J{ zS{=`mn|*j%Vhzeyw}WgvGF%%Hy!XD?GQ!JI+~s`uE|ai0e~_vb;oDpt7GIO+7f;=0 zdp_+z&|>wmEeQ>coD9V`Ym4K3S@BVeCG)@56pcLLa3sBRi+((xqMT;GQ`u>k(mE?= zmUi~JH@_Mje4YK|=9J{!h02kfF-d*-{PV|$8Z2`{Muc{`X$d~LlD_kO zeQ{sr_i1y9;oe2U3#=!biZ5r*l^mZD;IC=kxN=r&_NV|k{J>0Fr6;mgz7if933Mv)0VNr$@j?U?kqX~`C;oQOb5?Cd`O;8t0n05tOfRc#{=2-Uwo@T3&f@23(8arjCy$K5w~eP`sG zqEY_S{T{)>m_$Z#CUFN+xnfD-*{KKH&BTfnfuC@SGFzW9(X!>IDr)Rzu=`1TU*RXt zNod9~81y?0+$zat38x-S9-OKOmq+P3q66-jhg`own3@e7oz0rj@=TwmYO*tC)#CV( zZ2vys*52z+w?>EF--j4XLZ51=G#&C4xq;B0G4-q{&!U$W9#NGWmu@gx*wHWUSG+IK zazP?qA{?|883-Dni{oM7G~=>`^?AN=4^)lD>OJ&QW)XqAyIST&W9QCBPWxS+#(Ver z%&Vd|_UQ;88uMoqf;;mT*IphdGW+%B&H?L=^CKLPh&#zdk+tNr3LLv`o+{>PE0^_^ zT-qm7MoPtPgJmMHttuilA~QNv>JAR|IzN^_@^Eh$^Zi21;qx#Sr%6$OW6;Yg{*^q! zr?(YS&bpmqGR>#*_Nyyct5XyXSxy|Zbl7kMR)a}-CTeU5J74g0l?;xtn2s)QQt>fs z>G@gzj1)O1t&0GuRQhCvBLj*+Z3oEcS-#Dw!MCO%%V1TRPIiTyrdb2lC8KDlq8L)h zi=pT<1>?{TMRZ&;V;IN-qvhQ%(*U7|*#FN`5z^a|1B-c`Hp9&rx+<`;6A$Ke?c~9=5 z-dCaTa>+R!88ONAxTC7vogv?e-ImyxwdSA`$IdIC+x!M>NqF2ge}BCIjn&@UhEJy%du^=LPSO0#(^FKkr_ z4h-NCX#Q(>bRBocBP+t|U=H(_u4;i2^bi$w?2qM|B~5_4d2ru~%yv|1WNNsFr$RLa zcLcuE0(Gw|l0Cc!-))e8<6>B5ClmtmBR#1nUnsF&fg;fPbex}s*!-*zlccS@Vk6pV zLtY({_9v2Aw!Jw5_#k}a@n69DIZ9_kqGvK1Y(CHxIkif?_g@*O>sM*MmS!qZZi36#lE4*;tQ_8Whgm%Kv+bo_xXwrnu2 zMs2hf6gmB7z4@84uWzX}9W~(JzGcNOYmv38B$uR0VO!0*6`9~&>g8-B-W4~Bq-=MFOMQck=n6PRcb{^gSK~s;9(CFEB&Q!Hrj95o{()} z5w~5(wc4ra#_s&qZ`^9koMdZC*r8GbKBUG_Htzblk79z5db z=NHmF7hX!sXlNsz00RefvLuH6FGENpf* + + + + diff --git a/shifter_8b/db/shifter_8b.cmp.bpm b/shifter_8b/db/shifter_8b.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..19e71615d3456a04e1a4056b9c83200ef579bdf1 GIT binary patch literal 627 zcmV-(0*w6+000233jqLb0B!(300011WpZ@6CZgL0!000000Qms`000000HXi^ z000000CNHW000000C)lHRl82ZKoAVC0wfR>v~+Yhz}W;O3ZxWJK|x1hXu*O+^u-RVqh!`^3nV-5OP&_XYkuiZ8@951crOu7gfGbZ$niBpnT%;Z=B<28rKj zJK>;{1pQ!;wt9ZI?R27k9QJ~)9}6=~!)Rc$w~{DIKc=u(z6i;bzY3fZZznL=nly0H zKbBUWFF`A%u?%7Ms?W0gNy>d88w7YL`nKf2Eu%)?PQjBw-Z6>rhG1hTxY83S!k7*P zpMnXsd`(@hLU7uxB*PoE=FqiEpNJ3Xo9@3_4(v Nfk6#Q0{{R3|Nlq~3xWUu literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.cmp.cdb b/shifter_8b/db/shifter_8b.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..8c75fb75d3a9bf519a399efba542e8007250dcae GIT binary patch literal 5336 zcmV;}6esHs000233jqLb0B!(300011WpZ@6CZck|d000000JstW000000Mr8j z000000N4}&000000C)lHooSF=MHR>I3??jsh(M6dOs2FDM3xjpAOSjoKmZjG0a*jG zQ$Q>PDTu{GL~$)BP(D~Cl0csSUw5DP`gQJo z_sxqlfyA!r+qX}jK7F=6-S^&pGtFkRIZzbE(pbJp3E&Og6ob04G@)4R+h`mZn4#-B zvFV*Ew~O}_Yb%3g3B0uau2^3!BG5l=uj+3&;6Dy~F;(GPyNzekfIn=FDy#TQ$NSrI zj0e9j_Rv2a@1-8_O@v&&e)MU&f#zuS*^hivjrl&tgTK`NV{N~F{KagEnEmMU)x`eD zm)ghdZDXMSH5n+TUdLPAwYyul2xHyL@fRy3Xus`x0de6*J&vrZ)7b(ZcbDM7oU)2aLVA|rRg29Lb*Yfae4-Z&gQXv zUo61|zHuzA-QbfVTt#t19OPgsO7sgCZk9=i#jx8?+hN+YsoPDPwuA2=2=g5QUQwB5 zNHF(b7ChWfDE5)2md0tzTvu}bstbLof43+u0G89$I^O_JO6dkjoA==Wa0Wj$^mC65 z1;`aDG*n8<3FPK$U`u7~hebJ-h|99}*Y^#*Kd2&YH@S+>hIZCR;+~T8*B&k*-gP3H zUV%<1CKk`UQ50Kf??XM;o!N!9RCi07i<=wCCig0nKHz(|`7+-3|I*S9y5Jg3vr9^s zc9O;R;ZSvV8VU@p=!N&WL{_=%Xx5A zpEkLn#wNRFHbIhnE|l41QAO;T8*TE(p`eqhd2nsbJotOfJUC(;=D}Wl>U=?s&S&(g z^Qtxa$f)xtYIJ^ejm{U>=zR8DSm(D!o$sCPi;jr8nS5>77ghP=*-@t+DA*QTJx2peeuZJKejRD{L-1`u2xw=F8l1(5>xBleNdhs4aO` zNuN#Vkk^6j$VKV3%*T$2bL%^LX-oUFS-a_}_-1HdRMGyS<3fAx!?UF4LzJJo8tO$c zmNMGDjtBH~9*MPvK<@cd;h-pVKsy31(aVR9L#O2+k+ zHysBVZNBC*env8?&0QR2d`U9eGad4sr!48+!B+$sc^`IB?1NTJ2M_+dKOKBjwDpG3 zZMXt;a8|VQB{g=Q+NYhjmwfUux6TTb@ti2*Wi>MP>&qXJd|Dsf#^WI4W;mWw9(T`+ zGCtBJCgHF9&I!KC+M;LW2DG$wa&hjvQ+^cMxu)@8f8hw#ofX#>`rV-Z%pdM|Q>ppk zcr{ObEMwM^I7Y66^P>(P?4kp)=3LZ49+M7IoA%_5$22iXV=05}^HUkVps}OQ-EX4| zk7suHgm~0@W;gBILC^Gmzv}APvZX-ou8RKqsEziSvHw`gXmK}{QDYZhjCQ)Sf=9jn z^)l`@4m>_r;Y-AM^t7PHm!6MneC|u1ihAB=9Ad%{N>v&UTiO`rzhUI=*ShZ<*82qA zys)&{I8M4dK>1m319|%ZJL{tbL90aD@LgzqL7B7kXDtm--t-+7p|k|(b%MrN<%(hr zzKL1P^EgC1kLgZR%lXRBs|Wi5eSgv2iIL(oU*g%k#cfmcp9M3D@q?kpv$V8HkHeL> zRhDb0jUDh-qBU1FR!eq8f#Q^8ik_4R^^lU-lWR~(I<$$Z_?}VE&`QFqxaDyb!n$zlgu=?T=j5DPL)k9c9u_*L4 zDzjged{CaP9Q+gczA9Syrgpo2w-b0iQ_!OX37o(aQuCH+4?(g1)4C7Pujmf$g`iv} zpZVv30jmgF!#~ee-(w?mrY{+%(<92{tMX4V;65Me1^?VrBOD>fj24s;^c}8cKlVRC zk~0LIuG&Q%xHAP+AK_HVha_O2F;=-()g#PGJdt&4rgC`39Tb_JJ1CaTzbgA3B095_ zMMMevoh({Bkx*w|1xc6}rfpgR^rwP`7*rH^d4S?$-Q|n@U1w}UYGRYfxYHvCf#N|O z6wB;aCEv#*omsv_KAv>8QjOTwjv3z))Z{zT<4S^pafwdaEXNrPRFCUD%J)h2L&NM+ zLVVdzeRom~4k&|sCw5RAjeJ{(#xBZJJT2s7=VwwAeZmNz78JiR8QbFy3wnw2pM(*h z^EZJC?ckmuXq%hCl4xGVP1;MFC5>WfDJMi+w|3Ty zZtZpbm$!Ya$FHN$nu7d;%0zX0;tCq9*Pr~TtNx&G*6{BmI_kljdT$Sy;%!f&Qji{gyW1f*!C5Hs_er{9s`v>*#vUOt~ zoD}cbg!xGc^Ar7HGe{SO;%UdJT@UPXS-qq!w@^UgVA(8X&KZrU=LtHhDdBL2(VP@kigm#C#I4?56`UO$QHj--YY!+IZ;>UKbqxpPcw-L^D9l!HAV^d> zU>f}luh$5Oa`I(ZajjwrzDojdhSHd?iGadvkQtoyc!A?~+v;dOgjg14|t07kUhY&0@th^FBARp@xkAts0IN*3a zgovY76y#pcUJ(KRA^`{p#(cUrr)SaL0}AVHx&-rrXH#X4z>cU~i6WrBDmJk$r*zGR zT_T`4pzYDCA|UPQG7Mf-1RS4NY1mM*Hs7*uGQ?ji!ECRI-3o6M^Opp9J}owDPDy=XP6x zEq%5?%2h}W94j7?$g8k7Xod4XrysQ%7B80Klva*Op>2$hZX+sJVQ=t%$44qKHLRks zL36^t=rlFto7`0-M52bx5^v~P4J+5a0W~a9Hg8x(Cj~EFd3-fBDDXQu2id1pWK#Tp z7uk9g;KcTbY|nzp!=G-+KD{ly0nU4Wv>Fulu=0@dh%##EHYqxup4dJ?px^CN2Qks9 zOQU(Tf>!Tpun9pqqKq1J?{rJ{sjD}Pw;Jr6iZR!%L=AbWe$Yk*Cn%37=W5_YPI`2w zb&N)EpG*yQbO#^=dr5dvU3x&TXl_&%o(!K^qo+}aiEkyR(BiBnj-e~u|)S+(E{ zrjp(UHD{?D_BDS2Q_6Izkc&Ysq1Z&_6YAjsUgoONIf5fXmb#2STR58=UZ6Rle01K% z{-bmBJeEZ|oWJO8bPg~eRZLxEXY^+}M~_lD>mAG6dLEsx*PP>t33}<4CDA;ib1EUh zRI5{!oF=}!_9i=@E;nd*t&yE$h!Gh~vZ~}X@!74n(fMx02h^BqM(1--f>|*--&}ed zeOSc!X=_Z->!Nubt4~KCESH@Z?!Ar98|x&@GkS&3YpCM&&e4r$%48M`DWC7RD~8Cy zoe&*_lqlF5>gcmcB#2YCbVouH7<6MBeEzB(mr|O@t;v`qm)zITa4WIXjrY52jdPjxOP~om~62sF7WdYX5VZ60*T>0mMaWqvhfg*%d5un)qhlvcqSI zFENk$rinh0n>?tC62)@S^i<*{p*bv&wkZ{Jv&dpdLWCHSR6T>L8=q-YhS@vKgb1?p zK_w=3Ryy8{mWsP$sX#msg7JX{kKR01#VF}xZfXX(IDad-zXX~UO*Q#?h? zra(?2I+d-|fhP?8wuXLAyFCYzjmvNJLKtio5ZX!m02M9%Z2S&-LbxT4`)K4#AfIx) z9Yug~$q~gDxMAvw4~rpiCM)Z!L_@n@kI!#yIB7#G`a9x1zPlS#yB1FKa{Br#O-WZp zak(B!1#(*68rrB^6in~}y$0v}gvSHhox&4&2cS)lT2bitbHG~qGyCB;y4N*~J3Z0g z%U$TPHB@qs2`Ai!Yd-GFns$s!PVw03r@Q?vrA%=2p3eWJk}Ca83A-Y{AJI}>slN-N z{;)s#v$1pjCWQv?r`W{CZQ}(!UoSnwpUn@W*WrKC;*~;Bn;TXJN2>K>x9I^bieH5N zfFBn<>#&jop5w6%Wn4X2v}6D;_rIUWh~Vj$7yR|kO8>hs`V0FxJwUc-w#N;AaB0*Z zI>EPMG+u_kKrZ~s7kX9tyD{pIago>Xa{XNz{V(n}^%q%l{RMkGBmzoZ{4dC{?mx@@ zZyEhbQ1mB%@bg#fItIw+@0X+g)>1pWlPbO3CVRji^Q_$e0)LO|`5HW(XJ{~fTuBo> z2YDAn{aL&DRa<|eB1+dN7(#Dh)SK>o>aedM_v&W^D%^XO@fS@i2VxtKn&zmC4_|t? zYXnHR=K7m2hQOPwO#F+xj86Tj>w-9c(H(wZ@^p-=%8{~%l7mjU{(O7!v#4kEhfd6H z9rT3yP~^|X0~ZSI_!sEy74-)_^s?-{40gLpOsR*Hdv)j~ANR!`j(PNSgthQtKs;lKjs!?3; zSMFCqe>X?{$^BchLa&2A)wi!$fAjU@^2i_jv#vsml+WMu-tKJ8~A6pICM|cA2_~y zyyW`3TgpHmg1g@&xViqO^1~M45GS`)_BCB{yFD8H3Lj9cD8UPUaBK7fcKi~(#bcXZ zh=0Ug_yfY5xTP0RR7Z0c4ZUOH@%5$8V0m9UYxAl}ZLojC7$;kVwLtJJ*>j@7+7R zxq?rj3(>-07H$={LW?4B)us^t0Cz$lv}vKsT1l%0#Hdw~i#9=?bLQRgIXyV{&f|Q} zIp25AnOYlzCk-|P#tV7NOR{X?7RrQ?l|kA6^y1%a8@mSnT|D9^12^(9Dn+HbI<{U1 zaxv2ax650SBJ@IDid;nWb}~1UBC<2VXW{pMI^MrLTf}6HwbIELzV(42>KPqasTTD9 z)ANVd32@jPyp6_KsF#dXMa#7+ii(k1*}yZQT;7>`{H~KeaGHYa$E`SwvKM8+YR;F+ zp5hUNm4EEjjyou(KM~^;g~ICmqTmJ<-5|gzdIT-%jZ-UOtkMPXW>Peg~Tm;;M0pwg$p|me6 zCjDjlLpyzJ6}(p(gKf7w;=WcwN-Op5gRPw`8vzb8k0rA;u|5~R57P+p7&AbXF$O2t zP|#SL@AH3twUUM$_^}NQCRzUA&emxkm9GKfMo%-m#4anJRDZwfp~}aAyPYPSTK@TL zEz{3t!8g_Hr(SP#P3R1(fbSj{t^%rp@#Df^nxoX=co(}5jvSa9X$(j{_;qu%o2`NC qE|}YWTpyey{r%od?xlK30xnVlApwM(pVmgwYysRj@e2R|0RR7iSBRJZ literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.cmp.ecobp b/shifter_8b/db/shifter_8b.cmp.ecobp new file mode 100644 index 0000000000000000000000000000000000000000..e05efff040e83d1723ed53a45face13651ff8ac2 GIT binary patch literal 28 hcmZ3(&&U7*6?2TAJTiJ>^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.cmp.hdb b/shifter_8b/db/shifter_8b.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..bbcc1ebf0fb0a1c90588fe7b2452033709a1c24a GIT binary patch literal 7319 zcmZvAbx;)E7cYVmBArXKOE(KH9nuKW-LXrDbe95xA5uXYQHLt#f8RWH>lDwZso_y#ATOKi>rA>*wn2#U>>r!lo49>H%c~ zi#=r%fe4FA2!lmLq}U8$zJaceFg87VM|U0NNEsE@j?u+rR)-YhSR4!c@>>5Kor&> zSSv{B+?4dKAAraKX`Oj$KprYJ618LhqE`2w*%0=V#C*FmQ<8)%Jyw_O_S`?8|MfIN z+w?XRs+rYctt)42eY$0PyKSm&YRWo?^qfc5&d3*Pa6oD-0 zEJ^x#p&5wXH97cCsiOi?d4bePN5Ss?1}DPMbLPcB*E;K?MS=!a2lR9ui>25?r+x6? zLEoW%Dw%fWDn%>rhq^BMdP|4Gr$QpaZ9&mdxG0(JikVxAiUGq?gP=7LU(c%dT?;4R zoW7NiT`NvPpX3d-OM&^fZNCoYEhTG50@PxdQZh6qI`-Zd3{09B0S{@H7khqM$A3e; zrk4vyCe0h%nuw@^!0IH@-?$onIQn)~(UN#kCL7=y#{E~V-_gs(Gx1^GANP^FAf0Oa zoY6jKGha%X{PD_bBhNm>yYSx8^Tx#sw;whD{q9Lj+UyK&!y^ac9@+#!a!WR(qDRdC zoyf_hz3^RrScCU6#MR~H);0;hDM=l31#cZ`$94^&cKL9}vLN!=0{$|Dyg8aXnmt@>7hq@CeYsOl=f)_Xu5|ubXc1tNM%S?`!1geLm^N}k zRN4blZg^ff_v-9uok~0D-0}a%>p!C3p=NfHKGZD2FKNlANNSi74U67~ojcu}al*-n zPMqnUyr5v}t{`YjNBuW+lZ&vF7L8l0lAygvO6v8r5=aPk5W&1YD6%|$z{gB=?j(-a z8F%uFRXIV1Ce>Rm6jZC4nb(t)VA9cqxlU_4)Zwo=v%{p5a8~QrGxz|TeB@uY^Qn5DO z9Dk5&=0K_%g)9WmE6GSlnyTBDzFATDR=cBE^0BSCVU&aNxYqWL=bh`{LdM4Vl;VY8 znKJNVR%tShha~mbHzJCqO4_ zY?|$FLbTWeR)t{e94ZZ?@XB*#KglndZ-9@UUb9acdt!H^-y4~3lpCp&>ZQOdrg)6_ zK86RURz{yK8GX|agM39%M) zAqVj4t9`d)2jk)&Qwez3^l|*=rPu@NQzyzd@I(|#U8!W8B~FfUn|Kz@{J2UMOdkAP z-3>LITubS-r)$JdOLC()lI#mA_>uQIr;~Fx6}0<+12|0&cTf3Qx()KB=-0P(&O*nm z6l?2-O{*d*=^MEs)_9UErl+V%ZjdWCN5wa9&kBFWH@~cmirrJo_ z4D~%W6Pxs<#&*P?xGRDU8HL7meev_ta1EfMq3Z$qFed{z_6h@4hBk_VXQ^{NQkBLI zRH-n2sQW8ib+f;0=#9y4|0u~g^Cn(syP>CGdAXbAoCQ6NzX!Askr7E6XKzJ0PfRGV zk&Dj=AQd~Hd^?MlN^dGV{$7!5D97F+{wO8HN#zND#jKKeI)nPoHcTkBnp#&^H9lK+jRT9 zwaYQq^9Sx;=~W(}>&ce)G4-nAFUf}9Q9QqRLifDHg90Cb+9u8X1Qe7z^LaSt zm=ibXM<7IPr+-vn(iY=Fmsp&30Z@zPXA32U#OG=i)$w#$G!Pa^DG?QEDihg$jip3Y zk#L3!3cNq~DuR3yG}_oAI?(7J5bXIJVA~zY1Tjh4J~HtMF7sEQZi2paSyk*YisXpr zMYFH@d(@n$vnQ8E5XwJ|#F1D3a{XteG`~mgAjCD0?&b?Riu^jMK8p9I>Cf?Nb`S2P z2K`f8haxgnzlXN3JXw%34a1`ORx?Q9D~ZbNc6h8eGe{$KMDP zImY=A`5f=flfo{yTJT|S^a8^H+AmB1x|SkNeqbL^_cp!E;$7)oq%(SC@n5%_aKXPg zi%b+#H?BLIyz!C^vQ%!d)op)YRpVvB`%=GBRu4nHIlbRZC$y3rC7j^$c(cbAUHFue=%y-Xhm_p&}M1uj}C;#!+=E(M^%5eJ=>O6z1toZj+t}c|~xwuwh5A zbJg}I>1=mE9ZXQB?OoYVwMQ(31A_Vsko&2ju}UajP?R9(_dB>Hbah_wAbWGn!i&>D zxdx18$q~dOjRTqF9g7Ko=r9-tHYZOslzpW*-5JVWm#Acu9XFud@AP?hbFey*`|QTc zyY+N98}0`yu~qw*tReSL8`I~Zt6>iEzX`hVE1bF_^X>NuaL(S?Zt?{>9bu7(p}$u0 zhJ9P}mw1gfWLQkMq9jrMajjl|VsHb9exAPI2$|tC-oVyvAzl){y19+;36P?(66!YW+M7Hvc))|4WCaYBL z5qwu)-Kayp@r`;Lsdk#v+?p7l%zsqYaak-o2?K z9>VJ;_0W_m{@-s-Qt zLX+#F%~!I4wUD$l<07=zzabfck;2H|#LuxTjl$_5J)%|wG^p%L2X`X7RN@2K>Dl>Q zfq>@uhDG__gQlV0u1H_}Ydq1S08$+G7h4-L+LmQGBz&LG=%SY_^wdooNH*%i<<0xn zn&hxzzNKr}RBfSQlu6&LGk0}ODPr|#p>Yjc__qc%$V}KEUcAH%ye!AH@_vOJ*wJty!{Lh_B08cQY|9i0=l!QYr}3R{g}Lw~%r zqh$EtH@krqYs?*g_sa}zwlKfv>AZOGU}U0Zw+rZ#pds*(-5>oq`rz)Fx@cHvl(OfB z@8TR$zz)owQ6=RkjGYd5X)~MuePi#dJK)LjAIZkaNiGtLs0Y-1+EHBdgg8<0#+|}I z^ZI4hBqh|t9~Qs2M}&Xf5z%KcC9IJ@35a5VxAOp-iJ0yv2j^7R1}Qji0g_!tQ9>ZP zehjDL0iA)nn@t4oyHegm7)4G$NW?bvyA>d&l@Hf1;Nf~6;lNkBVsT`h$|_Q26_JFo zCev|wE`if!s{zvcwXSB4`L|JF=qeZBl7T!`WsbA2^I>#n>|M=a00%2UvF|O&P~(jD zCCOCQ4hA-#A=e6vhaWp7P-tJ1sMFmt9M(3bH#4)R%mF)B$WJYnt>HOI#e}7<@8Glm z*GxDSbX5f)MMU`4(`A~wUr7fJAI4}{sDTv+<_h_UT?u|*Lql#KFX?4x!Xix6LZc5_ z6G+_SuS~5a>GP<7THnw78zN5^Z0I{JhE5Ftv$(Mm^*aVy3~8kO@jlb4F$e-EB8yRR zgz%9KbiJu=GgszPj#w=HnWbcRUdz+(nj1~&^uvyQ| zpnE>CM!}Zk-Nqw@+T{VS8=552N>fmfi2HA(UuH4WNj)S$pU)cMG_iJR`&dkYgSv|HhH957 z0;}e7#U-+2P*aaG&i?FEhjfS5pu>LS*o?>Y6FLnmd{G;A8Y?PxkGzsL+OtkS3w_aA z@#`{Je!I4JId%2iJn#uCLFRjy*pr+}lehvkzEh+=ay7>Q0a7+PZdc`((BLZWtilxY}u84V$tK=#}C;(Yx4!R%2INfm3>Efv&gWQrV46Ce;QM78S{GCX!vQ$AZGYQuv2URyw#05k*No$Gb^GRDk(EF9|P$#}p7LP@Bs`a)r70c7`sD(6Ja_G?c@k*;S1g}h14;NMm~JoYttw$NnUZw+HZdQFkwRd4ol%!@hU0{E(3!_;`dm=gRn}G zq$+%)-x_nSfeEdw$>zTap4D^7H0`x6>KF?@ySFwkIER)HY|z<%6))*;W6KA$pInP9#c50~;+2Qo~>=P#m$sdG4)llfyj4BQ!8=26IqH>K< z-mGIIrA}O7R_cuHm|;JW7-dxaNVQd$WrO!UAX(Fn(V zNDcmVGOMh1MtwZ1#c@WP7@^`|3!l;DIHOC%Q*l6P2#_b@sV=j@CFx`J+TSE7kc)_J1TsiJ*-vQ}s_gBjzpG{%9yHg) z>s}(7iz7+iD!W=6`WY&FIySu}yBdB~E$|u!Ac!D+xKB#g^y^aFk~Zxjwe*oxhp)LA zEp^#hQQciS-H%f}%B4;oO5vD#ujew}pU;Av-DdEwdn-l=LB+n9j}&)~ej&tRJ3?3XczA$Q^psQ&TGor^^X?V&ni-;`e} zt4p=XBa({DS|y*IZ@6c56Q{a#czKNW?i@|dzCgd}9gZ1Gu7kXgaLU;>H&tl65Gl|; zwXK!&?+{u<6g`@U;BU{SmUG^o)+IIf8)J8txwTStP}YmjBm57grSz9aCxV&bf%91A zr=3bAjxzl6cK2VFi|SkQRE*?D5PiNLa+NX0wrj!GsJ7q&ChKs;)YG%)6#Nm`<%7#1 z@NXKC;qpp)CVq|!YrCI*A9+(!MG3QQVp)r=(iL;Z4qSTojzX{zpElm=62*F$UI{EV zytUtA6&O}AIGM^#_=1&FtD6rj-z8T)&GiZj33Pi9+P-BN==S>JG2Q%k@tU35&$@@X zK^B3M{C-1WM($a=RDk)|R35MtikOZW%kEcH zi0s={h0BB}qyOpjB2vVB>15P5o4ufIydcT5>dskNV7jmo z9hhStcyRVe{9gQ8h*_BYRR9fiws|X9*ROX54~=bBKVx2Dq83#D=nn$=`A&J*md;s zuLjyL+oAaCnfZ1I(GctBzL`;`{_kgCS@98t7AL#z;Csx>C0@cut?F_|NlTMFe67LU zfDw2zov1o8lE~Mnjd3_#LB6F$Guntrp*4_DKxlj*tDs!k#x!kmy*i_*>F3U_WA=0? zgM_DXaUILyja$!MX@;$5$I#u`V0qsiBB>5y%UqYy`FS}YRLA5dm2cVu8`QWfYwqhG zIDQJUzJYEz_BSAw#+hU{wKsOKgU!C-Gx|pXfyfP=JBCF z%7ZBJzQ^N9=w4S3(A}LPnWz1uLXXTVl}P3N|;Sr|xxq zQ~fxs%@suBIsIZKALlMK$E#gC=(ZL1TWY>XWyjmOIjJ(-$KoPb&TqI2i|dhjZX%SjREUHP?C4i0n3SzF(bRgXU}gV^&G@eU5wwS|goE%()Y_^f^I z!)5KHm4|g2FMwEjKz@bhZQHj6h^{%0jlXw#w^e3dr&ccYalGMNjD)rSz`9$sFl2T( ztHrUCai3MfN~)h1GRP_|vDoIEhXfV`TmBQA(mNt<5gBzW-nApYv;2sfw2$8maoA?> zYbQ1DxRdt3Lq1-6-=8`?TMI7S_)vdUki%4Pvw4_djBq>64t{UfzAJ!LKkYrLEtJaG z6`9_)y*R^^T_f9AJvG7@l{;Q%F*0%>AE?*2Fb`NU)_eWfQ7-~p2UJb7<>00)P{r2C z`aJ$80XtWDkThdI z0_@RH7l}aW?`Aiy<{NJ1Gr4rlqL2k<{X2()dlHjNS;*QbRrBTe#l|Z`15>p0bGobQ~Zb zMw`clr5UTeiv@Duk>TLn-v>VkH+rFAq)&~?n{p{Lak6l7viMBz1{Go3JRZ!?B{+@@ z-q+!IC_I;`O6@tIns4#NPv5Bejwe>7nD9OMtB=NCT}HtcUo?IY#%dH79T%JkDFD4J?33r zMUlu$okJbOUh@Uh;7)v{5O-Y1I)GIZAPwpsA3JHDY)%ob%REZ68fWeg@aWDu$s|+z za58i7BTbA50wuq2nHV36kDWfiEPZlLGm{ggi8;`EFdpyyj_c9aA1&^L-yND z90D&Fd4aDVA8ZyZDNC@)tP-OM9=9|?@Tad8(EK^nxvw8EDY3kJcbu0k7(H!EuNyf( zn8RWdS5eF?noQGjdE|qm?yzqQr{A^~dm-!eQ@o>(n2aDuL`Mowh_l^0c1vrt!y+eF z>BLb9Gpo_mT+S+PVwfpL}DdIfrT`oZ4yw_$cz zdo&G^O}9$40}lG_Eov-tp_dTGuR-C+8Ltq(E!gOI_iMmFQ0BLqi1AnCin+T_>*CfH z1MQOs7rMkTez4EFRF-C>=|H!U=fk;PpDue`Kt&6sQE=XHht?hc&!|tcD&`5L;f7MN zyXlUszlGnXERdv95rpIGPWe6d@(AK7+$mdc2ESnKGSb$Alw#JW|4Do$gmFE3MEY|I zCGxpd%U*tPJwE1AO=h8*gI0K=;oc3~kIJ`XDY5)A_yHosIB$FFiA^Z9@>^I$Ymn+& zxq(Q+MpLE~w(3VJYs{Sux+m%z+bI-pu56#U&}?W}(|_`nuByqj{DpVj-B_Ybb9m}2 oH8MCJ#bV{=5OnaQk1RL$=~!vyg6+lRh`=0CH23|3|NW-_0}gmIEdT%j literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.cmp.kpt b/shifter_8b/db/shifter_8b.cmp.kpt new file mode 100644 index 0000000..af39f60 --- /dev/null +++ b/shifter_8b/db/shifter_8b.cmp.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/shifter_8b/db/shifter_8b.cmp.logdb b/shifter_8b/db/shifter_8b.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/shifter_8b/db/shifter_8b.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/shifter_8b/db/shifter_8b.cmp.rdb b/shifter_8b/db/shifter_8b.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..1c5b5a9accb3918b21629ce23fc87a7b20ab5c30 GIT binary patch literal 16033 zcmch8V{C(l2vtm-+$@bv z2^9^EtqGY4Rip{kO^pb}Oe|gg+vckU{GSaVplja$1)w{S|HDH5|3eSpD_`pv6Dc<} z_Vv-N7cX=3)owrg>DgJ?S9x_+v(@72&F=lHnv2Y=$~85!S6S_bQfS5`8433_ zi3jpGSjIWwko8*`gJR~4(rKh ziC^CH+i4E>9q=JF^|W;@ej8@lqHw|H%xkf--jmmP(HbY)?SJ7eSMR&+f9~o#B!QkI zaQU4_WqW&_8Mk)b4phNe2O()E30?1Mwi)baKF=}s9Ja?Yw&R9sfA_Rr0OGuT^FeX# zbvdj9P_tjb4kr2Ge;^2eEnBk9cLA6s$8Q#t?&7ZFBblrXao2eP^t#nvKYkLqtkxjp z`c~F@g*&}qTUnpe8n=VwEJKg=pfjpkb0t{_fNFPC$!K4f=bhRscDU;|4)NQI(KY?E5Iyyq^9 zTs<4-i`BOfMh`Eq^R+=aXf$t^m8a0IFhUP7<-gTEqT5S=U%MaYd3!g&)A$9uHyoz> zhlzCf;mxWfQfMh;{zypOn5U{>Aa2C4omr;n-9mY4iNB( zZ+%LPU*B~*r_Oju?fPRsptY*KOBE8mX9x;E1wGLp_Y>=mcRogk8=&Px1+x~P2%+m zXRt^#ZV_(cZ=DNw+Puym9b(h`}kbE?rkcXr`z(1SY+e3DMTSNdCKRaOQgGu~5 zctwOoJ$hT$H6s4;<89+i%|b8E((rs>)*_G4leWfrx#A6Zt#&jG zKc6pHpK=BJm{gh5$`}VK$$LEcohw;>Qa2AY&r?PLcgyiM_PICGXCEaY%hsiGTWk$B zkvn4r2aRV&>X`aU=kPV1?w8AKbwD zi5Fd|6~&Qi^~8fr{hqDiC(`hRxm)IFo1*M=)fds~_h4%F1KVZy;!cmy4=A{Iw-c?R z3jCf^;_=sg`bV~1dWlLdnjyEF2z|~U7Qt%bk6l`SC$>zGI5E78sH6c=tL`90X0mrn zMdj|j8+rT08=Iv7GLpQuRh|j*kd-_nrbEuyt#7})xA0Hkv>B(?58#h(`oVn+<%sc{ z);aXANw$|M^mY}wexG*hiJ(o+dY<>Gn@_gg8;aSX>KbzHf-X!*5v&-0av7Psf(Q*c z(69rP3G&}}UbJt{GQnHKK#r@=sFjJCTn!*jznXxA5HzG<*(26%DxYITGE^Fjga+`D zDC#M`x@Z4-5Ubx|H~j$+4@Pq?i}#qwQ50J(SRs9Fi91(mck^PO!$)Q_LZYn{Ke{2a zVn-l+zu5+t#4bJIrmtLVihh$@l7fChW65-R4P_748|4^2{d%MH*@9ve8dGuP4Hqul z<3e0>{h6BCjpW=BCX?`T^~;Iv4_@D6>F~~KeI#y~=?T%mn6oR}2l}g3*37H|WrSd~ zjj95HFsW{lThvBMUnXL2j(E78b$?WO9DWYX8n|@FS08=9X>Y(qsZpQwn)vIuiJ$&O zfy|2$B*?bo#^WtCC1QuApSj!E^R3FrG2J-meE>YmXj5{`gAS z3}MO`Dt^|6)c`wqP_Q3>@J1h0;(BoIRk3HX2oy+|O60gZqBEpoiwH+4wcWP=K<%S$ z`6X=7HmkYB#>7LdnJE1$#Be#`ZX5%mNGj`vpT2|eC9GND;LNG1K?4bEO(j=!5J!~r z5Vq3ftT${5T1}#H6)XU_;oz;&$HP0|z!=m!dPp6$EfGBSf4T@0hxD|6u5x+mw_XVt ze~w#wB|bSRmnBI4{+e2>PZXj)#UejuKET3X`wTRXz!sd0-#4PFfa7k8fzF~2NOHDj z#iqnsK>#r-{*t?wW3VPuME+Hzz*r`6-3+LO+lsWx_>BavuqSRN<*>5jG4K;k( ze${7D2*mio`2Oi%Y(W1iQh_7TE1gV^7e@m=2eYSsp9~yTPb73!?eWVd`hJ3yKh)t1 zZ@O1(`1O6q^(Iv#BHKVt6AJq`o}$Z*y;|p(ikg_fD^2ipIKq#y9B8@7?~GC`Gwt5Y z=WM~I!++LC68e?VO5KdXtOo`nGm~*TQOzHW!U@+ls2~jlNLYTA;_rCbPz+fBW+v~T1VzDLI{bvyiSXtS#acWqU++gAu)T$ z*lFqi3ryot#9T+E$goyRa)P51k+L*KgBFL+ALu3EuChTmA259DO4weMCj*1Ky@58p zi5p1 zV6b+|)DHqiDWZK9x$-~wu!8D(NI~f2=rJXw2P-BM{i0kLL}Gq0d~i*qmiyo1@1Zft zZWT>JYlII3Q~QOJ>ykGJetxqVf{a$zLe=pK$_We$R+KtdkQy4OGTP!sO%s2( zqb<7}cgpHJnci9g)#c{=Zk_9wDOs0(^YR2vqtwKgw zGD}0AcKPaG*jW})=?8s#R);Nm`r84zGeiHde5&AS9EW^2;p3kh;?~ySn+j$M$4ty* z^{>>ouL+UCBoofJ*=Al670UTvUB7tT>2GTUl|>hC%yp!Xq#rh7WTfIscIG-#MpKWQ zvC@-prMmMSsbXm+%vtEkxRTrij+C+0ljh8Hq@75w{YFb!>qzrwI#P}$H@{5?em8(ic0DeAthalSnLIS?Eh0$=+>7OGzdE|2ql~l#vB9`M77(8GJIn+ATSQ zwrSIy*<;d6zo3|)D!BMp3DD9RwG+BLN+TsxXz=M4(YZg;v|g!F5l(p5HfS0g2Z z(aMn@gjXfQTd?bZnMUjx1=7D@j9##7wBq1`|F?K#Xveanv`FUKx1LwR@{ql{0qJ^= zwu;CmFuzCK#c;^{6sob=HiX=nd(F-3HFgAh%{^^$TH?!l`<+@20ZkUImPjCRF79@s zg19>Fhl-6%8aVuGQq795$5^%S-_5<}+K+)&2!p<{j>r!PxiOTiZ}T+CwRgAtd(eB- z`d8t5>&|y33?+?I6N@$O0{m>21dn=2p zn8%y_j{Ie8Zh?M~NC+f6tk>Jb3?-a0e{XLHM{T@f7!8u3Sj`s0ACRJhvMgiAG8BLN0dAq z+vX^xihCATV%^&o!4+$76U%7ISM4YMH`MjU*48qYlS!d{4O@=+CH!wfgNGmjlLB!j z;~80|=`lkM3*iPJij|_^%>3R7g%223f`jY4^w9wez!7yEFx82RNVd?N(WtTwl-qBt zKpm_Fe-?s=i0#nc-e^47w#N3JU6#<->hZX`m_&6NJ(7bt!*IdXZsxqSJ;da)jz^z< zL`81>=;`dOI5vPjGGdynuSvPBLwWjW4Z#!fNAAS+vCQ9s{fY832bZ8X-dRjz_sWA8 zka0@F`y#rbVe5X1{R5gq+*~LzUmENf_+*wyqLLvRR>Et|Ezg+^=>Sd%P6)!0YF3 zo|B8yn^_@OV{ZjR^+qzUrkL*Zrfi-{)7liy`QudDO`2Q!8;Jfomv~*p038`M*S+g z8iFf;TVInVy=@^CPwIuArE|HK(#aklKmMpF)^mJM@KD&=B>}FxJntVgjdY=*cDx@Y zpb-VTvk3$;TEwYUjvaPeM;r+sBRniTTJ3+IyIZGucrop!vU4YrwbWXkw{?Mdk27o; zD)`Bfpry1Z8aSJUEzRVq_3vMF?+V(g8FMuCQ8H6zpKd6kw6!^o7~U$9XnNE2q_ChN zFle|n%xyl7l?k+nP2;7^m|G$Z6I!;G=<r71UxgEG)jRxn@p6Nqi|E0 zKWc__IKa&qh(fqgu}?(Z?dJ}P1l;v0=7%_YC~L;{rpbEsa#w22n*OgcroeszkRX zTa_BWRXpjco>S?J2<{0lP>!W^kLd0+Hm zPVpyB&|MMY;@ZVFt=zLSXwS9BXpI_f0ra!5_8%z}p^a8ZwGKJt0t7|aEMpClma#T~ zZ9Ru|dQt0A4J7GuOwwHRAj&p?#Pex^Wn3e9ge&Ql%1jxmk+rre3oy~AQL_vud6mg} zla>v5{kr6t7C1v64kGL$havff0y4vH&nW^j2aob+vqzumDN(@z8vLO!215Mn&dtUu z!@R+iEp!`F$dXDv```B*Z?+QXYA5B>9D*KjYcu#_@ETXzPCBN5#9 z&rJh(M`+p8-U>8ES?n4N&C(hH#YOWydTf;pSA~fZ_Vz}~Qa9)bVgrw${%w#@+(I7M zUWo(&e=x`LEA*%bYYs4cDa*lFN%ISb;>zUa*|SqP}%wk zN;scoc>s|iqiecJn4H18P$m)MS*O0JlZ(Fx0%kvi0B4M2D(X#IE>lwa%mE$zKkj8tF?ANhQ%p`5wChi*HM^_XZzXR)m}Hh>X8jP%#E)?Xp<*=ckI3@Ez|ao*}Q|U4iK5%VmpH{`C-gQQY#jesB0prF*P@Mb|WTk%7T|^ADSo; zr(Ta>Dxkpq!U+8Ao{!68P6#kcJ}nyaD+V zD~;kLnZ$A)c|9yOHXY8_jBJLKaKpi{N0ojk&<9V}@~@W6OJQ=hg8Ia5W&CE6W9q zn>=7Pm4|jgutzbCPm~@Qxq{BCXJ##+nnVS>vQMb4Vz7obT$4X#x2Z^V2M7>Bz zwJPfvT;%T%ap=?(Vo#5-2VOyKH3{LrVfZBEAZTZ4!bn>mbJ<@$z>LRWV?=Iva$S6C zO6sw-auAg}ox24?Xh9^w8E)+Y9Osxdhs45ewLQyhf+dC0y#&?@(TiY8o_%*jTHtS0e#82~N z!Nd4#3J_V$R$g#t?`}RLUi2Z&Ac==f%;k?bJM^?E(x9e2J&49wtnuF))bd=Ivr2>wV5V_cBH$x@qJ(kC9Z%Q)V2~e-0n-J+x|5{oZkr9Um^voxj`cg| zB-G}nR=pV7H#q=UVyg7~SH?9npPc>%>yz_Ei5 z82AuR+_^OZi4@`YS=(?HL&#|?W=JP7Zxfc7?#MR`R)<4A+FM#k<_7p^t8nI=* z9P|Y>v<2%QlH2k66)c3l(K?RLjzSF(wJ6i8qs; zViK4RH})_+H+K59QM49w#;zmlzj!xpgViB44Msx2+C$)6K;is8nm#Ny(_GYWqU3M) z%+#j&bAiMY7LhW|6!d?3`Ol6isidIzhfFKlKi(jlx3Btx+a7zuHAEPB(Mc?F$`qKJd!9dj4F17^jirib$)3ZJGgaV~L8RVve7+E6I{N3G=} znaKt8mel|^Y;-qU<_$)svjtJ(HqFFOEa9ybs$xxBoL|tYvP=bt=-)iI7l!q|KD)$t z=vZ87^Wcgi2Fvv^r8^6Ry?S&2>a_3|Bte&g$M~CdfoI#va{gJOk*I{jae>LR$CK=n z=Rdp|br-k5tFZtla5Wp3(tc`xkyL8A_f($u){(9G>$3nlZmnzQCTOj7j_8iXrweSR z%i4WyV%bEO_4H*SA8TqVv%UEC(k#-|3sCf)C0mX^s(;$DRUaci$hfOi?+dHsyU$Pe z?hX81cd(KAz!@XCyM6_5XAVsO{I4w5(ZQr#6|x z3Ry=9=(F&xTN_ZyE7-YfF@Lo$uy(A6a0!!*BJF()Or@8sz=*SExnzMe5z&_{%~(kj z_qpH{-1puE%i(I-GdFZZ_^CX`Zt4}cdh)~ruOAIrb8J(X9-z_<20syfMGYZp>*C+o zx#fJrNWczFCg%RVFcM?C5nB-u7`p|>r`iHN5T&^zbELh>L(iI=ZSf?2Tk~^=-?!sKb2c1h!2s_$B^(f z&71!DNtKWdObRZRnKbpS40G&HQ?~F<@@thbYY&$2F@lmyS@Kyb$PY*OD@s{He&*y) z2mWvkq^vEPPLckpO_ksW^e%y%%bxsmFN zLzyrio-h+Qb?Q6M;KvN+cnnnXn#5Jrp9lQG9rm8TNOL6RKp*jSVGVR#AkE7X-KjGa zZT$5Cfuc`uxn8WGt&ch8u^mJ*)ui^j6K&idNzjUb3i3x7=6DZ|Fjb%mFBfgxo{p2d zkIZE;!+`s)ddTHx=|7UgRG?{@Dun*@0bgzV$1Wu4JW_!^9KcfkJn5e@R0+Sny9<@d z;H@o z!b4WNEM^%6-Pwl`oqQm?xD=m9P9tK4{`c)2gDqHz5hoJD_rHe z(CMFXA5+YuK3D?v#OBKi+PDCLV3kjm-Omu(xI6!~)i0=J;>)N0kHS#v@2mo+WUcP? zm&ETQ<1qtRf>k1#IT^SUOMvLffXCB+59?bCukYyc@g3)jwY{C67nW4O6imo6!uM}2Tho+yG=_S9$o z^xzM--xWiZ#yOj%#lPG!kM@uWN;@^>fqA1FMJ_ipZ$|-^cm<9If)56P|@6S1q@Tn(h~9H3(w3{P&0}l^+9=V8XmIXU9qS zAgJ`NZ+np!Hbi?$jZ+Qd+3{Il#V3}* z(!Lw7k1RUK8~#`z$7`oJ9Dn?oNr8a099GEp>F>R&DxN-KM?p(n;8r+f2JGNQSy>6M zr>!pyt=L3IIE8gIx28kiL?6tWQet=kf#-&0s3_m!+gM}iA)fI7hZb$DZa&2ED9Mv+ zt%7g~R--T#ukoOPVi;Y<-+%!i9A8IQ!WP1JbqzAb0YN@sgoQdJf0DK>+Iji~^=L^Fu)kXwK_p?K0FnbS^)9ZSEzKi`b}O|qy&@PTbgb+q;{A@L&b0bQ-$-7Rbg z8D@h;yL-M%vh+xW-qk&gZ0KBAc8Th4w|_;raZ$HZH_N5c$S#o65fu8_PJ!sq8`(4S zm_^$}m#F1d>T}yJG-Ou`LZaZC={cjq+|fi_c++@X!zbwaTn8CuC1>QIy1N&nOT@}j zIQP*i$tA#I+??%lheEGF_-NJPx;uH>IqsPrvtRYa77<%D7rA}G{<6(5$n^(Vy|}j% zY2Xbzn1F^HSP73Wf=M1p*5|Coe+G7pE|g=bL8zv(C1Ie6x{fK1><%_)hX;nbMyMlO zDBT5@p-kmQSPjX8b$VdNA93Z&2W&r^q77Y`$t{*;C?L_ym~K117b#QmH;wo(I*{GPUpYzk2SzNo0gmd#2%Fr1N$g+`#(VwyUH{x@ zV^Rk44y0XZG>3VWVC&W)Tk@iKK@!@8^2&#z1M6{x$~qzqVtC#~Zht_c_a)xX#nd8! zo1$f&4#AB2H9U303AB5XFD6~MRll>6m4Q1D|LgT}2o@d0UU-Elz7jK<&pdrv>RMQ5 zM<#tq7^BVZt{5VNuBgb4%lL%vjsRG4C*gyQo_C`Uj|_xMpvb~W-rdL~kCh8Xl1!FkO?!D!8PRl|*iP!^8mo!sh)5HwbYGTUUX%%38y&SpjI z*V8ky8x;Er_Pm8OjAIr3W*9`$loT8^o7y-POGqUa$^F@X@=9N#6nYYU`2DB6?? zeT8yiSajCsk-IZB>Hi_c$iCtyEa@cFkRi3=Hr~EWq0az_3Tk75p_51qB{Y;V(f+|Y zVw*~gie&c4wzFZIqfbs~RC05T`<^dnFb{0rXhV3h?C8hFVs|!UA62K}H2okFzeUK! z+uV+xK#+fm?V<}~m2xqtwsFL=GU9~|sQC~wA%w(G55N~IMD|;D2-MqsI@T(zGW4`L zAR5z*ko^)zwEQl?Q@_4Z9lS>?%jNLcwWo8A_5R5hvh*7Qss}06a;AuVA*yK+DPb;S z&(bzv+-AYnAwxEX=MgF$C02$*bsO5xQ@XOl!`!f5_Z>7?J!m;^Tl3QHd__;`*x@@Y zNA2bBB=8=TH=UlmX*GJSh_)*X6vf_n)la_I4gkeN%yY&r7%YV;%@^a5v@P*7jp@M7 zEDD?OV~lD+lCL$;mE_}pPeCkAUEMEM8d|oN2DJqntbpottqoUt?v}JheO@d?W>HXO zw-az1MB_YtcXnYg)HrhmSI+`zNK7B;XS}P+@EJ#`ZA-&-FC`%=bbWAf^mDw)+vrlS zwG%t_JWlb0uz(gG&OVP$1KUmllhHem9^U?3N5$mo=m?i#v@-2nZL9)|OBjcV? ze)5xE;0}XQZs8O~9-*l7X)~_9#x@U(NW7i1jMOM?RSspdb{V`u9vzWW%JGj6Bwn3R zN>R5Xk%kE`iA1E!B=U>{Pp-o-Sm?cGw$2qHPe^D;_Q2@P77h3Im-o`J*<-HAn8cbw zk(n?ypFeVv$P*H=b+SBH^r7~_tfB3YV&nRv;Kl9?No9+QUJ zz@Zg=bj5quTFu3%2Q4bhi%+I3V76@LR=+Wm$OOoA zOlj=!GrBk7dDN}X59z9E>#k2}NF7t}&-jj=9R1<5;qU8gc5nB(J2iW54d&pGw#U8N+1c#s zF0Te{nhK})8Xg3}jv!n3)NmFz5xHDn;CD`o(Er%zjh+WRA`EfhNqo&js#Yk(GEML4 zYL3%zVr|L!F9i@SH8~1$jF@RMcB-fjp+2B$Jv@YqSuuo9tA)We!Fx5FIo~w!M8rYT zsYo|Ug~J-7?EqCN>uE+23>x7tKsHefr};%N(|8u?rRc+L6DcCwY$^d0Hyw9_Ymg53 z$=?oYvG~m=6@WHQPZ)PedQT(3NrHDP0G>%dX)plCH)@4z%;`Y%oR;P+L9b>#IF3=c zJ+dro4Y;5z*D;>2%~S&wOR_rTr4hD%YYDE*_QK_RBU zHP!l?q-hXTqeW0+Q3{J8)~y?v=r3Pm(1C=*F~IP5%3cS829s7p1jk0R!4ta1q{0Bc zmVV>C^jSG|;Y|`FFCOx^V!u@!uYLHr)b&d6v}TNpDJVOLi8ajTH@%^I4IEjEjW#ST z>P;qX84iMNOrvwOHDRC-$~ioZG=<~HC*I&M>Xm_WN^Uyap;)eZG=t{3-i-?twy}2eVX7Tl`)42O~qO}E|#)x0paWa>( zl!dIvl%&#fmYy0d#zI(y1`5Y_QEpY6**F9BG{!BJ>5*7uvUs5YD}%5ADXq%KHPU~N zJ8mV!_EZZtPZ<3u9Wn+HGnytl@g`P!D{_h22Vn~`>v(#mB^39$eVNIoqcauSGwry^ zbow!UC@6(J7RszLYg$baeP~b~^mCw*nQia5*?|n_uBmXt%5bSoXsiuc>zDYX6EUjQ z^<8Jo?i5PxO2>(V#dwGoj}g6j4bcEn<%N%?_Yi*+HUu{*BRiyI6-ajEH%PPUiy|78 zN*a?eR}E_)27bx9oYM9NQjB~QaVuClEe^c|V+w5~4z61V!=%x<2HIumNk3J3Yfnea z5(qtMnq!GZb14xoS*SDttW)G4hY!=(+Ey-|e|fwJ6qkj&62hT2ttJFgV)92d`iX|9 zR~8tWsdEurvXf3N&9-S=k(!54@%OybnvEj6O>EB_Oy*>Yl3PG$@i#?re`g}Y&qWpr z-KEVsE~D_Ci8HM=8+RTubsGPghjJMMbfn(W<2uvbUes%}m@k=)(KYyv)r+x=+q^tj z9_?Uz9YPIEWLPX!k=s3V5%skA?1b+t=s84&vJ#Q@WZ}Zo@pSC8T)Q(nP0hAKArnqx zK4%ajIBKV2$xTLdt35eb)_51QN>v15ir;e1^)}yXHVy4pKDIZQ+*jh9t5>gQk(yOG z@}%-=D-u`7jET43|M7m&8fUTKpQ+V$-DfeCs_m$;a3EK^6&$e{XI%@nXLUwuG-r)j zx3SjqwFTPESm9fbXPgQ?{{5xrnXesScibkb#57O|^Q_jIT5X`Us&vZAWfz5k!G^Q6+D2g$ z*9b7T+C`xV*9g@75oQ_X3TadozjkZmM#oq3Nnrgpj1@PFAVLTJx12nUBti+YNM~eL z>uY+s>t*U$+1%e;*qfv~u6G&K5h9P0g;K%mr_VV}Sdpny?5ZI)8Ko3zAldVESCk?w znxb5to~Z;Q8ntd^Y8H_z-33=Rjl|>YLUoi$@m5Vu^)aCNUpw5nRl@x-J@5V`{MBw)nODoNYya%k8)S+ zOn3I;?s2UEAvza%hk*%4boSm^Rf3Bheg>)D0$I&zVOxZ3zB2362qPsKQGc_}#vzqb zEAuBWOuF3cMy8H(F(gAaez{U(p({r)Yl2YMg?bb>k5;t?>W>OJ;=daj%N)D|wT=X? z`k=Uff>G`kB^|iCf^%UIQBw!5B-vBOFy1##9)S{AAcM_UoPLU>5iD+7Z>}}O5dSij z;TBZonlzf5O{cY#{)>Q3^xWM}`!JEl4u?|!%Ei;HDUoSt1{JMR@c1UZsc&XY5hG;W|b%6e9!NYpXsvuSEE|-E)W|BH5BG8jBTd?0Lt}~6rsZlIW>9k>V6w?IRn9aHx7WA;}G`@*K$p-8gl*Jx~0h!H2)cj%0Y&*0W zIVld3H9%%pWMno2b=E+0f1w_vMIXrp;If>FJBo-mokFjFW&F*qq|NMNo{mK3Zy;$t z6=D3Mny5KbHbzTUPg%bjqb#eZy!j8v8~%eo3I9nGIX-K{xFA|NtJj)|UDVO6Zc85i z-<)dpKcaZ4)=YvT6Ol=l)#26}w!{f^;hHINVSh6=P$RAA!&yDl%=MxUkxr8O_GJQs zUqd%1?6Dxre8UD1u3atbNgbGIUOG8Pb9(9#-i#j)(w+?Ws0sX8wZZ6jL8fLb>nP9i zxI|kfmZUo)d}3rMsnwWEq@Si;b(iLpb+5t>NOwI*HBz5NCTil@Lpr zRB2c1x`<+{2G=$rF``?&er=mtg8H*CM^$wP(xS1be5^s{kkM4=alG)d1}`it{+kNu z1)YeTvw(8Oz8E}!K4|zNrI7&Ky@dM*0X=51p(vtNYBfeJv!+89wLl!YW z(-&$4C8h#3z3MkkMitT%FZx%XCZs1&(MQ0MO3jVJC=(c?plMP?fiU!6B`v>oLu?3{ zVrxulaSR2Oq_ooh(j|6zT;dk8fkjIh;n*TuDEizslh^nI?Y~7!mNLXRVp~YK^9w$83Q?s>vBCVkAlWM2_2#1zgMCXFdfMv`=GH`lf)2ee_U zjylmEC}8pj{|v?tB9%CSfX($?tjo=vEE2P<__9Xx@T8^S5Ca#~?QW0qZr~>ZnI0mp zH(s)BafK_*4U?Gp_CsK%f|WU*&`?s$H{tSY_=0d`-5$#tJ|eu=nsM26z|f|4nju?5 z6xwdo&fFXq6E>(p!_uadkUeFKVf(>fr2{8MctCMkku3$4X5hZeZGw29s( z{r-deb?BDeWlYW%sO|jVqk>Cp+uwXlGA(E^OSfzWi$e)vR5@$g8uPt0%+o@<2IzU# zWL1e~ocR3gpR?mu$9lT!oTAk$hk>Ju8E64slKoeD&XDw$smiY#H;-V!*49 z0=J@S?jYq!i8o}S`c)Zd?%BdLya2J2D6WL@tYlgv^CC3Uy}Ns0M(?sq0_9D`ZZqPrt3VsE!Q@etMi z+lp@8?0PJqtYQ=IsM=Vv-K31Fqj!q;dpb>-EZ^Px_D35fY$EDCh0Goy{TRyn5^Xz* zdD!O9sZ)rSS1rGCK7BX?>FptWA3D1aZZmACVV<0}%lo0&8of?S1~I-0ijHhzJXJJ( zS%YPg#RAm)-&qsGnl21}xF$k~y{cO1u5EZzM`$FCOX4v@8O#)Ag9EUU{9e{lyRCk2 z3;D8?mzZu*Y#U2x99~-zMt`GA5gZ;<5@8X?pQ6A*sAy@DD-WL=@s3-K*&Wov2^gx( zrtkmYzwv!G9myPGo~tHa4@W!c!50PQyc*z}$xtX)@6oVp1atj8*q(vJC=*Csxm6IR zqgtGv>)PhMj8+LxNWN5U8pNB`$&T(;uS+{eQ?Z*>Eg*Mm%_BRy>$aGakkG(j1<{V; zR}6P+{#c5mQSUJvG&5O8UJH|iybumz+~m73W_V)q!&cmkpk2j-@_y|GGgFuzCTq^f zU11Aniu*#O=X~-*@WQqwio@6sYF<_k9SUt@per*J6H#n(LwFurl+_9j3@fUW%{>0O zH>5b?>!aaCr_XV9dO@DNp-0ymNms{*3S2H_OAj`%*m2UHGT#BLg0kh?|8lo@(6()v z+7Mbgc%)#%L;$-yfDL?FnHUO=Q#4h8f3;)T?R&f@a#Hh^v4kv$NgM>ma!669ga$p$ zj=q+@?oQGrES23;%^tQIq|~oF5*<-cnwkiXlv%K?wNY_%GY?Ek+TrDil5sJbpQXdX zqR5<^bHsFt2e&TAH&~VVW=FO#^vFyr6&arM zP~BLsCzlgz18$3r9uuHh4Ia|STY>>`sULj*iw+6y1UI^R=fZs}c9#>apBZ6j>$~1O~)=nkl>V`k-Daf-q4eMMJce3R_v4$}hxt+;f&;)$@`Kv`)S4wpUfFKfe9$ z=%vFNZP{o5SHjzt=p9uIwuY5aE%4=@_R|DKR+7S9ueD@9#@w7 zIhazlmO~=janlr7@bUw23e0W$17%HH*@mDNT@ESFGmRGB*kKqZrvN8CRb0r0&KoC0 z6&jVg@V94fesAFmDR(IFG5H&bKF$u=Nm?R=yX(x`eu`NodpDwkyZAWQ*jsvR50{P{ zRh3@V*ALFb)?>ofqKgYl_U))}fU_CtXZV>a!maWkspdKfL0HIFx zGWv2UGq=APINCL$XY_#HdzVp|QEC$dUzg9xShs82;&OaQx#Ea;`lhvKZ~in1o8a}M z!zp7pTf+_Ps%2}$E(cwgopifKKI?nbH&1Qq5c^AaTVR(FiuTY=73+$gY=~R8UrSUw``8p!#@r`A)tg(p2fRf zILo}@6d~{t6Esvhb!~b8P79zDlAyXEFj%ok4?h#2IlpZ7e~=hcMKCpb8e2rq1Be{CR-O>k0fy79i=ZX(Id7-1X0Cpjnn*2thG)?d zMs<}BJ_BgL2Jl0F`v1u>rF$d#do@$WUuTz|&p16n#0CE#vZ@_wvrHjei#aJxpG>)VAWq5?$ zO`4KMy2dyX=NPwiWGQwftX6}E~0TEqY{X9E!E!uY);#{tcV!x>&FG8K>4bx1FTwtx+x><8iHl4`9< z*)g%~4&?}df$#ELjC@{HI9H+|h#u1Cr9dv7`m3!OFk&No8+?&2lhftRD#7#=gkS5Z o3%ra=hnKpqkXntl$Cdov08|Et;m0M#++Bme*a literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.cmp.tdb b/shifter_8b/db/shifter_8b.cmp.tdb new file mode 100644 index 0000000000000000000000000000000000000000..9eb81157a127fedf355e97cf6dc2d0908a411db9 GIT binary patch literal 4352 zcmV+b5&!NF000233jqLb0B!(300011WpZ@6CZXh-Q000000Ok$=000000PO<+ z00000000pH000000C)lHnhC62RT;-`pDmU}rR#0EhmK`4tX!9pY;YT1Q^0$o^(P^AL0)A9Ga_nevY?#!F_ zUO^@5N&fe2-}#^Kd}sO2y)(^bv$T92$b;SDQ_Wi_evu7Q&+pe=_ z&$4n2LhmPjgZOpOEACcjSz7+@$gAgYz_8Nr8qG#!S;OHP4lbiCdzFe;fce?6aD?&8 zp}oya$Naw{o%Rv=->NtrwwxO4U0}yhK~Z5R*NsIOv+AW+fW45bFa5X`xGK&`Qqi!COiNIByz3bMjzLxj6ox^}GKDQK8ZGf^WNq`NW;4|0)(+aD zX!f6Ifs-!wrwXVkI`r1`LM7iiO=G{QO=B5xZ(S4|R2S>2v(>F^RNiF<6i=~)}EZmp&RnBPp>H^Z!NZ);>K9nruVbI51+VIxnDXR*lNT+ms=s!UrFuwSEw zr3|?@*|uC+s9c$9)O>vkr%;DnDdV$I+oR0nxS;`ZT(#Tth1?(DTS^(D7$Dc?D*0vy zz8xxZ+S2xAb)$A8e7uSb$yW<}RsGsyE&IKBnELIFxMSF^qF>ofd^T$GEgyz{dzDS{ z2^BtGUF*2{)_8A)k5{K5$LrSsLax2i`E|VQF*jIfKeo@MPM>20*CF>hi`gQN`LRrb# zs10}Cb}Ad>n^*YSx|wR!9$*~yySC$SE>ios{&>}|Z&vA-e(K7W{g?AWy6e29e9kZV z`i2!gW%xwV;$z<$@=YpoWpR8qYRhT&SK19VUd~ZN$2x86W_6?X8hm^TslT_%QcP&qmG9DcWVIag1dBY0v&jzm`p;tn7LC<6s^Pd3FDm#qrsw zF&BQm8FCzhof|Z--vmm=^4xfkJnLbA{hW_wiCkaVpzHd>W_jdu{dulc=hyY^I*)SU zGgEHc^n5Ps(9Q41UR{4zz~@H?{C@{uI$rM) zj3s})i(Gr{H)`CU{0!Z3o_dzB*7LIOMvZO_9pkN@_ngb|yT<_g*=O4CGvxJeL+R&d zL&wF9asZ$0nERG~$Yid+>bc>*qAG+&&G{hIyUoY%DK7;ZHT-iXe|2AS9_Q`5Zh2qR zPE|SmQTu+`sG;wm{i;jsmv0)G=UUZod6)9e73Dmy2wlff%J^*5@Rh~+;0V9w+(+s>6yFRQZHq2L$+>@B2Sdp{%A#kjH1G@A&Ma1gbN)+=Wtwv}n~af7 zyEmUf-eCFoP;T27lk!ax`3I8nSC~u#cdBf2es3@>&)IAO)Asu<4Mwp1M@jjYv5)2U zwNud3^mI12LC$l#aJnB(O4?2dZ5_*890pO*@Act#5_xV7<-K&6nAmDzqQf3sLglpW zy1@>P%lWljop%Ur)$NExhi@i2T*u;9UY;3=J%isZcn%5ntlHt2WbU>}bnqt{Ih8*s zX?t{{|I99J)sv>Jw&^-KJm@dy$_YFO+Hl@uZT-{rb6fbmNtpwZv7cr`LONgCEiI4w zr!uCajPl0?J)Ph4fI*oUl=*IuNy|;gIWp}%$TlgD>7$bJI2V?mr7%JMlY@-u807px z$XFiNq~%e6c~bo&r!r|d*&-+(bx kI{!r>a#=$;wY?fWcA$~AU=veY&IlRs$--rB%$-heb zt2fTFFEH*eg*~_v<@=%Fi5#Z3n?ZgZcz=uB;l$@7w+Q}~aI7SM8Cb|*9%0WbzfXnt zrQ7=s^}m0Qm5j=yF+^U-HNxaQLTH;}u4^k~kJKJxRyk?658@~^Of&Syj2KwnQ| zlYNLE4D0=Fct3^QUC8|o-d}J5`60Y3D0f_&a*?7*_7n1lBX>V?*CKa0a;L+y7yUV| ztyh)yz5|<_NxR$8MLWzRFYiY5e$_-yvj{4o%K-*BifQ8CYQT%l4c*nyhPYlkvVF6?DU1k+8@#eQc4)Nv~I1G#7 zc^uwp?2TU+lKD}h5TUUrzY|rPk5#!={pjc_a)`alJd)w^oJ6e znMpd8zb287|C4Zxvj&l0l*ms>WcEzbDgP~r{KP~ieA_B)lFBbmt76V?mwZt4TC?Kuj2!MH+!A{zexEPggcS%9Z~NX zZ-Ol*RK^?cyvXEHF2=L_v+N`In;5jujmtZEV|_3CK$Azg7I?H~si<=PLxqd%y_o&%0E^=6)+SPQy#=MUk$bap8S}t4xRfW6^(yivG)NUxqv; zV*Dig>%fZrw;tjCx-;m{J)wjDF8UU!{&g=D1i#;xsrK{030XFckA3O->9zh(LH{`w z{ZAdC{+y=`HNY+8#%XvA-9@VZSAzb$@^t9`N%Vi#^_8su_`XGH4hDql(Ler3VTJ2w z&RXgZRX%{6OgH`G`}EQ-`ftGcd7+~JzJ6>hLmm?`K4^R1nZl2smZ|^3hdS*N$T5mTpYT|7WG_suXg>1p!}k~nCpx$LH}_TeJ1zdzk3Gn$rXL_PTE$&BdVnwRd!1Kim(zJ2pg=Hy$;bhilM_ouI#_ zmkMFpOP?_90vDs=AmR!u3bb^*Vzmn5ov2mNl5k`2;#%BLyrtN|NyOp}(wFvUnXr5@ zu`uneMwnhinJC!(*(r*C1siQA>>(M}H z#RIvH3kBURf*0K8?0){#4J^M8g9+1nQYH$OBx~uY=?!CG7P9OA1+Tx&^HE30;@vhTiv9|9ge!W}4OmO?8KkMd7pinvf0hZ!ybFjzCCQ@mZcSXuu9p_i((+=| z5whsPMWK>A??u!Rs&O&&!t`h?B>YUK3m2;NJuD<7)4hvACCQ>cZmIMN%tFS^P(k=% zOh+ta(IJaMCCajXsDzDnq(GS7mss!%QB$uhR7o!_B>Nm=6NO61wp>(8CH<$6F%F)) zLQ~%=WZ4;YM4{3|So%;$*ys={gz3375dLvTM=MlG$15cJG3tmyCCQ@KY^jv8>mCK} zzj+?k60)>T7Iz%JEISbuglc@0u7v45`m;>zH!K$r4ra zYfQR_^?*W_1*j$pD|xo)>V%a`iG>H%IF4aq`L4vmwC+)u-i|U+kg@KvOEH;Ho7Me% zy8;U8AB881{!&M+){_=m(2Jla{{j*5S`_vmf*?I92zn9%rKwtd*An7zU^Y+IULsUuv}xt=SulR>7?alc2)Z}&v+`#yTw~8dx5fpv@^CN zoTFhub4@xYOqXD~Hod-&(Ef@5i6Ah-H+3F^FLf4P7S!nqd$MHttkXWxnRSNC%yng% zdiG;=KWWA*%E73#R;HP6r{?eY#Z?$)Y^9eDvOg4F;U*JKN$dQqlj{81#uW90y)Qr_ zkCkK+Xzp~|H@R&_`_Dg5j2<9(xFR`KN{mQD?9iRIOpNvoq4-UoYpvkx>*LzfJ`%mH zNMo0}+2#6$&TgW9R%(c~ibg8DRXk~Zdhxse5OLAYT=>H9P%AjuS$t58F?Ov=WV#_9 z-B=je$xZ|fMvUiDSTPrfBG;EY|GvX5V`phExPkCaeFcYG^yc=T$s+`m&M90h)0)J$ za#OU%f<3y8-8!m7D78LzW_b^hmuc@#4q`L;$hLsEZuM0|LC uucwz{V@jA8a?Efm%jiq_L~V$fQi+k13CI0r?H_+#Ne3A8$p0Sz0RR8s;luX; literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.cmp0.ddb b/shifter_8b/db/shifter_8b.cmp0.ddb new file mode 100644 index 0000000000000000000000000000000000000000..a79bf3876d97ad1f4c8a06e344faeb31f842850b GIT binary patch literal 56278 zcmeFYRaBf!vj&O}?(Tu$?(Q1g-3jhNgS&fhcXxM};1b-O;O;PU`2KJ2eShxGS?gbO z(Nj;oRja1D`d!^!&!B*TfmI`bgV}$6gg(D(nEi0Ja79pLaRGSe*gW zJWsc~_xf-!Qd=j_ns7DD)!e`ak*D%&cj~*Hu563G_NKPSp%+D<;KDmV%K3ZbsFI|C z^OxK&U){rPR7--TQ*ZfrPE&8qS5-vKD`$Iq+ax!?eRwcDKr+ATSpQ0-K>FEO)c_?s z=As%jz6n>ojs~;~Q%qurDZO67E-Ak{+<{;j?5&P(<$C`K3yu#@vghHk5+{56G4rIA}-V9p?e+84)`C&#+o7KycqRK1cL+HuXQab_QW2?Npj zrGo&5^kRd&MY|Jx=@XK4xPXBWD&5u%>zE2llGc|#qjxkZxeCvp$b`6EL#sR; z7mg%{8K0j^F~{I6E=4M=^Wtvt$;@dH+8r84`3b=L5FbBqc9)=jrgk3+SpOT zvuddN23s&xG}Z7~T4^LV&o3{F^BY7l{;ao!0F6(d#y{wns5K!}GcCN*p8XDN&S>$? z%31P?TaR~@^VIQsYtdUOJ+aDedY`Czg|<%~N^-QcT^Bdk9jYQq3pUEx!g7L$8+GmB1AI?HXm~>@hxI`*NSoqTF zKM-R|L2ib76UeF<7qTcbg7EB@%sL-*0Q?CJAzkZ$ZQC)}d?zAHaccYVzohH~;zhyv zPE*(G=;{-Sa#ia~LY+YGl(2&33vbDlwwT83{E_M8oEyb**XG%_28tO0Vap_L^MdrT zoRjAp@(7Ajq3pj~)-G=9bxw_S%6o0GjfPmUQcMq;`X0Tr&qym;i?dodt>B6Ho$pSD zdv&rUl((^CSopo1>@_>K)X=3KqQc8a|&ovqMu z{6mNH9dJFIJ!z$zOs&8lYem&-7uTvDQLu#NOi@#;R`Bf7RA8Bb@f~ycqBLBzd=c>g zJ|tA%Vd@fP)vVBlLV8ZJYrV|R#5{rxLu{spb8 zMqYQs7gAM)bk62N8}2Hm5TjP{BtakBMY0o|?j|1J`nrDxrqx}}WvVun+xd)T^_rt5 zJ@Pc0x8++?T1v9Vc^CdF3ixzd4#aUbRrju6^5%A~&r9MtMIOfpYH_p7gf@^!sO2u< z)IC6wtIlS}p75@_ckjdrI8a{tUDKM}y;6lV0krB4+&C+?TyV;Rce1SRec1ZTCFl|8 z2W-=9uuq@i;iQph6l}k+038owgn$p~u!e4B4)`N@+TTiTQAo^DnDT0Waa2#`de4@B zebKG)73#ck&T@dLra{!&w8+?Y|7mL6_qf#Xg$7YcpZPSuwecxaGf(sD^lgyHAlI8i z7LT9}&@^k`T0p|+_2(HSDAWMs-Tvxns%BQcMKH2;0A5l%~nI_0}AeerWT~nvG*l0_|qf6hRD2=T&u&0o=nz3_`3#9(1Ji zt}t%nG!4V;H?Zn5n=3eXWv&~H2Zu}~6cjwR{e^a({5R5^>73S^dM-29gF^jT>BCUa zCKKfI@eNpZ&Y>MA(9dJxU_sablBeOdGiO+(0386l+b`ege38WNmoNKzF0+18$cL>&skarFA z{8Nle9Z2M!K>roI>Rm|}-$V6WObahgapXzjbEsAvfH41DrS{ z>fWs{@%;uPe*&f6d{Q`0(5Id3;}23Ti67f}97{SbA9q~*zMY)-DtW26Ed%fdc|4j1 z`3VtJuryC7tQBul4O!RxUSB&KRq0#KA33khU$?toDnJK?NDWS_yTn$D-oHcBeJ@yQ zUwFcf%?mkLtj{x2v){`=%O%V2^GGNPSm)1K_}@=d07dD7`zt&-PcGwV?4$iaU@47e!ug8J^UG&!ifUiUcypM(-k(nuY` zjAL<~{WnC<^Hh)m-C>fkAYtv(WxsyK7ykNJ{Ywk*Za=7hTZToBc@SNkt(d{fIVkVZ z3;<`h!h!YOs_GYq(fRMXdC02?#5H-4H2W@~tciZ4`T99_U#nCk{rRpGc=X2)vp^rl z^QVo77wH(V#;yCnckp$I;>NrRe5Ixz za3@dAxR-XYcG+>&=OhcAF_srrv;F>f8$1aK_97IA>!^jti z#>~%QGwWZ5GWt`LWhI8gynY~6NzTwlCnIFeT`gwxKPXDGAhI2af>TE3>8-S0pi*pl zLruf2h4H@6WLbZU(yt2Ve3FR|U99UVifEjICEM4p9I!CZqanosudqr>rIki=Wj+W^ zh`OD$68olz2y}0$o0wRa+ECGIf^Uh)cZ9?u%klY z@pTe1*p5Jf=L_uRT6z61M#fAqUNk;>=kZ6F{&@TsoymKBQyJw(%|RoOVv!bj@?#Y) z)Qdh{6s0Zr`jS)h`>j6>g)L5mj3LPqwbrW*7E+L*{&sv8aG!K7H=1C@ofosdWw5)aJzQZjM-d;Ct)Q_+gA{~$QJLICXf zfv`W$bx!+z{7%8P4Xj;TCuzjj1|!K)BTmYiTmxNlT#YFllfRHzm64CKi8aAab1?9>Ai`FMio1z|IuBBg^87mOmD;U@jMxy+_?xU!hH=~ zElvMs$bDCuAu^E~hm%ZcMPnCaUNN2Vq5iOwiS*EvW<@ZBMzK`y^RP3upJ-BI7iw`s zd>Gh>oZ=JN=0nFTiwt2%;dItiZCm%f;VU|_`(gAnYiMUfEg`P$CH-pD8Zzflw86Et zCTxZfV<$0*%!`am%K4wG!?JLnrwd=KKrV-0CS%hBS<>Pi1)3o{XCaHwq-A0PCP5}U zXi6%UzO9nxN~No;XoQZZnv#ga4fBOgV5uf3=T!%OJQBzR7;)Ap%MzmZbcu2AX%z+xD2H9sdx1B8pU)k;P_YRFa zSb8b~tU#{GK@6i~4{LPp2giGE;&G0$_@4XWjz%3Fx@MqsO*2(%G1VR{dGOf5`e3#+ zzwjlHqpuk#2J6SpP(;FS#T>9+>}#Y_7wXsqM{4hZuob3(tKNQ+uMysQ5emliR}_en z1vMjScc#okpmHY;5(o3gjoibC?vuv)*-j<@nQn@STDI;K%je);kD8SbKlDYibr-7* zzAki`D1FnWfkCeielIZw&}NRhi&gzXZ^)fq*1;jGI@ea#IehW3!keoRc_)N|L9yWB z$GUC{S}~Q_rb$+Ii0h2VVFmkE@Ip!yM>T9K-SWbNuA!Q060s-u1V#$epmp!SpCL5O z7dxEA`C^AB3Il%aF zqU_0--_`R~8MO6=4L?U>oI_FKh6=pvvQ-mo9$Xo!HjKtxm9J>vXHgvN+3Ry3VQkyg zF~;B8>aO}(_Ky2}pCfX(ZlQUv+1swQF}VV-%e}`6*Sv~J}Q*-T({vTF{o1(+CQIlhcU|@!n1|ot743t-hM8?zqpyW`;_+F z(FS?j%!*`_EOk`;=R@kqn~Aws+SmX?1p4iEC7+z+;SI5#xi(Z{cPv;>1301`!AVDP zbnI~44so|kDg{yWJNPLlA#yJizD%f0@W!5_X4N&i zq1_ISsQZ&xIBhh=uJ*9^CEFz^L0DoJMt-)5udXQ&y4)i>5Za;m=8Kk&GFq!0Q?NLF z*mU}Y{oo%KMa@Sc;#qN9FbCx_5zQ#v;g!FGm4M~wWTJ7kumPe7JzxBBZ?0KfD;;*=q2 zTwI39r}=oKBebzO(+Rb<39kw25TUxfA{n?4(letom-vFsv3p&mWmFk&Li+2hF62aS|PX zaP;#*`De@pp6?4^4u<o=W#RqJVF5%OI-R8m$^5elI8`JHl(NoBHy~ zRm%6T>6fJsg_&0%h9L)<*VA${!kuLPR=P(AeHs4yvvM)m(}4A0hHSLyqR3Z0PP9GnPkbWJPMpjDAD8O zJXp9o_?9JkOpA_lD%Z6Oi~ZMX)QDhKT7zf-r@KFuRFLc(ZJq*grtaP4kW+dbvid$?n7Izo~h_X9={O zOnbbQUOhCn_%XWeukquqv7_DJzLfD-iV1+uZKNU}>>-4)2H%p)2-^~lH(mYgdar_7 z;grH?Ks`7JTM@LFSS&S2svks4;3VdHX9e^Q!Q*Te_h?+aiZ&*G(TSKhyVLe2N;f?HJff7m8N(?YmzcAK`p zRDL|7T;S|%X4IO8Hz3+)i)9*!zFqo077pDOo`&mB1%WxUpl=4d8V0%=u9*e&-Uzp! z543Xu`~O(vwbB~6KH)rX(or?CAi=_pPH7DeZ$W_mWN17>52|Q`!MVQlssP zT{b8-X-Qhc0z6Dhh`VEuN`4P!L8PRF-pk|9!+?g-;u^RQE zpvi#FHzY;Pg&WPYc%w#{b{t1fv;4kdUO!w%{IExQx#$2`B{*~o@rRnw3$hy*+bQ*Z ztO99l4vKfWK5E(iSzPIGLdbalwW*n#rY7~xL)MYnJ|D9#9rE}sA~e|RWsYAUC83Q9 z;5mN~-I-ZUYMksIzfU`VOl(I?5J$jN`P$cjPT>5WHEsN;@YBOdN1Eb%Bn&=Zepds{GIxTK%?HI>tZ{vBbVHENqFuNBT`O%L zY(uCazobUErY@gvd;{{RkA}aMsAHx(#-8WD-BnHtky5XAsrf%4(^7+oV^X#wQ~aD) zrF%ug8pQ`4pCkzP>+PK$j-AOrqO2b=SJ#!PN*JZO>sU*U2Ye7j;49R{e!+m6C`NtGh?>;QhYo41E5<6xmrCM1q+fEk7FQ zbM3MQczA!Hibm%`p)Du&BBsYc8LxYSIe0GC*<_$M1bCGWG}$gdkTY*kAtFAZYS z1H?j?;m&;DMx#Dh*$#1O6a1^<>Nbx>H@C=bm>!w37#nLtkg@KZVd>ggAIsfLB}U7U zvm>Fs0-{4H=JPwSOL#G_BvMt0)*unK0%=q9y@VYP@WP-xWJ?+v2yGJ4Ud|_V->n1= zm%Mor5KD<+S18#@8ZAWjnWYd>JXnwzdf^qRX3mcd`3oC=6BpsTVWspCpK%hnZTT3- z?Ka+RQ8ya5@z)}hMBEUBx+8a<=IuSS;=hm>9v~b#@A!6UrS{=Qx|8SG7xP2NYA!^P z;bV7Y`lSU*9E7XRg8Yqavc({}+SQfkzNCNnhM>If5)_B^6sOTPe5s=(2vrp3RF=b9 zW!^fvJ?)j3@8UR+xG|bpYx!2atA4fn^zvo_qP!f~zi@ql&BdlObST(vM#T7{Ii#9R z&UpI->boKtNlD9VKg|CId{`czr^xq*U5hK6D{vXEmVJLD84FDgz+?#pN)u%b6 z+u6S+mktkxqYG1?Nel(PQTxfcj*&|zv3RJs^jU~125IL|FW^P6Vk$axanrJ#ua@uK zlvIo(y_)oU-LN-HQuWS1pdcI?wb3ID@{N|_8d=Zf{}OV~O8x+t4`zf*H2~XZjB4agtdFtge6VT;Fv^Cy4pLlGcbk7pH*9s+sUgxoQHB zU;`h)tESJk*Kif1=A=1>dnacOSBi)z*pZ`~b)!RxClW)>%sITa4u2hd?JJZFSXW71 z7ds}VfRn}z_5u)WPY$%QQ`$p~FwCb{D#Dh}FnY0sBCYii@ z@P8644MM06a=l;oGLD- zbt!2-r^_vBCdt=XvNDrl{EX;_DS(^{_$#cOaD-ffqoh_;W_cS>^11utd=_>g`TzYVfLWPDjl};8ECspAh55gM{WoL(t+D^E%Kx{oi;V|TZDLCm5Wl0%vfQAm&8YlhItt?tLT zqdqzYDOD;6MdAELW*e$9){p8LF0sX=99?V5*YOr#!C=>L>rfEHEFA(h!tc5_D7!&4 z+3Txx&6czlTO6yRz11$eeJA_WJppho4za1juVYR{Srg>c@|()r!J%$OlFbfxRUhaW zN%32rAz@w;f>Mz!tSKfPY}q+!lFfl%BKDB=pF+C`RJ^nsaW2HKeOs1qUlhWH4|lU0 zwZ5$%xT|TS`paSA;s2vRjdE!bN)ai@75Hut_Xb-{9b#uOv2(Bq+-b}ES!)w0v>RoK z!?`N<1^776(?8=7hk_i;1o5(6&j{{Ui$op>c;!Ta5{zevlTY*0jM@%Lod)qg>(NvN zVncbndY_MHfxO=hVwu6ClKL_FX0)OJ+5z&)PSry)8ZGn_NNcQg=YhUz4VEM zf1B3HR(;Ju`4Qh;P{TB9pMMh_rE$zIbQwel#a;y|sFQbNL7{P+B=izQ*#9G&s_o_^ zc##Li&?e0ZUFRBbs&%&>##5Zqoo>r|9Z!D4liPhim<4Ixl^(RQ64C4vHx>O>I5g@E#v(B-cc_DIM$t{VJ8pNN=u|mame^-OkPSc} z7)|i#E?;ZNT4wT{uwK?s1D&=M^N6@=YbL5Y80+uL#GokH^!AZu&Tg812=sS+U_-4; z6sRFJf%Q$BYFWQkK!d-g{?>`=@E31C->Z&$Lt-=@P=&%5)ikAFdmSkk2`R4ytoKpQ z8IqmZ(d#%p9PCs<8lbsGEMV06w|SPmB<=hWsf7w`O1mY-=0>_pHRPnA=Gjf&0s8`5 zdSprXh}ipbI@X^?V1T_VI}5`-h384o>3o-zH$AcpF{G3RK4iWn!`^dQ|0^gvtcTEY z&Hpa!9Z$Qk^ECnWr$d0 z+$yh0P^0^#A#0?xCnZR*79aCS;p28H3ojYxP`d7y#Ey(vzG&q__jY%-^Wq~;@PIVl z$d>+_o5I)+rV*NrjC$@cE>GpQpvCiHoaqUk*C?uAIX~E2TJUoWdaVo;%+pH~M`}lw zd_zk2fu4e;YoVnKifAyd8|+M_=L4lbvS8Rs8f0`*1~n(GS*21MGP>$V&Uh^07p6hX zf$&MMN9mV@AU&TXto~qs)?HF|SfogT49EjyeE7D11bF0s1$bmi%wU*&k^cSzuzV5A z*-4SlmW+rPIL?2-e}zwFaNsN{J2iJ2;Xk$+JeJ7ue3sNZ|APMtpGqZE{9qVpz%R&u zY}o));6DIJ(0c!Z{|e9m40zF?*}NU2AO4>XEsyeegfH{*{Sp2H{wsVcMMW%8@i7VI zkpHorB4h{rCSu2Q{1^OJ_*7EEB8dhC291ILW1Ajy1lArD3c>g<_#YuKFbEF%^EW9u zGV=(3I%IdAB_ws9RqFc}{8#u?=Kq@yO29w1=KqtI|0d}_nfq^&{wb^fR?`31ms^aF z=Mi&AeOIcCFjZ0i~D0D1L;`+qr3eglU5?#L;g=;+!! zZL`HS!73J}<@sr^R4KGCON4&U2$16Ct6Hbn)6Op%+$`#vn$(NLvif1>dI9Eo%x?95 z>pWa9zw&F^wXCt1H~?4`Dr*A`@l#5nNIuT_e$!{mZ(=AGKL-ZPw5BvYcx%vWkVlrE-iqI1)noZLyVI zx0<6+tm*Oc)jxPA^sn4pk5v{9&@x!OxWBJVUqM=nAGvgKV=<9vfhvS1_fVWRT9W(wT50DsJ&85bw-_c@=FM`=S z|0EyyloGCBIdgEd-Xn320_dV=D3z#$vPZ+*h~f4(=$y~*@?rly3Nw@gjrwmBzuQ43 z-N$qIz=OnJjiB$#w2ayY*ddt7!m|b%t4k`F z3W@i>{rk23oRj~8{{#tCc@6|(hrqa~=THSR{tq`~My<399QxTRP3Y!W@nfnKly;sJ zsWoL?bsdnM{LWO`^iZp0`5wIJ9YwXsc;Wf0e&H*>zT>@$-(twqTabF^YkoP#bLI|ZNk&y4#`h)vY41%Pam+_R+X!{wpqYWR z+o8J_7_+&-{si4yt-96OvPv|%a97;RIFUgP<$N&T!DpjoH)FSzq9P?X?JxFGU9#4W zDR=$0r^7t~34cG+BIP6>DuP_!A3ulOWDC4!@=U); z>Nb1jB<74^7wSv!#43U?q_Wv7Hw`6hSw#^`XKs+6UP7hUb=5wmT+ zp#N=}s*#sOcU7p&oxtxBOi^-9b`Ik2Ek6TWgXzk_H%oA+3cV%s>Di&k+->r_Z-uz{#UZEj}{5?!=FRFnEKMwbpUgKf@-l?Gc%ZR+F8L5!}2s zh=r^9jUO@KRDzbG|O-V)i(YX(Ax&X|I;rSfk=Kprqv58 z{a=Dlt;Y9GLaZQ!;rn>L)QdHt~PRvNeImvYGd2f9|3@A9z zompoVO7V2X!wYr+U^xRGf9^^EGTl2}i^8z;4An-(;a-m{9n$t?wtO}RRpJ@{giUTg zr>Lr15Bl>#QC#{401qWI*$y80Y>Oo8EOGzlFZ>=49&#NAUe8k*safn`jhSSB5<&ky zt+RV#zOZ&$CfQG;tIc`_u~|d(l#Ba*Wy{p(#7L6q$+Z+hp*>|q1-%_T4trY<&%YIl zumv$?$z7=IcsFX`4>u6aM!F8UY-&45Lj~2Z&*w8aa8)H_AmG2Pu)0Nxt3tlL4BwjP z#CN0iFNNdw1I|Z)r{o=H$^+_5WwfGEG=y8{j!D_rtk!Ptm$(~*4GusYY-GepWAn$^ z2b*==;~9-1wy8JQB$KjNV()w691tzCU^Y2geN=TOQY3!6xdF_YDx;U!b(i$0Td+#G z?tYo}8)B_U=N;3NnuRyTy6ni~4&`J!!{8}MeGu-(bE-OrP@6)6dVO@K z1GYcqZ2oYsv@~0z|4i;*S)AcOHg~BpH;Wm9&s68*u>`3!8!;GBQwBKCLO5Dd@G56+}zV|Ua-IoUorPDN^v~FOE zY6-C=TIV!XBc#MMz`4H0w{{7!#?PdDCzLc3-Pm?r%r(eWK;`5_4%EqI_&`Su!jHk;io{0d zxr;aY_@w3e%ItdB^(RcAz$yG>Vq1yzWcK1Y4Yab(aX*?D3ykuuMe9QN9TmY|#EQaC z6XAW9lHaVASSRT5f3z@Oc6LNaVSRGZ98c#E@6lZdr;u&ZoV$Kqc7>^!Gx ztLQOLCBE+qj6+)x=`m1KCGIRh2CM{|yRB((YO%IklZw)sD-KfXhm`(HX3?X-EsE}i zm(`DRr4cyZ#39>8Rq0YLxpD1BWby5v9a$yPy04#Ce2&tsGn#hZ?ZO$s9Dy;`Kb@7o ztZ*%-Bq_U!hvV!iHwqk8H8e-)Uz=1}RK@{O={~M7!X5q9rLLR}7 z)y|k#D)SU>w)&Q8^!Z%8LEi_a?kmAw_yr2=INmTKwF;5z>AZ%3aK*9jU9{S*Zt<3D zvyq&l<{!tSfS_Imf}~&vytLak$;TKkYp7-4c-V2_3dcK;C3^!G7p%3RTl`-pWq8@~ z{re@3zyNX#GPR1P>$~~n^!{}KMKGSm#{Y4Gv{MFF4b@S4R+X5hpJOj70S?5POdfLm zUT9=qWpGzk%zS8zGveR5_R9M&h%of;&L}EqBOiw$p7zT3Y+M7eFN81?mRgG4qGXBe-ot5nB4}wI0A>h)xO|P=A+-W{SQegXtZAx?H;?p2|*4a zlNMpw#2D?1XSD#`Znu+*Xc$vnd?TCEO?KmlkPCy`}c}A6>a9t=EfXcUI73|V~}uywzy|x;o+%)w;ewjFYr{R^Ic7QyOfjohwZ+4 zc^YnUgJTMIb!2%}kLj&*=7qme(q!q>=~rx*Kifn99HVnidK9;Rhr881OWw>vh5U2c zhWPI(`@dHa|GlsI?|sexFD|t}Gqc>NYhI}DA7eaBley5EUcxHN_n05&>{l3o zgkrbW`&r;+mk8nKTR+KPw*e&(635%ve7+Er;M> zHj*sM6}Hf9Pa7?sau1cP%kS)HTPUn8Ulttm5PjWaEp=7N^u(JBmRO>^|JB8SI1O5Z2#k9?v5ELgcZcDyyLzXavcyMS8KdDy`2Pn2+8^ zoPvW!xQv%gvYyR!VWGUw{ojd94rGs*#8Qz_jC?SjgV4}o>-gW$Ab&*zt`q2_#=rXt zRDI=l69p>7l2d;TJ}fQk$4R{XB4ij{HTFKpRp`dHwG*B>*_%hN7Z%opB4r{C`v7{Z zr1#_g8sKXW%J<}(0wS9>$AiCfS2W)F!Ts?r8?=EdZDC-oJ!LDiAai!it$e-jq<0p6 z3fQbY6=-|v6N#AA`0#urny-Ayo2(4IC)%(O-ZoB8b-Ute1NDid2fbM!lb zzmLgV`vp-Qe#> zy5nZ8ao8<2Z~nC7D-SrV0Csymg}C_aSlU_l&2vL|aRd71iKb=samCWKtF6mkXa+X= zNmnYwWXMTVIYNYLiL=)Fg;zQqwl6r!AcruXiS(fJSV6@E297wI6gs!w0G{@h`8s|{Y z!y-D-+k_Or=2xuJoanO()Lf73%hMt?s4b_Z^skQzse~gnkreE7UF??fH}TSU+BUEnb56n!UYmw0$*ibbP&T zv;{rg>A9p_2@yKi^DzfY7+lnNMHlyjl`=7^ojB2OsuiV>ICh{Kt5S$EIcEr0-Wmjh zjLyK_zIq~`8ycc;1Sv5PbFcR+vZ&;NSA36TKuj8D(Vqfam<^M53F`Wt7dYS%E>gs) zM}2s7?OwC;;K(2r1555(q2t--UK+|8Uj}uJ(cf>LAMvbD6HX-ghk=l9sDP%dTQy7j z2+YSO%YQ22@&p!(B|#AS2nQ)a5W&aNJpu}MuchEpWT;V>5ItUlo2(z(nGtPlq%Axm zNkRNoF`qn67X;ypQdXJF=t|l_P0Z^^?p~yA>IC7a<>4-E!qzqxHgx^=ye<@I z0SK*XdO9jYK7w<5OqnO7fLr3IwTcO>9c337O zS7{YUkroJ-9#$;Eh>DhudDJ4~pd`Z*N<@ zG%$KjN<|R5Mr$hu+W}jcqn~7L+_+)LGgP{Lof07U$J;%Do*y&6fIm)tGGqrzkDidY z|KViM(3MAcPZc=M+7jlQDqNC+nYD}p9CebXcM}K0*LW=Wh(Ki}>cZ230HdYk20RES zZ*EkQ7L5ZH6i@oBPNF>>4ZjXnutjP?ZQH? zMQ*U=XMWp;m8zj;#IaP4epebyFmHBbKptB6Uc##+gs|$6P>}-y|6mgmQiW3}%T~RW zPbOKy`-#Zr7tU~BO-{y+x=z|M=9FHP`C1jz4=D06=POoRjA=pE#lteBJEAQ)nXhXQ zo#n2!h}p_lNXbt@>l&(BU#@jYte4=p*)5%9wVX6Tz@I?w^Rz(RD14JkvWM~8doj0+ z&^EkloS6!iO5kg;^t1n?P|e#kgW2+((`L0v-HW~y-%K;9!MGlh%Z)Mv520yXu6%yA zSYmJzsHRB672>i$iEPH>yD9(}L@&Ub+TDA6{DJjKAx=dHLiwCt+`olL*!Im38E4o6R&hKrTi6i)cUR^_NMZfG%g1hl17{7 zmw>$;bqaad2GMy{F&z8fI{_AOi)8Cqq244c+mJ{%fou5*Wsv5)|X zQ;#=VI&O)YYRpB0&7=D_*S_@|taYo2@bkA1JMf05+YQ^_AgUaYpB>jQ$4F%OF*oMk zGZCL}`Q(Zd!Wd5an-N5XO7Wv@vSoGJ@LsfGb;cjvgV8Bx^1GO%cXl?i{kU{Ag0MNg z?#hBmc^ge=*+@JK*ES^z)pN1DD%N7$4zxvt@r6(Xv+)`?$RvS|>$wKz^A8vPTGizi zqADWjrzhIfzF&$V4)Qt_2nU|Fl$@j561#}JvHAta^})Q3jo`J}#Zc3O2u--MSoP}_ zuO@@y0qK@=#lA%wPj>*AS{&TD*yK2)2aCY0>*d7ZDEzB2<%@0ncp5+fZ=P*WzpFpA|76Pt=YX z=92J0)E-E==Gt&I1*qf|NH1;F4E&7l&n#HYPJcSR|BMx06eX}@fK5I*`eEZArwXW^ z)cUBz3V>j(!a4&gSOF9Nc;E7L$#OpdSRxx-RdKXJgSpBo6xw>gV*{y+o~7Gv;Ov&O z;kAdQg^oy>2&^<3bUub~b|iMEqJcW=k~6}jI4!Tp-ys(f%cOsDVBD>MYHj?A#i)GFu;&1? z`O_N;8r}}nDNF@g`{arWFoG`?ae}{{_@mlj zE}cQ;)4;%iD$M4ug5vAxu^{ylKBah^A zH0f^*F^Opmfv<(@|HdSkSHw%!o2?P?jQ6%~^+%^IuJO#RaF%iX}(G0(Q_5uA)RX}Z0t z9I>Advw9HPRPf%^WeW#e-+jdFX+_zxUiw;mdS~*Xc(Oh{kdyo&%cSE8)6q+8ok!H8?RXbWuxiN!&ICkRp9r1RheRB!G~Gv7`9?# z>3|4!ilDcttZaI% zMNeW}Y1v#0R56GcDI#1|Gp9ex%X?#mmK!2BgT?HJHY6?BK1*AgVUZPqBNUwM$2G*k zl~rRGhC0(R7dZKl!J1eDIkZ{IA*pIck_1X_C8UlYBpFGX^H=!I=9piXels->mn2Ig zT5p-+Z#-$w?%0!<2 z-=h}-;CArI1_NN(_KtwDr!2#zuSD5kNv2Z zo0G$iWe}`vj8b&fxGAsE^{%MI%snOlbd2`t;d)P%=%pB=Ba+vIZ8AB8EjN}S;M9aI z@|*g2^4_I``Z)*kXp8L_4{mEF;)VkI%L0JvH@HSauHx}gWGyZ#Vg-f9>v~hoCud$r4F+jmgtlp$N-4p`@f=7>gkX)bQcBCsm2u1 zoItA1`;fI@tY@z%*t(PEr$<9Z=;Rhnt~830*l}?asVmvKyJI;L2IpOwW42kAHCGz% z85)nBstpqLv>_6_)@nTr*HlH`8wJ#jx~Yv54@GBpo|Z`;Y6W(19(E%FDmTw`#JS8O zk_H`G=Mgok0GHf#M#}lfm6T3WkKkrEOeYEDFb$BXpDSMs%vPc_ z>H>j9rFyM9budydG#O&GM9vk>s7KP1e;&vE-QKO(s{B@3Bg7 zTk~~4H=N=PUIQe~_n6H4Xkt*jQL#F~N@kOl^kHl+exzwG`MrvR4H&`u$hs0WyWt11 z=~oa-7F-0YUcCH+ja2G=a5DTkEM3Gk%`*J#Go&bqC2RBhi2Hva*mpVUN5U$(N;05w zuuo0{G8_2-UE?jRhPk9?7i}o75(Ur)$uDagnlqh-*k-SY5QU3k@r&%3LvNOS%6EFN z%!R5ZHclez@NuIt%gEOhdUa)Wm|QIqQ$X@J%CV#acZ&UzN#;bAk-2zfG84`+XC?XI>%!E~7MJn*MYwT$LL;zHZYLrwl@JKdpe;P%0a1@jG7ePDb}v zOuAX=R=A4p8{ZK|D4LX$4ZUNcpSu3x`(7(NAhu)xz9nkj5?HUuAP}t&(;Xz$P{XMa zIjL!}kY!6zGTw2dUG@WrUu4uXPslkg4Zh7o8cBOhM#TF2C%2QGEmM4u0vQj#a z%;u)QS4^S^h`r_qcybNxe+GVjt=VSt& z-B=&!(L!PkacQ|+b}=Zoq2^TK>KqKAp!xp+;6NY0Pqb!?z+Ol5Lr}~t#n{=|p`%lQgRkH@ks zJ)B=)rFg8o)yw&ON*cXf!iuz^WUzHs%*^M^;2vODv9%VJdA-0yQ|l=-Oy+L!bKk3H`6*A-5weoiTO2K$XuYQ0m+t!uDT z>QbkaJM%ok;wsFRol^LJ)0$BtpFif*^_$g$u%7^>qWHMA=Q?@@hpR{xNj&D(Ud}1C z%wiN{bs*C2s`gtQJy)brdu6B8CtVxmX2{sUF?){>#F7S=~AbZTi0Bt zt^rOdx2}Voy1sHsxphr*>Kg8pa_cJP)V0wm<<>Rcsq0Rslv~%YPAM-9Zsb8J$$B*- z8gBUxW5+tPiw%xUMMvffMxo@QuP7h;)4~c4K zY^5{jKh2pN|7^7)Zl#b3rPLwkb=!Zj+qEn?c?jozKdU0}DQ7Y}9oE$g`GcZT^9;C( z;#0yZg|bl@k0GJVQXbpiilUsab7l_qDuA)^V2`N>eoJA^GZ|Y_E-J(M9~RCY+hU=b zUhQ2hws8Ky&Cyi}V=Zrpu1XkNFml&2I~da))S>1dJvr0S=BkV7a9qZ`X^$LhF z`0phwoRwCknREE>lZ5YJj^qYjsYBLouaX$MGmTRA%Ee=?L2b0(@xOC5Q}TI9t4|qw z5$ZyFl+79J8vGg_(&m++3?^a<{HiR>SEW1#Kk1LOG@qOQf2(Zi-C?Puo(*ASOX|7J zl7ZOb)c&v~!`N&`rh+4rAEqSh>Ti|e{Cltm^OG{mtu+WlY|vxMK-3CLs8X;q>BzXN zzjv%soDXxNL?26!#~!s(IDgKG38y+T7g{ME!%jC_!FfNY?e5yMsZ;7ztCaj{sHxdw zbDa6uxt1RB-&sbGXKa)sW3R0k+hAenu@9_~nlT$g#wJ*rIse$fu%07xk(J`H9aakG z|FzJFTiG>pO5wc;6EViBSu!5`)EWyIgMb|=#d$TW6>eXES|xWg+L|9ht)D@z{wlIz(IHj(X#*Q4iJy*1i^Fjh(S_g;Q#= zHC9R-uy(vcH1hfV3Y;*-V^EdIS2B#Pa8kZ@#_nH0+-jF~e-QpBWb0muCnAzEKt}8b zaH}FbWJ))f|3p*%Ntt<0+ws5ij@si{9AnImnT+*?Qc-*|oSo#qoD{4lT561iyvJT} zG}pCuck}r@;qD6Rlc>HE?o_B0+3(T1o^rXGW}im&*zsAyWy)}Vid7fLI6I}~94~+^ z5P_;{1?T1QGCZ)Q5d9o{p0(1Geup?Psxp2 zoxQl34jTA~93IKKCWCe}MPx_V%lTkSv&Sw7(LiZw;U`YJb|(TP?WyUkq}HU-cJvf= zNHogf^fWwVO5yDJ%&{js4SQ~M?tstV9A%5ga8-*(vhDYWeSxVGRh6$~7;EM9#Z0F! zo^kr3uM>^Or;OODE|1l7+WtuzZJ+A&MTsKn3q%7CWg%QSZC?y?08_?e?O^^Rioq#~ zYE(w$CpD{GP|T5~mKTv`wda}CJloNHZJ}&d`SAoYWsfY6 z`AHdEl|)kjR4e5nC>WJNO=gP6?57c6CkJjPn=*_YVYQtxoR39ip0ehUjP(X)szbK@ z3ah_hW#^Q-z$rBnN=5Zt?O^ygv^^?=bAYIYWvvvc#FUXb%oL*{P%rs22d+#R#wuEx z8N1e+V|#3-wYuTFleGupvC`q3AGJ%wP6n=;?c%(HQ&$!k8MSA=!>Cq{Odm_eW2L}% zOg)@;wOR@uXVuR66_#d?-Dz0~cJ$-ieySmZ9qKS)L5Mt7iLKy#Ev)0rcByOmDf{a^i!HGGZS8@0tWsDo*$U-5 zm?7)Jt7u=OUi~ROjD73)PC6^rzRrquNfE7BCtGNE><-I!;-2xqw&S7N<*}z7-@&K2 zrIhj%^$kB|U%2<$T;EA&RXaMIp(X7Z7S^s(VDxmn^5n2DluTh)qwYHQO=tGr(dl=E zMzVH0g)#MvkV1^}7DZ&UyMq3(h-_BR8YOM6>DXMjRg$|lf6}q}x>%eMV}&zgJ1mAX z_NQavL6&A{O}LgxwhLz$_)Tdp?CQ_m892nzoKCy&d498{9y`|=*_{@$HJDir?^1IK%xx$L5kw4;Id5cgN$-BC=UMuZ1mvf15BdA^8j zR?mGUZ9cq+Y<72P9x5W6)$?OXn-3}?o8A4NiA7|ydJZjV^EO9w;rQ(CERAwBr}jZ* z4`cHng5ozk*e zz7=kl?+vG}*-l+8!u^e8?Om+h438}fSI0@2a#l+-oTo?R&YL-UX0S^@ftljUxX(jk zoykLTOg+no=@PLsthJuUrop`%vo6MfP*%#Wcivz>6XxNj9`|WXSVda%1o0moM(X1H zHm7#ziiiwjf5kovp;|B7uAU@Jwtbw_cKi2D>9;*vR~N@Z%#WgBKanVRCY2o8>@m;U zN8-FU#LTFkE8#(5GllaVqw}rVV1r%iVXT9-riOdjMi`YCKI80g<~Tc?>FkT{v~~;O zi5I7?cb&S@-Ay&oaDM~kv5{6^a6ZE7FDZk4frs=IwV$9;Kr@sQ0-+3J#x{T`#aIs| zpk<7J9m?F~=y}1ihp{Y2<|oh?Z7J^4Vs)4*`SYwbHp%_fsLV6?H9TZXbDX-+<4hUw zZuJ#Dv6OsLP9@5eU#yrZb4{B)HpW`@bG{t3MP)9Af@VtG&aM4a9_Mz;`;P2kT%<%-p)4O@d?clb$M(__!V_Y3s+cd;rtb=6znlNwcqIU z1u8Zz1YfrB;e3%*iZT1Qz>Ixvm168|r2V--U68Jk63ybyD-EML~w<*ew<&RzJKWkEybytD!IO8y>Ru6Xh2pWl!tDagK%GSZFYY_Xn}vC@Nc8 z8Lpyz@wwHf@ZSbGDUGc7?6HTP9pL)Ty+2s=Tc{eH9O7K3i2UMI$1i#~EnO6%p_ssV z7b^wcK7>$(hh&1gV|JzzfKMs2)5cyT2EY(eYg-@AcB!nqfg?A7zN6P$Q z?H+J`sdXi$& z+HRwXs*2S8q#(^Bij>?y;q?a#01b6wF|qR^&OY znCZ0QDX=-JM}5OjshLtF0{cE1$YsT6k39&@Hd8o1&55)_EUtR&D8a@gVz6Uo%?3Gt zKO7lR3Q>i(4}~(ScFw=G;s#?67vV^4wu`Z`)-0E?^3Lcm!I>Yv;5-Af)!H+M*kZNI zW4$Ca;*4$Qd{wyroNW6ckQ%kHaI=(t#sDVS`=VtJWA^V58LQ>AeHHW<+N1g_ZkAHk znWgM-W+^SLh{V`M;SNken%()&yUtwn3kxyMp%D=b;VDxqg)!*%hz#fWB#((a5Z+3W zD3~$;sU!25rCEqXG&6=^MD;*;w(Oa1$;9cww5T2_CC`#$f56VIDFeHpBceOfOuqpP z%gIs$!v4aNn3BP|SrNe9>t^izuuoMf#vXK7cVLC6Jsz87&Dj|H(3vL;0ej3=aDJg> zkH_XadffR&UyFyFAHK;R2S0`ODjcQns9z8#@xj@tI#5QwwaiSBzrBs7#Lsd~8Q5`E zU*S{M{u5l8ZD*{DgK8@a4aN?&(10geEg8;#x9)Z^c8VnfDUKd@MtvO2X_eX1<_FYQ z_>?J_5N(ALQEc(pQ`XFr^K+$(3DNZR*iRR8-t!>4_DiAHqV{xl*!!!4#%)e3G98(- z9X-=53>mBGj2FEeJqI{4l|e=w5{*jMJS@8^t_ z2RZF(>flq=((EySC&Cuan>*v+4ri5w&rwJF>q{#oy_LeFmW6Ofqli`tH6e(b7Q%1s zomLdzdIz7*GHdtP#m=1nF}S0ON0J-IIC1st(`-EpUyQaZpRaQ6%vOULn$)fQ{VRv7 zYr~q8wZCumAgpsOj&Xi~)2=%#oIUoKF6ov==svo)5Nln^RkYG)2-O+G4+;a zY`^r%Suj7uZ+OU*XXO_I`I(Sn_Luv8p^Rtc~`>B|JcAdM->Ql~-a^@0C9GeTbHXrT84SY9C*wJCX-|2YV zNtVYkX20`X>M*&%IsOL0Ofj4*h^f-0MlwQsZU*+Scl%W)fKoSiTdB$#X z{Hu$@D0~w_v=sbw+v0}$?Jd@ptV`DY_fw*^XSR>p1CjQnD5E?!)QX~vec`O*)KkI5 zV{=ipo%H;D{_NQpR&>Te-2Fz*quuCDsqPAy?SkD5h^uA_V;DqtUk|nK_*0%x&-u)#{L_s$b$@s*GBz0PAS9DXRUkrQvGx3qbw3#B?WTqJOhSC!Gj+P#{cjF)rB9Xd^d#}l~ z8GZ$6jUXO7-LeN{ESuqX$7pnT$d;<-PBBrYsC=vsQ!--q^j?9RtSF~s;@)7X@|BFo zDmz|)Z}rD~C6mwX=T{5cgJ*=+Db4VljD-f;7p=Xxdr;P>aK@R8nZnq*Yt?t#Y?T7H;+wso+-usLsc90GGm(!N02F>SS!2T+s{Pps0aQJqcm$bnE+2!S#dRP z_j0te;%IHn`C;~DaSWbRab}g996g2G11EVpmU7>*DfP%26`wx@J!T3#?c*@2qQm)DR1x@;tXt>E zxNpf=?A*8d8p=m)?(W>b_{PC`f|F9Xy~;^obF}szRxdMluVYWHQ~PyR?=bdH?|DXB zG0EXZIj3EJTI*%Tx;w3?W9=2l|7$E-SFVMj$81zNf7t0|`&a7lCKg8zgt`bblm8zF zl25V@pLxRrZIV%|clb@EG*{q64pLDOj31u>;XtI@c|`P zU4`38mM&>(me>@W;+BG^BPZR8Qgr*zsvf1;_2oFvbxSR_EacqY4~Mq~Su!5`&O!{{ zb!KrMW{j2$ye-Po!^Hb!OxD3KDIA#(96i{wXekh}`V{y5Oc@s+Hzf|SqKS2!53pj3 zCwLXLaH-{S9)m@I)rzCRNV63Dzc7xB{q!~5rE+BKU%GkX_rj)Th~bVs&pUd4v--kg z@W`8GGyayr)a)^Q8$T2jha4+|5Fbp!lvGbK$ui0-RU}E4`V;!yl!3R1$Zi#hvaVm? z8tqAzihp9t)WdYtN|uVR%WNsUch~7Jd}zp&;d}@LK!PP^=PgU7WC-|%VT%-9dmE_F!O z;WPhcO5EB!bF?ipVu&iEm^l%C{-M$&>&6;eFfyfy!;Pn`{X@>TIb-gnpf=iylPo=q z4R<_ujKwkcH-?N|7v4uhyQPJloSncrR_z{Z37WBl;vr)#prug@Z?INC@P2+v#$$z% zf#>T$k2+)zp6~2AOmOa-cXL|W!nvEgr}ft|s|Ojo-f6`)%PZmSi=K#DqK?`#AM%@lY#)apUTT)%kI@eBK2Ev!93ZIpHPZ$jZ0 zyN+MDzp44u!TA~o=dn&JGOgOZnCAh8SZf*h?LT;T6pgixg-2VO;kWH!3YH8}wQPpx z^ejD$eQ(7&#@=%5SrGb;VuHsuSWmEXKJwrw&K~Q#F&bClS$#+IYNw^{?85#v3g

    tSm4*ykb6Nj<(5X&Ia5MB^To47>rO z5Hj#zawVi z1HZcMzOW<~!s{i-%MhFR+>2k?TA}S3o*HFk1p`uI; zqF=KVk%$H*L;aKLlBtr+GGB#6X0CZi=1@eY^q&9vp0(Dq_S);*_df4*?|t2KKA-cP z=Y03tYp=cb+VgNqJyTi-nnQ}Ql)m&`s)=$wG@d}kXpYccm<2rzoh@JN=%Fv^kOAes z=+qZl;OmjU2YJfbioW!8kmt2Qo-=|xClxf*H>Z6HdLb>UYHu>u9O3`DgtTU$sP*DL zAhHnh=zaYp#OsRzIXxgBE09h-&YyE8{RP{2P2r19V++Xl0&;jjhStXJ3+1zF6i>GK zb3zTfIfbs*)bh^mj#58a|K^3hM3+_%uUdDT}96(&!0jn$1l|H9%O!jD!E+d zsw&q2r?hRz7kVNql)`EHM_D~<^57H^y+Bh{dOEJrlw-m)+7zrMo4}8$r$+bbd7u5?r+1F0N7f5nno%CQaB19`^LYiU)yE13arx%=g zUsBGws#cY-;FIHZi69pD>Dfp7Own_xs$P1dIFBfg7k%fbh{aHQquA@J7i;zWp!t(R z*|KQSf~7M=#tG5@S_oZKk8suk%jrCV^6}h{UP7l4dMMEsEDEM^Je~L1yzrvaMxy*g(&Z6|A zK4ZbBzbeOssjXh5U?;6ul+V=ODD0<`K3T}zUwx&BXKb>IFe&KUcx0p3E9giR{7Ok+Myp}I$ktyG3{j(YlToko>}Ctl#UA}cZqLbD_>4zBRTE+Xck;jWtBBg~x{whz9t12?g z+Qtw9+s{jdufB8?9O;5gprQO#Sf=H5qdAs7`kTih)*$rVZJCc#U$lp=u+>ibNTJoL zx{T5*=S<%hE@}s^L0D=nC6=Ot3q7*DzS5K9bypobh8Y<>nnlIx5E_RS$Ku9O@~|{Y zL+U9Ie6dI`=0hX}&7wk}dZu#*$Xaq=RrT$xu$!7Z({pTaqflmUL<0MCpG-0J$Y_my zv6Az!X7pIxxI!CILQdaY=JWlvR z9FJT-ed*pptDHwYpRzw_y(G|a&Q-38bkb&0s>4NccQ#1P2DPbYz&77YSiR8nDo>uuRkgjeJWb}K3u~b@(nY@@rL~K38>)AT z-WHu&CMCLZPU-uB^H)N@>5oB&Gj=rok764di%wcC#9~5-={VAAu4fvZE5@U^wcu%1 z51elf`Z+S_XI7sb@H%{RNUx(qdAK;~(EGZ)Xxq@2EDFujY4ahKe-k)wA9BiHrGSHr^6AAf*clA zAl-|Rr{&!^qZgn=9QquB7|qb;k`U8eW?HRUSPO@o({MvQMO)yBmLUSC?2 zW{PtOQ`k*F`?8DNP2UhHICs)*p`E#TkmnCYnb2QdTC5uCON$isVB9^_G!KHaG4oi^ zhEw{gHe&3rmA)+e9?D&3YwI(zl;!A9%@Rs zuu|Hg@YN(wf8nbyZCxO-<(a}cLgaSsjq^DBWbs-<3Fyf&#eORV839c$VJH2x2&MZ%pE9m{!5^V=?+$jxfwuAt4|gdPTzA0eLb|6y)S%mIJYrNVbF29 z?}@*1F`_mPkdb(<^)BkAOS4R{-m>M%CwIZ4#S3kK97zfJG|CJFbgZAROHWe~8nvcI zKi+VGhHO>%C}Lk>P^8naL-q%xRk)jkf0K~aS5=)Ca^<};uB9~8UY*W-a*fd$q(Pp` zU-Vi{b>GUzbk?z!uaLFm8jD|4A^nIIs3oNOn@YJa`Vv#1Dfc|4^!Gr65k=2uNmLF6UqBuW$SnoZN%s<>7w5Ptls4Ft4jR=@C+*WiY4ZtXOHVFaD0{h_ zo6>SwMZ+{3245fSp|m+tIGcpAw7HdNa;;jN5G2Qa?@-!&FO)XF6F%na+CpYJH8I3= zGc*h2;+W1Ca_-H+m+lmRN0xByf=?$!K5+!N?3<_bdEsLkEBVmstRT-b8U>LjrBi8S zQZJ6zB3Wg?$hFjs^fZ^sC?8OcSNVPFPI_AieUl>YblOkQVH~&7ljtn0^$I?n20pMB zFY}dz=P!gkI(JPo)G9+^M*8Y#|0lV{q#vc@41 zLx;56oo@KV#aiuUjZmaHGw>U^ zA6lmu_YEoxReibWzc=;P%acNzdh6o~&P~1bQv=QI0r_=6aAI~I3tG_; zXhyHMzDno?JV+%H2~b~BCS;WpBR{9|0y2XLNL8JQ#*JJ+It}08+qlS0ov`;drESP> zt_}J|b3n?kkkd(G6mssXFI`4`s~qXC_9<4FP%k^g>pp4(;z=09W z-FR&*6twYw(s~CS`=ZZ;)|Vo*=doBzlhP+c+`k`?_mXb}wqH{AsOy@5wp{g(@ob#cv(*o(FE2sv^Y5FUivYR8Fv?0~79{Czs0ua?$ ze{~S0vZr~0%EKHvRm7r`?iXLCpOcGtbwaC&#?^z3ZCA)cU%f2iMLX*Yc~aUS z=ts}PN8H%LF~gF_l+L^=LZ`Lp1(MRLg*=_~i6X52s@%gH&-b%@VfxV#dzFy18bUua zguWXX$c1=S)pgWH%8`_QNT^3Xt*`WCZS+#(&(C7le%aS}(xI|qWHP;atzIsnTfBBE z`AQOUo2ue z)Y+4JLj68cGf+2%xIab+(j205dJSy`>QdpO_Cw!g2=#lJrcEOJ`Hi^2#v|C?GZZ5oti{BO-jEFqbqAt0pw|7 z8{nl-{+w3y+B)g8Qd@x+VqxWvBDDUHrdaZ@I%(Y^^s3r9l$md)Qr+wD|0ot7!Rdl} zu~y?su=B-IK*ux}tCueFcqnb&5NO^T!un9)j9Nx74(qH&&bc<|WV~X0j8CBfAJrj! zNvE)ls`|ivWiBYwv@b0rttRk-JpEOT1{1TNzwjE zX@O8~>=(+7gNH=3b-{<_&tp1r$STAt=Ui1$=4N?vt+s0qavk=TI@Dlvnor?`{NDJ0 zYVe^C#aC0gaas|2Rqa!>gq!C2KN04#PhsW|OX{6?7H%(d{hup(N%XDNkei;NjzO-m zPC6pw_tk=gCkH-nDfrN?`GOCfDtTX7M!h}g=hwm4DIxT@7UFGTBzf0D!cIC@w2Ihr zS$9g%Ph1OeN)fM4DkZF{ky;D!hM=EIf_~y!i1~tkPL!CM{oE1s)5}_j*983>S7d4WY*s6k7-V#I+(v2K{`hkdSt* zidM~j-c`h_s^VIarKTz2aMp^{MMSrh7n33gj2$}&5cwqD;?*Hy=g>RFGh}) zmIY8CRdr9nr;~6lXrAu$b?ic8o%9^dv3i=_gtKb3s?f&HX{zdxU6Cr_}Kp`&-ZF=hmy=9 zq}0OgS`pR<=bc%3tq4xM@wP$6QXsJw#$de9DXSJ%*Gab&Hc#hBhf?&)V*WIx6@)Xm za~?dp;6o!qMVZOp5zBK^U&9RDEk#)L^}|AD+PM(MGhb5W(37oY#h{;T$$-!t5NI+s zLK9E-`e!J^Kbd*s(4#zYP0sFt&q0CDl08Tm&-?mw@Dh>mIDUwE9V2gcCpNO2MwM;EG$JNo8Xl$ z^TqFjMZ7#W2osCffrNOPyGdg?!n;x6(R`JosWfgAe2ovBsjw-^7HTXP+9+vg&l-Z3 zi?xAybB{!tIMOvIdCB8N`JJE~sjBk(Ahhq8N{O7NXhz^o^SY)y=T=pD9wUv|7xql+ z>w^9KmMk2$toef0#uql9(&~lvR#iD4N8k7^Y`&8oEkf_FXt>4I4xRL&fDlmA;K}*x z=YjK{LSr)jAkcgb4CDfzs`_qNA%L?H^a4#v_lP!tG7`T5in;)ohEB1l{W8j7{j5M} z%|AQWzs&jhfW-AE_rL5E8hG@bOV0!is}BxfGFeVYj=r)k`yIxg^`&-B%N$U*dj zo=eV|-{6jm^+VNZ(Oy*_7CKek1s_@>RQOsSL~^mrPv|Q>89_@=K}OCC$a+f9^|fH2 z`9z=@+B&P9LVk~&=gv%sWsd8|P=>2WOtYfCc4N`Y`mro1(bzWvpL2sYHVZW03N+xX z>Bh`OvAU?(CJapP| zP{@PUv8Rc<>gb!2h0L8)+6KZb`RJG@B;eH9q2SZxtK`#3*9Dnly8l*a;G5$fd+YZE zK4qIWCEBP|q}MG&N_W&>x#syqO^$C~0(hDj_fm&V>3 _vMID&bgCf+~XN#(dMy} zBA1!I(^nwunuj&D(>rrMO}^9}Pqvl=V48G+I}pxsc~}I}#Z>AGy?&3BJ&u&nIt3rl zv9)YL%yZ67>$N^3mZ0*`NwXSImk)I>igb@F2}>F}H@@igH?7zD4;ou?v3~SB8UPtN zRWxSEL~0V@O=WJ<;Yh7{`>TXwVf|BdY{;WQhpRw5xi~G#^=$OzP$i%z$F!uWs*eR7 z()4@xf-jnTbR^ z3a#AlOFPPFj_-j_2MoMGX4k=zyWBUY+y)VRKn=Bd} zuWbVoX-YdxDfWCZ!k`y(-cw)c$;hM-(*py|ZUMnb8hSCGjrEnDj9_F^FGhCNS9&t? zxq!qK(T4|`{Q?qY-Z{|hACMS&IkrP5=LBD04@l$_ci-^+~Z+J3-(=ruhq^wg?LtE?AUz1Q6(xHeC!-<2A>0;aPMqUFQKkiIYVc4#oa68YpU@9qIiF7V zFbVb5No9@SG~!yuqA7PrnylF(``^OZF32)-^4zTQS^t(njd^LbcbyU4Wxc`_iEQRsRxpZoQdo{Zcm2x0=HQ=guv zWI(Fw_#n?CO3>v~_KxY4gAmi3f;{D#3R-m^v@u_gp{qz7uc(bGt7e$yALPZS;D!>q zX`|e2L8nUIQ|7p{LQJm;u{b(d!n=d7tAnq*X^6qc>mhxmC&%KNfW)|u$I!N1?CSx2 zr6(h|2x4)6w4kY~wL)4n%j32B(Gb%uf;{U5nmvOy4hhp~dXmA1L zxL;Q2r@z|1NK-nKM|{91d{xyAbmI*d^I4c*x=2cx-^oKyY003C`9mK34k6yxP9%>< z-Vn}b`%DOZXycF%gb~GG7cosq&&EWMtodF?I1vl=MRV)pe7rhobDFsFF+GCj^}Mfp zinw>uCZRR>VTlENa_U@9MUtwBQ*dc)4U&svanXaGCZ)ZCg`X5kn~%s8riC?$LeFLH zug|nZP)gI6mMyHesb!7 zlX7BhJSdvCuqK4M;FG~xu9A@@@M1lGsL0)&w3p~dId{VMJ?VUaPy&2Bg3(nM%DRh|9l#mDS2)6OZ zK=X})b0;lWqy?R3Q_#@2076<|uFcE*->^d9-lFtN=`9jdBmmp$Tt#`V=-n=S}nvafbuPVy%J?uU*OY z(@6^#B>=A^1P{MK1NnHRp(sw!I!1GSOV7p)KoI@~Wa zdXDM56c&8oV&sAG{ANh6?I>7+`l_n(9P++|Gta%XAYSkRltb4y<d7Y7j~>v z)pBB)O4D@e=9RP%)`xymC~2V|PxFr_yf2g2!cv(P!F$OE?L)Z31u>=XHx(zT4yU#3 zIZvcb2r2=>>Prt5Ik&1}Yxx}7G@JYCBoRZ9>`G+!C(Y3TOIG zjkLOrv$`8no}`*mOjERU<2Q<=U7JEZpWnjtr}s}VRI*?wU6 znyx@ny^6r67a3ihdYbO=<9*Exs}X!GAhSo>bZ33M+R{C@Rr(GBrF)K4)!DBr%b&P< zWZ%%cIgXU&OQc>_p^P4%BSO5UeO@k|c~!K`tFXJAPKqdKdOLTwJWXP#e1^6PCF*&k z^wX|F$!ltq7Hem#a7} z-dpfOSe^9$=r`y5>Rlxm&+jeICGKsN;I#QPofTY$HB_2?$exj2FdC#FjiMLr`QBDz z?oU2_x=%Y^P5Noe=eB(2QVSNDTNK_s!o_1w)3i!3o(Fq=C|CEQ^+-7%IwOc^JQ7z4 zE*WN}Z(||rUyj8M0l7CI&r;}mv9J5}m7a{;C{0&-W#7yk${BXw|@ zHa=cRSXFz5G9jL-9aj$T8Y}@jH@$xD4RL=e#2s^yda>rO(N}sh67&1(g65wNzOEL; z;{HNGQ&sB(OK6tI$LrO)a`eDG}lsT3yr}6NLBrl z{^dICq<4usP|$R0%G_6f^`>H;$Y$-0^OVBI=#-4mYuk(X=b@)`2*t}IcZC_cqi8tQ z(>x*l0-zjI%w*~kyOK>UuA8Cwrq1qVQ>c`)P@r3@M)%%|*!`ds% z-CQK;uBk)cR;Issns&NhAk{SObWa>rU;SZaNGGiyI8WAy)06G_D@3Cp+s5_cOT$X( zlrYn`ebC`zWMjF^be3T7b%2~Wp)#km9gP6%#i6$=NLi1pjdlfTu8q-KLHf;*E6)hI z`^I3sdxn)faSaSLTU@^Ar14_#n!jRw(O>;C)E8K910V1V+u(KP!b64Er+PgQM5jyaMN){y5i z(~Nx4uItpNYU~}*i*wxVp-uAbAkRXfjXg#yRJ)u#WnY zEL*ZR?x2_=bu3(ho#8s-Kh=2`im`6XZEh6-ZAmH{Kig+!cJCLDYF#r1W{AQJFjG zs8DV^B#?Z>$Z0xhkw9~L!MT&(9&F=- z1r6=>2x;-VK=V}C1GP)A=VO9ALtA72N+>tpLI|{BI&9b2=jBGb#y+==T{WA~lXFwM z#-3He<>4(MpT32h^ZYfMYwQm%+L=vfe*Pu2{jMuYq)x+E4-OCI#+!?psOh{)d?i^8 zhOGs=DWMIZKxl`WyeYz=;|&-4dMEw!zBVCWIYM6z6B?kL7S|W8N?KVBmUJmil)0)f zY6N$q!Kn)#Ig;FKM)boH;zR||0Off7zM$zOoYCrO_7YzjRwrE+>XaP|nv`xWQZS{s z_UWOp+Ig3df~P`Qxi}Va|Hbb@3cgLf(35?|vk2y+SST6O;LXQf-SGrX7AZlPBKiVi z8Ut01urEc8bEL@;mN!R?5B^y!6!!>xT3Db_MCthn8q*Ch;}b&%qBPN$X-va1Wa_@) zVwZ)kSntSF>V=-{3$02o^9M7LK+{QEX7OiVn+IRNFWMxXG)Kr6)LgA~V{v~Mg@rdo zXkS24Mpe~^NmfsDN08^CfY78_5te2q3oC2r)!L}4xQ`5@SUz-w1q7;uHmZt-;_5d= zI%#?QrAx!=Bgpy<*_f*MmhFXb;rJxrW3}#Qp!3dqSY@Gd9L}C z0Q^7$zrLa>r6+4Xj@O~q(~D`gp;yo6c1cgrfu^dkLl$qE%6(~XyvoHi+6Dl~NLgF) zo)I6`t-@J-rSw9m^(2`3!7?8ed_|g}A=-x2nDYKg<;9`zl9?+bpHc#PGBS=N^fA3p z5RihVstyug8dhIIYez4p(JwXvWn^h35QIx49~R5Ng#15_31?k%k$DG_Ntx#yaz5&g zXYVv+D|e{2O55WKt-^i~ca?{}ekgCI)o80%2Vc=vG5q7TiWy9VgNx&i-4^_=h`t#O z=3y1>U1RFQ_(TLL=q21UBjT`nvDNb_7M{=jK|hZRXVYr5)k(q!qzIj6847tii4Kz} z!lGptg?`F0>UgGZN*f1XSx|$p%;@Xu+Psihq=s*sQs9NmG>BCoq>KWIHU1upQsqrW6 z=qM#Tk`1nigyWQep6u&N`sZU>o?F;SvjxsuhP5K!rEY*SXU@mzD?J%OuU{`lFv8%G zd&8{R^ychS@L&?p>t|>?JD}CbCy#q4A*Md`d4oj_Z6{z{soN}19(r#(R%Se(T!&5l z!J*q&t_}WfMNGk*W;hzlW5JdH1l*BRI_WqipeK;3x{z)KIXCGWo>N0;`RWjS^}_kp zN)t=1USc{k>N&Snj>AYGaV!_SJ!fdcBavo$BF!>G0$iMTX2uj``BXxEGnq#kL7G7u zS(B220773Up?`gsxG+dKDTKZqhEwHYK3C~0JsBxa=T7OiyUSQS5PUrx zkhmw}i9oYh*bfp<{=YT&dNd%rhnSuk^!$;a=j}u2(Gva@!n!QzdGQeXBSFts2R@$- ze72O+hd|0{@%qqfJA)e8%8F@j47mU+y*2L|M7=M4!;|^kl69pRStui7WaWUY6vB!-N#7IV9?u-c zCzO;qhm~b7k&rS$K{Jnfp(p!VCLsR_v#uG5__{On^>7xhUd;J3+2MVRtV7LiPjh%! z=NWVNo*{po7W5O(>H2Dr@cq=K_o2sgw&&|1?$3nY=$aud;wfRD4LLWSSi4||*I{8j z+w)|;sDTj^Xtk<-l}&d6>7?(_P>zr3xxrTV3p5+OQ2IJD$fH~}^pxVv<8Q;r(z`Lh zDi>SA&LpRgMOHl5@#>^)Lkcb*Qs*_q$8)BPTOgMg`bjB%&+ex|8@Gqh-w{Tit_flN zCB$^iKod`GjVC#uqLHE}Yb=gXEg39fd=b-5+9z1%X<6~doUaM#^&A!ADge`*5NuxE z@no8JhnRjTAo1MGgNepl!u}a+aHe(US+T`oUH5D$;ejEnn*(xZNS%L&xbGG;c2)@e zj-a2TLs-Xzu>KNg_6^7_Azqm>M9(jTy!e>>9ou#1!r2Xj!AL3Si<|sTs#tM^WTJ= z665vxP{+k{Q{$lF1i#*v>a`ig9{nh9DXn zrwK|=rqO%@2S!>wvyxaF4+I;d!nm-yUi3VPn5wS9xvGxiVCr8WofN<9ylZF)$Jw_{ z3O-aG24rY+E~CrYm%TVY%Il|>dA)d|=5dXDR3WVA(VN%nRh~TVO|w)pBcEJ{DV6QR zRIg6=G`-9n!^U!Lq%^%P0Ww1ZwvE!}n^xg!Eb)Y|{9Tba7MD{^&DX3<)6VPVZCY4@ z&lk;eWS$0A=aI-~dLqp-Ljqi!3)-BLmp`l-{)K+x{-%`5@w${^3nY$6Z%a&J8{o~H zu~tMcAnnQ`ub*C8Aib{S@p_Ie5bTrkId`Pau$}QMf_%J&E>Et*c4d**hQ8t1ma9=4 zy&!E1q-}w4-s#0!UR^XOqqz-G#;doLMe+RAxFRT?iu_bq+cUJ4MZXGbPPWS$K%AzB zh7rY8!ity2vdFV9^hWh!-x!vVP_ATbsX2YZeu6-PeL2hQINdLD}_Wi zk;;Ug9Qu5r92=<>fQN;-<0Z1G5e|LEt^nLWq*t7+xHQnj*@2$~noUEx$Mbt}>YXMB zYvU_{&-DShM7?xVaQ#4Yc9_}EJW0Br6y`?bI1)|c7ZUCgkVzS9jzzorkyXOlnAui8 z9-0kxv4mNs6x+Z^mtIU0OQg6f^EmZFPxckhxjiM6NLfQqeBoSKy_nC}gVn{8Jr*uj z8Yl;TGM^lq{>Zgi@ErQKAzoRrA=-$g&FR4xPH)hQIiDD49-TueGSZvh6mO&hgw}x2!B2y64TtYNH7WxKupy{O7&uy&P*Daxh z+%AMQdaE&~gqG)BA@upOrC==c_JJnOO5YyRYfp>1=$Sru+`X7{FY9tQ3#&{&Usy{@ zdjy)hf+ft@b-71|TJNzS;qx?-tO{cN;KT`!>=AO)W#WeeIn)lT2aO#V(qg1mXm1@>XumV$l%qrF?FwzSVYc3O zg?6rurTL})W&Ol5p_difWyzn?*;LN^a`pQ~AHS1+8*Fu4s87pX5>yINS5w7inK$mC zcGxO}b-Xg6C-d1S)J@n`=VMX!@#)0BP#*pzv=ep-VZAOOKMoRpA<)Fsxjn@FfzV$4 zSm5*15L0Sq7Fzvi@b%B2`HVz#IO7qYUZp%)#L0=%9W>Ebj^td}*VOnZrEwk!tZ77=Sim|C7QHR?GnX{&-gcbYmF}=R0 z!qAgL|4u;SZsphliRDks?gM@MKKs-0Bw2hRG4SaSF5|*v^ zl!&CTs67J`b+}Im>!#o|O@9fWtXnBaHbE!I=K`NBD50UQRbdH{=IIQYTJDZxDZQ+| zJ}z*M^D@0~UMbYVF)wG00*QMsoa26nk&?OP%!$tyHG10kN$8F0H*FFA)a;pM%OxB= zB-(s0Va55TUVP2UG^3~I*|LJ3E$W6)uKqG0F)c0$V}p_AhOFr4u&&7}T}FC2@8X?V zRm8sTTFgRY1p8POVkFrAEsJ z0;gI6^ulNKYQ{}8nq7^>mT)iiSNt;OdjjW?+)Jg*Idz66bF2$SN*gU_P9IL4wr0$= zp_Ys1)XJ7uE6wQDjGxX_HZ`sGQjW!(dtBfgW6=xew(r1-$Woefp6Ma5N=Z_Pwxns0>OM4Si7Jc%9V7MuUi zwNZXAGUuW-BcGr zrdflY>;uTR=?Bl()0k|h%V$MG!0%4F*&Q^KiH7;$jW7J0*4yvMmvQOc-)K;N0II6v z38^2W-Wr~7Bb2`-gttc!P0}CJoi8*Pk!B6Sg%$|bJ~@Tp3-@2>HlObm8k%m)bc<6i zHI3L8G<{pwC*Ce1AF6)~^NV#FrNm?7kOE;YM}N@8{CC~+R7r4RDoX-BYm!e5ljAw9 zFqO|bBnk5YvL^kgi|Okia$#-2?UzJvR0Pnae(+rw)BfoML-J458djPo39%6WAv7!3 zG>9|efdwzi}xlhfOTg|kZK}ko`Ty^<}^4`in>;XUt#Fv&uc(c5!=(K8agtE4T zg3v4!@B$aBYw(7`8ZOA^><*N`A+!|`ww4#X<^jBbYS_HN5GZb3F^1UN04`{QFdg3B zPCs~FSfluddja}sD!IUY3yKK zh?OXYLJCM^Eid>~-7<=haKj=H8d3*-K1ie%r$3V`xS_Si8U@V{iN@me&5Xw4WHbon zI?^#hp=0^8^f-|a<4P}dfj@3uF0OBIF?(22WVx<>B5t5tOP)ZDHlmPcDWZWqzK!%Q zLcncGmjm8#f!4Q?piQ{$Ot*!09{qc5MW$hYS2XWqI{INl z3%{axHJp zAAKDu8Z9?qZKy}kw==y10R@`q{10!`?5kV2kilp%T`@ckXqSV-(E50Y!SFaBKI_pB zp4Q67+s1Tz6nfa1^Z?Y4>c5tp%!)8W1Db()g5U+|ap6B&dZulR>_RKNM-uM1!52^P zHd?%mq(s=Ei?Df?OS#`oe=LSbEz~57l37?E?U?~+RBqT2e6CM7%Z(7M$BMUU^=e0w z=3-(7d3Gdow|?r0B(9>YX{6Nzx}h2Lqz&!0Ck+mu9U2Gt#*KYQ;Nbh$>N<&HQM#I> zSji4o^qM&>;SUS_6g66Wy$Pt50-yzg+eZC0?rU>oG|OyOWZ*7HlZC_ zKOG!;?bA=2c2-Y|36d7p%Yx4E{Utm-x`Utt=?pf8djYXC)S=+?Q0-S;muYZif1ntO zD{40QU4uB|KQ8{KZzwH%UtLH0YT~+1pZ>HolG@qs;}%m?n+Wd>TS1%ToWsGobmygpDqhy(v{UqLtHc$<@M z4jFvb6(7tG2yTqHg7#%{wG>AAj`W#Ux+7(7qMO6S+wjv?B|DPR7Lq|nmbX<$Xp^zL zpjnzcq3!s0(yO6w611;teW2Z+P}32gfsZgD{Tbw6FqrwHvTU0O(i{j?|>{Mr}jAfnnk zrG=Z465ycYq)*X*P9LCX8$(J8Ola{23j$v&y@5go)dO-x0HNcC7H0-|5YIME zMl(&`kL0d(49i(m{vTVHW2${v7;ZnOiTV=glVp3wL340(u53eVH3>Uwt%S?(v6kPo zCbktfd20G`BUEW9LHM)+`~$6)DR8wnv|v#X4Zh_GOR~~uRpNs(2_u+RAD&1E&>g#B zLK2n6Bmn|C$Y^oF8`=%(Vp5YsO^>Ic;5Xd3Ak1}y&$L?6kQ!tB@Pm5Ww4o?kN+uuv zLq6a3kF9giEI~hb`q3@4Pk^3Jq4Gbx%|$=fW`H#2#ySQSZg#^j?oG)N=;?KQ71c2T zv~ZXXH0zLaO-p_Z-G(5bn>pGK5`@<1^4%6DXr3SrKo_b}AT>%qCi^!CHSPxxSNP!3 zaL5NAzY#v$IqpPS)2>7m#^H8Bcdbzk^ZWE>Eo!t-5ej@-YjIjWk@icFPuM1>Amju3 zBHcjeBv+OMDAF7he98#^;l@>Kp-ZbYK&}4+R7a-KS7P7Iv9Vc-E}T$9#Wz-H=ST_IM8dmlHm;( z_@YE*cc9%~RzTsp8{I~$|Iue;6fs_ue(;>^P@Fgi^u#WTxUEEQQ;*x&=;=W(h|58w z`&UC;kV+Wy#}n&5EoHYR1SraLMiKAvqmYK+J8(;KXtn|Am!ls%ea*K8AwbXR#tm<8 z6?7zN5x2z#)S2%~&AfR!*5rs=o2NB*pk$cwBT(5051zgs z??=1A3p6Xpb6T{Z{}9OlKQ9e|EwILc-Y)2_^xwi9 ziCGD7AKHAz@Oh$CtW*e%Q^_oFFJ@O(&5S)~acb85X7m`Xb$Mnbr&o zXfay?+M#p6sr2A5t+^D0mhU1kdE>kbXm=-_f$u=`BhVyhoA(m6jf@U_ds)c^ z-k?SOX7cJ>^Rzg?W)`C#JV$a?1^kwydo1zA91i52+|?xB;%EZU^?Rg6>I^(u>)Yfr zdL$b1;(|quwb?M=n%IV7fRsAdrRFMv4xM)T&0;|M(U=_>Dqo*3d-uGJMeVA7&2?~o4{@9iD8l`|bKR#1w->*# z0L17^)1MY;0~uyi4+=5Hxj8dhhEqre=whH=5@dwD zC>464MLY0P@v{f{;ZhptEp)R@;|+Yx4Iz|oz(9Exalupf0io?{`+znUR~R$yi|J;G z;b&`cy^H?C^Fr&q|FVN=ISJ;zd zw4ed4jTV3}%3`2?Z;tk}(IYYrSlt9#Ue|B= zO$HatTY?|P6-*w;43t1Yq1DUjMjFm~R=~SXRFn8FIkaO|fH&QPbeHSVE>E72*8gzm z!h*i+P@HoB+SietT1tP7P_u%4$eq&(DRMgb*A(HC0)URCdD0+^uqZP=)rX3 z$#zdlsNX2UbxuL7Sr4E=4G+!z)amonqR*c>^cX=u)rjJ}VB>piBkiq%uG*!AG_VTD z>eux)=PyN@OBa*|mKQPNi#ReZ{^14(vkIIsZBkz?`h>06IOTUmb9;ldE+@d}dxF~T zIG~3Jx*teuTEy^R;_G7w6k{qLg)kaJU#=)R+;}4d$oW5c&Zw9o{_E0>_}k1mq?=z* z?6x%xMqRZWOgpV6xqj_M53n2D*e3z&qpVq%i(e(53J~xC>c>RUY6EKJHfZM-6uM`3(BMXjc%5kK%?vt$gmaQW zP|`@YAfXeqn84r0;qit`Lo-bcue}O>^U@EVepLEx654IubOFr-LC<&SmV%z$MIrAF z^aej?I5eKE0ov_^!*3n!#|1sUi-I3c6~J>Vhn^|4TRQZt0nraQ+7A#@w2s!G%**;k zD7R5)K$mss!-9@?=p%xzU8C@g`_J^ln!uaSV@nglRB$`Iej_HezfDOxggnq3oYI~w zA^X{*-wKK|oh?SlmEeoMo1y5}0bSFf*9*FtLow0|+Rr-ls8QgH_`!al9rQ=d?g#FV zPOB#ZzVp&Ol6;YKaid3zvP*O3t`wM+n0?~35e+Bn0Rsj<$K8V;7;F)TM=F7`gH>h78(o z8lB!0B*s0XdXcZD7($OnQX1lEc7(Te=*H7d$HtrHQ;Z(7^#Rp($H`q3wy|8W4WO}4 z2@bk**tdPwAQ!m$vI3*#KrifuhPSN*^(`W-Rf6kS;0x_6*r>CyR)WN~CDLFQNsCF{ zbemBn2y!qanttOkqhDr>W}Kj_BPiAIyrG+VcthVGPa93bo0byiIa-`|1lLo$=wM2T z=CT85FXIo;PRqgC4zUy-j3$waOVbaYzD2PSAwVzcrZL_=EGXvttk&2?&`DhsGQ<|e zU?dxU8bjVC=?BkVYQzmB7MFHo0iPccw3iyOwO`JgtsZ7YJ)mUPF@(X4EHux_JloBM z;5(F&g;yJ2l!!m28@)7)8Cx9y7iekp$BYruNp#O>8FW0{#&aPVdh5`Gp(w%UkvhQl z9CY-;`sbjXKsTPg@1eb-v%6Xc&3K_5NxqPN2MPuJF-phj3N*IFf%Y=W3tqVAAP+V^ zwz{BO)uDkGXlA}KUr2_ug>BK3#7s~XY23W_u~TCB|jhj(;Qyy@8D&E#s@#@bcb zxV4c%yOy9fdIJ>wYtUZfIAClGu5dBF7@`D<+fZm82u52Bg=9eCYA8lxfV9@3=>_Zf zJ81=)UVv`a70?fpqJVO31g+u5oB-<(Z-bvbSez2kRyRCKOfVjdIRy?GTpt&7ks1Yp z`!V{Nz9Fmq&~(L^nW2EDjv8a~u1kuB6@1jq3+Wt^pDof8%8S$)!qKiuChC-gph(DfVVU7TDj-@$`u_&+34*7A3iKKbN zW@s}V@cAC$Fp_*>>#Irrn7QVRAX)Pm(abOw-MoLVdA2~uBAeUhXOi}<{#{Pe*tgB6 zFW*<|><04CSelI)O?j6UJL{z^i9I+-wu^9>*%&}0)hgC<_&#ZXot2I8KKP#9AI&X+pIjI zhP2TAle4-WbPwl1V`#7!=FaCwh6@Zw**%|�?oVFf z8{-4EKXU_dy^UPp%A&D^=o98mL4v*gOyo+x5!7MSC*+91u zKkACzq9!ZW-h&FW-0*t|-R6q+FiB4k6cIvE`N=(6t2fJTf~YcK2}Fcf7YIB;q~R@^ABfpUq7f4Fa^ z+v2p2&^%i|)u+zpAr|Zl63<26nIqmnYi_f)onShYD)DJwfwrLBHxa=q}Q4bWy|tElc=mwZnQa z?V!Z`0%->}!Kn!Zw^|BN=v`t&X1> z$Ddl+U7fr@Y6imfJN`i@T6ZE1On=}C3h2*Jv|)f+DUx&G+FAKRoA{5=j04IGpqBr@ z;bcK~?9zfp?d{c^E{NwG6j7wsKy4n-)wJ|fT1J5S_7M=!EI>baKG@YN-o}aR6o=|4 z(v1#XPiUJ*hW_MeHxSxO9r`{&{Ycga1^q*p7BYX3-XNiI06I}%;Jdxh{>IVnAn3Uc#i?LH&NmvjyGS(Vj5` z+RYt@bA*GJY%>xMd1+L%G8qzs^&I`jfTc^@V8g}7ZW=t_?EZb4UfsPbLZp-&3! z5)OS#(D`c=A>sC?ni7fNa6_rVRCwFly>W>MdbsUNnnC;qzY0S+oG8-m=wv=gP(T0v zYe9E#w2FETC@&$I^_?IuN=BgL>9$n5PtesIivA~P?c`l>z#1o@$Rox9IRz+IWE+Y; zJkUKH`u_y|vO^CObS%hA$&iqAl=47pAPc=m3}xi@P=4e z?)7<@+Y6wY8l~!BAxYo6>!+g2i%-8!b7eu1kIed45cDR8V$~kPzSE(r3hGyzyjM`G zhv0JyLH*40Qi4KWlcANDwLLuj!iMG}y}}AUMK|jg=&^!+!l5W5L2GFYTCC6kdPg^f zfI>2$W+gx|-vrcJOh9*`VOs_2YA|kL%i)sH=aJXyjf7+OIlV?w6KgX zR(XSimsi*I`Eng!IsmQD^AiO1HG{_OLKm9WBENTND=+JHH1vxSd=b(%O1oLT7STSh z^`-MXbfUA#wiaQVY)7J555pU$hn*cx_JyY}Q?;$^Tk%@{UhQnMxo%m7c!IBQb8RN5 zjrxG5IR|`HXisvXY0cnE`}Ks@pP8|Npf=8h(B3bojfnx(@u*+B(1zE-17BT3HJDm@ zij$$ay{`4VwUrUtyCiO24_fO@tCmJ-jP+39gc)#akv7kO&ncnJC|cAa&ybvu`@daz zfzD1hP#PSPSOA^TW9^Uu_gIk^cA%#k`BvLFa)GP2)EPat4T+W%$;N`bu!D9io-Jus zAB%@AplrbUB>aCn-TV(IYFwZg$uJakE6`0GioQ*x)o~cqpT^+^q4lAm?+6aqKWnsF zroKe3jDiLwEXq|IodJrH0O)m&7Ci}|*ad7H-XrMc4#oI8IAJY=(Q0Xr-EoFugc-D0 zIbkTqRDdExLm@9vXw}euL0{)k0&7|y;}-};Nn@=F@S8|C&`ZeG_--hujaq`Xojqe+ zwX%6Up!b11??=~W&iPZwsiSLS zL0+`tFyD(-*{A5{G8O2tf_}oG+GDdhFwl<1%sIC&S)Q3oGNiH2>HGB{Xtl!Ck3bEj z+_8Qf_~{7snXcB;`F}r0qhrQ?wIbFlfYVr!S4Wclh}p6di*{a?Q?s2%W<9j_Fss>v z&IL}NI<|Q(P*;>pYc?F^?(}UF3{PIvyltC+M`H)G&hPb<&`4`vXN{9O%eM(eYgTF# z3GE`+Qc%>-F3$|JQYu+5@@hZU=lS&{#(s8r13`T|YR1g6k2QJ6N*i%3wP{hNE>3w8 z^FA2)=F$ZyM#X_*4hW^kLv-8zN~~ps>vQ7j_gDUyJi+x9apjX>z!f)6E`jTR#T8$J zw{XiZy8qC*>Q~vZ1F$aB;I-xo&dU-C&g#m3(T9ZVS0r4-(@vmi;|bjiE<`>R^orzB7cUp7rx6z1!J46U9|m zB_2tXT)M%}2I8tG0X;_VQ+LG)I_A2*_(g$;`vSVnRnG}h*NbXbXb|CUl=)@*0!m;V zlR0Wyjo)j<&t3Ecx0{K|;98tuP?7IlN6+Kyw} zfM#%Gr4M9azM3`*69TE)90A>o%^U&AzehLH`&PFKU{y+Zpm#WQMM3Xz=yHPo&7n&R z`jA7H6!bBN>ep|dbm$v}_GyQ{LD1(MI**_)I&@A!UvcPcg5rn|ws7bHrj8iT=FnHj z2`IkwZL}{6I*&u26Z8!=s`&(^eVb3le$DYYN>$hi%2T!kGMMfcXHi!eih2aJD5njD z6#yk;%uv_~Py#blX}PvUNVw51nz{^rMJB8^%AmUwP+ys3sB=JzTEWoy1?BMqm4R2$ z<>&svC(Z`Lb04~y1Kz$%{P6rLz&Zvwy01fZ_tG~Uda!UXzL4XPHZ5vx&d9WY-QwPx z(gLjrdemu+bF%Df=LeIq!xil<8;gNIpvVcZhzIF5Kg$pbSL8JFgVu+l@N+;Nj!N+Z z2}fHn4-o~+2MXn=?Iwh0uH|SFyQ;k}L^2>ej)9_Wi;?rQ>Kmnvl^njs2%RAnekv3@ zl17bIZJ=$Dvz@`lO*_5n7Zy4{{or|bSHE~$o^Ct`vySn0$3a(>*qR&g!OWT#SYy>&`TOozb<7fp}ok_ZY*dst>4$Ld*6@ga)1r*MQ@1l zIS$=i_^t(~x>N@3^-@C_U!c0@a7{;hgV3Jq_-;n@AbYE$y-{c_Zs4nNyU=k^on7G2 z_X%HHy8#YyW-6E0$3i9hz2o~q;k&Yv_g<0L(>Bi!qjv$%XOJJ(B;K~7A3V40hK9E@ z>Be(whn_CzHV*y0pcp4GzGn%#l|#=E6g$w2R{3u3(B_>Q&3skf(_GxPX^h+Z2}OCj zCKZh)!wsYzpqo4NJV94<=$(T4Gtq7pbU8lJi;=mW> zyYanN(04iXNRKMsCqHOi8;*|uQ z9Zub`jaMja0C!MHW2m;3QQ{iXXBu&ly7Q8eP}Afb&hsDkzuk! zV;d21($=fBe}QUS&bO<#7QP-`R!|?eR+DUBttMFy)6XU`DzO+@K63gi-5dZEtskIh z_wYZUYYA$3C`WJY(js5XK|gq6orUFCoRtd{`&bN}An0WdjeRosL`w-zw2_Ph&ea8a zibK(U0*aQC(e5hfFCB_nAGBysF)iYcb+|w=7H25N;()&3(76P~D4WshNH#UAGZcCQ z2LdxxY1>h6)_ObY%~tXsXC-rs?Vw*|Rz3Y@AYivgQ`mSmkG0+BBwJSG^>z0eg8K4d zT|s-P(Y5_>qYDjX6eP1U3U65Zh3BP?R%`Uh4qabpFL$Vp)}8Cn=F;dx!okLv5Vnr0 z`O;`7p*_LzRqZtE*NVo78bR8$Sch zWzi2xwK-N5#T5TVZ zT-eL0*lqiaOV9;HXYHy4&YNWNZCjaaVp=OiP{(Tf%-8-p((qtclc3e}9qw}I3SxbJ zbO!xG`0;#K71a0YhSHYveMoHuc~skS&FwSap43*G?=9*`gUuHrPTH#QZA2XlI@@Vy z8HuqEt+{urGWhn+1mWQOyb}ez#D%8qC@-&$F`e#cHEzBY)Z7#Pn1uE#$D!Bq7dq3l zXl_UK(hpFJ@^j+@+fH+6z8|1`{Wty&U_xyo^ZRqgf(f}L9W*RvDH~Xb)=zPU&1=`>sHPbJ8RdM@EqWb zT3>?NX&l|KSd^Fi52&6W{0@hr)(7po9f~>|D8_`01KLkO(aJIuZO+I+Q7;3|7zQm` z7m>r74!vGzaXzupDhD46v;x7`R)-+QSRDcsZ6xD>c^06!4aF)tAXa+f?e%nHRfe@o zfFcw;=cb$g;SCp_&k}z_5gJP8a~#^P*<%g0Wh$4YXOV@#O4>4&OV62a&0as{-+FBa zr4rjN(hIp8=mG%i+z<4hf-d3EhXq~Eq4x-iQGMfpnFR1fAIi{n4IJl^R==!=AB&ZI z(OJn41>M)7-xd_LnORh8zpTlhi+1*O+G+L6+QAqIN+ZqGPY^dO6mPwREAYt?9M9QTTQZ7bVUu?%%+_cMQ5!hSxe|Un08cW zH#MR&uO@q$*P9?I?`QCI77?u$(*xQr$XRH$7&;oORuPKM%8lJvVEk+~(iKLuUg_!# zDEg#8hqf{q9Iz61r1?VYy5f2G^FyPRhin`X^6HGu#a;aZ)zaSPB!FtY>1UvI9MRXY zngjg2w62Lf-i5Xc@dp1%4%Jel)g)_Y`e+hkKuC*b>+@}JofGb*T-SBFcepgx&^(8C zi44t_>TPl}q4n!3))UlQwc2{KrA~H|Z6X{_b7;Gcl&z!HFYBSTU)F<`)ouB}`ta?( zcAYtEr7eG14`a1{8|j|JXsicE+hw$RKBFP;tlh_Ht}n*g8bjY7YHm5|IIUkntRn)) zxmKpuro->~wEE=|w^_fvlxMnD*!M3cNbkUp`b-pbtgYK_^}tp#{QTD3Yxccc&E10; z_iXM7``&FkX2YpC@L2rJu1T~9aL-RQ0@g`j%@5i%_~wj#k>+R`zJ%+4#1-d_+F71@ z4k*Sr;rBr})?C4PY2mD{-v&w7Rli81u7}sIU=P3gRhd_ahn-3aNpJ%N`FH5YPBPUm zm;BfH!6^su0~CJnwG)fq0Tk`r6&y{*oFg2LaIPxj%fi`z*QERg%MYAi{aTOu{gHG1 zj7XKIiAmL_NuFY_zBD=5*@9ZA<`e(*B|Q&#;C#Y1uX#&34xCTEMN(KkIjG1dUd96p z*MV&voXwgK7QdPkd?}%v)z#t(eotPy_jeKkDSr4ZOg@pikQ8xh9#TGP`TVm&9_hQ1^y)=3(w^~7r( zs%<}nVYG_Q?NDv$&R?UNJ{Qm%d~5o&sn<*DA=PmDHqz)8sw`ReKv$!L1vB|q4Ns*ABWB@=nD>=OVF1bI)|YDb?EGZ{?DPW5wvn> zN6-$n2rT81m67tVap?a9o!z1T6?6`Vz9i^e4t+t;xgGi+LFaYovx3g&&}RglzeY9Z zA5OBiIiHep(B<|bQbIrPN^|ToBt@qe9f~p-=yML8M^Mkme(w{lLpBBD9?V_;tz32_vIn$wA3a!N$wC@wN`8y!C zG7hv`3+-8subwv1%y$h4Qs+Q$xQPla0JcsSsLs_j%liixny!9)i{pE<@HI_>uWHhE zBLLO3XqL=o5kdO_x)IQ7F0@-Ev}Qf5?r3ij+UB@5^F71GSkL%a)$yGoe4A5f6-RrU z(AqgUh}(7&x8~4RbR6y%4#zpkb`}nHDlqu!s_SJPhx>$solpl_o%dh5ON&2S(GOZo z{+l6F$OF(1xHi{0g1*(EHwwCvLvI&!Gl%LoPTu0sn}ybYGep09@_t8qzR<4bQ2ic? z=Wv(M`mdziBk0DC!$pFw>`;xxc!%C6v>Q59zo^pu{T45oeh+0S$3efd;^oz^y1duX zULi6p?$AdCUD~047j$8VJ|Sqc41VAE!$SK;$Kgpq*Kw$R)umao1stt@>*Z|@y-xTp z>QMaxjOT!M8Z4E($S;2Jp#UKIrKU~?KBU>7^iuFc3nq{F*=}jCJAV9CJE4q zj`k8kQLCB^7YT}b*-+Hk;D9pQP?YCDvA)>Q-wBHH$550_pv75XhMpkkZVvs0pr3H) zv4Vcap+6CHFNYp2=ocJ%xS(Hh=nn+_nnMp2bU%mUo0f=0YkjPT*7{fv)5rSGlDfMc z{o>Y2JNh*?M!!&6q8|EJYrnM~+Rb#*zs&I=DH1lKOJfl;OWPLbR4F6 zWW=wy(~%ss6^-xm;7vEqVlz}na$X`ALtiH{_|YU?v5%IX(V`UyzFsoj<c%Ys`b7_xJ1JJ%qw{e(*_yKLM1N{7juF&>t{&gpSuNkycOYx z$jt=Z=OkNJB=d7Vn#MlmG1>zOn`eSNt#TMlo4}{0hURmC>cLy8wkkXatz(ZhrBF%NyIZnTui_ZM)j&iVB0_as|OPa0Eho<)Htxs(oqhOW`2d4F*sSLigg7u4# zu(e6nLu-?)ht?)p55DZvlB+Ezo2*3pS+B-&Qu}(Rxbhe0WTN!M37J+Ze@xI{by2iP zSE4u2j(&@D#=LWwNrBo+G`SXbVw6Fwz8g}%`K+p82j9TMax?$!Hq4^fS zhUVK`y0!zebC!p6okDZV+}0By6m5h1mif*i!|{%9a~oXkY@~Z?+9&fXmeh6z(^K=7 zs=Y;TshTgE`(%FnVNTKtbmvoE`((bgtvZ|RG^y$4(e*{^mpfWb`Ce>C+qB+FwExzO z{>Fu-{kL-+s$+QGO4N3IYkS7@-DzlfAavS{t zF13K7SAZTo=Ex02I~^$6NQPc92wJqr!2#`Su(OXIMeYI)!$$@6^0=!R9(ZtMd%)jtI81c1Hx4w0_?~yCZ_Fqumj~dU%1# zn>ncTK>5z)4$A0f1zpggPYJr1Lmw5i-4Vf>M44@xM0pPVVr0uujJX2Eh?$}7jtI8N z*7{fv?S35A!w)34e9h&SLj~Q>p$7|!7LnzwenIDQXj}HNe$ksjX*AJkr?tM;`d|>q zNoW&bZVFany-=X&g#xvnCD3*+BJ1oYqMf}+C#K1x1^t3Uhrbu`Wa0;QEeGzrSib*K$_Q2-r-84<`s>) zPRy5bt4Vq0SM_Mw*Sr>R`j&l@UEJ0edHqVW=G9{wV;{GbghQ)IE_a5n$@^UjjTim; z)r7iMt=UrVa~zfx4t}+p*0J9Dl&@diw1RN(w8~*HwRCeVnpfnaH){D<+gzT5w#?f- zOl*BNON%;SD@ixM!b;~BeIIAwa4;%#lWY&Z9i{EQwmr$(Y1@;mhvqWXm&#gJ zx9!P+a#q{NVeQPwx?5*=PI2v$UiTlP7WuHWK!(mid#uf)D8uh(`JTIu*))$*`4IuF z13U-q``_er*6x2}Yu-fcVY1Us^S&gVaqOi`Ra(FAb{7e4k_%1KqO~Ya6R!syH}dmN z>xm})&fRwlI#za6s+CM%i)u%D+0KSP(%WjXH%($U%^Xzb%|_4uy6gjj8|#@AMg4pL z0rn-*!~W;LNc>fhcfTrJe)AK4%~kypi+VQuAh7TH{U(WlaMktuwJR7vD*a;bbL7{) zNIVa@zzkmcNSH$%}qOkCmAryYq_1jJw{l=)ee%HC~E3&Gq|9-H3nfSkr z;p*3k)Rp~eJoSsR*j;4td@acdS%E^3hv>F=Do1r?&1rh7tm?{NdREu3N=($1zvrvc z>>;l7$fSWQqyY+PS_^>|S_?6IoqiVLzhdIf1F7u_9629!x9Ivj2)tt+CB2QbI46Y3EG12c&YboJlqGhGJa!ycbzA%H! zkk&$A95b_p98MMjYk4Q#{13U{DzaUm?S5l!lOcEUKWJxOx}lwE?KcK3PP?N@$1TUb;T1Ti)nHZ;s*)sJAHW5 zkw@QW)RV$4bTTxLDQ!qx!0Ci8Epo|T651IKRmskFsE!u=!J&G_%LNWq8T^<2Zj_YA zcbYlIkl_YFZ4?5io)9n?8T>gj`o+WM(*&AhY_rIaL1!jxz5=N367YNL^*e|@#wtT| zXua^&uNY#NsObzlNTI~$6J^eIv^wkUzhbCgQuI30SeW%8#`=}Wa~xltkN14_>xgz{ zAUJF%^4e|*pn7^za~d~)NzqQ31BdN}Z?krqQ*&i!eYcDC{o2J%^V_Ko{g8y#Y^f_b zzIO;;8|jCh^j*~bvC{L;f;NABa#csG zUx{4Tp;rk9|J}wr1a1B<<~tpSzX+{AYeBzX*&MfbI1X0}hvglrr!_Rk?d^{C8sYF} zhw67Go8#7e3Qsc!uSxwr=&~*}{ZeIfESkUZ*!*S9W*OFUpbFF_CRGoMIa>X~Xmc(c=Vkd6c&~G^OAVCo$lYzimhBn=1)^t0Q5)4-2`_lhxMA1t` z`o2uJr7>o;fcpNX_N6Z+SEJP);0un!yuzWEzUiBU*7_|7Tl)dl+6Ef?PC&Kqb^@WZuUgl7xwGkrtRIOj^XmvEykJGL zQG1x|_)ZiKmpD}GHyiDMWIGFOvqgF9+eB!4DId@_1b-X5!kePKl(brEwAR;JA8e<(%o6FA^>KP8#HF9XK z-v(3jqIYLC?;;cma)7V1bXLySSuzs-8<13xthpuDTp!y!1AKl& zIQY0}3(v<*OGMuT)Y&NCQqcZp^VeQyWXrrGsp&0sbw zZdV(#J@}r4wxHY9#;l#@-j`n^+pad|5>{7I53QAny!kw}?B<{+{&UL`{|IbXt&$$v z)y8ZY=t00r+EwDLhjx`X>tPwP2`e@37b|I3_p^4|T^XzgtTHt#!MZ+_CDUhD#_uU4 z)M(A?nEc8Yovm(OPwQ89&P%ZXUq9!rGgp2Vv0bsxY0&D7_0ZZG>tRBhH|w%VSKmu^x0+#$a|&G`H8= zvac=sP@d~}RP)}QcK`TLtbRs8M^XF;#v%oq* zel12_eYpBn6Lt0B=3g}dQ)q!l`XN=Th2FLB9a5j!f_`a6Q=7jzpsB5CqOSbi8+HBi zplrc^)8Sj z6eKvj$)S3#vR{d-CkMa9(cU~DhvuDgOF9k@35Vub_%qt|g!SfAr<-HZe6slBPKHNB zhUW9I{Tc0gdb~e%TF)(YpA!PMPtYlZE%ZLP5Fw5=6x8#UMHZEJSgEUcvndTFW3n-J|be2s0cO|mMN?xvC^PoXJca^f~CD+YiAII z6rTUioppDk17~KK^PSg!F7qu>u!ibTt>9_he|^$Al*F`3}nYNDEdN1EUftDM>R(cZr8KP7TG<{?;~t&BWfAM zzRJCO+e47*DN~RI#D8}5;p(eu-oOB}GqZak$MQR0`^?h7K_nz~7hFFIg_PQNh3{)O z3Tj5lm4Ws5gM@kJ*(P@3GBVia_nn9P2;x0v!$6%`Q^{XGpF7uUdc;PdXG`k3A2~`x z@KcK`*Cq^9VW2~38Ky|-=xkw(ZcP(mt&kjf;%cmG%|r?>dqDEnZ*E>L(+x0e;JetU zsmyKwPScVFAz%i|ush*Yi_3;Uapu~SP10?fY^W_6dOE%Qt1?P=_^VrA!3g>gu!@HdIddpcN$0q*+00960kWWGY literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.cmp2.ddb b/shifter_8b/db/shifter_8b.cmp2.ddb new file mode 100644 index 0000000000000000000000000000000000000000..d183b26545c1b9ef0a5dcf2bc5ef75749907dcf2 GIT binary patch literal 41510 zcmYJZ1yEbx^FB;VDbV6t+>1jg8r&sVaVgRkcL}a7(Be+eK#COz(&EM4A;Br`?gR_^ z=kxu|yzgY@o=oPRd!C$q&hFi37at7`t^D;fH0P&})YEr;D|e8ci!(id=N-MQr=6oE z{d;~v`gcOSe8Rl%-@OCS>sYya*;!c8fB0waz2S{&V^@U!+($3>)+Ka;%PtQO@ zQ~CM70qrOH|BY|+{%`boc3_IV;G{Dfe95V;jAFDqp&aC51`fC;W~kwYMMpa{%3cU2 z$tETRj1_361h9db2`ML)m>J|0#+kvb0v}rT{U2<~w-0J_9wm>PjvKZY28`O40shA} zQb@n)zcXfHVFWPj``bgtyxp*olaCq*G^$<~_05)&hX*;1`$wBM6OTQj+j`rNE~{_B zcQa_7j0Hq1-N?}ny8TZNrJ$U3uJLb5cfpt^@z;REJQ_%!=pFenB-DF^Zac9}^p`(v zgTgSY)it2p?l}w#0r^c20hXVAw=y`!$3;n;!H4DmF-v1+7iCkv*w?M#zBGD!` zb$j=6luZgV$38^TfDaL2kAsYI(8HyT=J z-uGBNaW-{@km)MNHuMvbtc$p~&v#>D*{nyU|18v%UV9Ae2db!!Y~4(d>FPA{wqaiN zo0m4M(;e58UEJS}yvMKuEZ?bwkkz-gNr*Z`nrYScDyf<*)9Dl@q|TV@wBhMF5Q~RP zq`E%j_O%JGO7sD;L?|_WHefKEuv(+T zP&weooPS*B=QxU(H9OiqVi*zU1s2BStB9js<2UM1B}KjGA;JQB2=E*{zreq1-X>qqPD$+ua4h(dE8!@))F(74^FAy{9- z=v=R=$#P!dnA>LWbU&{`)k&d5&39i+QN84GaP9o@HxgJV?zg4Y*Qp8;1BPN1I+x{SFaO+#bG3e@Usc=Fr2;)FZxV$d>7dl z26A^GMrjhrWEc*Unn1+T%xm^~kL0(^Y&d)$SGO*2gb&LxY5DexDdwGoTc>v z$@pz(Y6q@iO(QM+)XnSh&C|3Sg7LPoQ8)&jKnU(4p2vkIF!63`qOcQ} zxhXOsKP$qKEhIo1>Y^PMFUY{AW)?~1uxm~MoAll%Eg9`JK~kQK(-p>&XiI25dM)_1 z*)<*1Z2l!%*ma?ia=v*sH?e<-AA2A+M+~18dKnxy`i6f+-|{Wm42J{t%!GMv$<4{N z)b29f#TDkgM41#lJZH-QUlaKo*8n*bUW6hKFGaC*P26>^+6Q%<2t1y<3O;U}2uS(= z&4dbyk4Ooaj7Yr`AJNR98A0mPIIVh^gY)Ljl@TtXHD8E{{%SeJF3IaSwNQwZ6$H-> z&3Vmm*FEvCmw`WissuATQRF1uXx}3%)1re6yM=~Yj2naG-5{;bn|6gPX9+Zio0Z7> z3$w9eG>Vdmh%y*!uei&D*YU95AL|t?;Wb-ryitdw6A}!{=W(uh!y!?Bg1qvjGSup-?k#}xeTn}-dDTs4*Qo}AiiFA%}QLfZiGt9{-C zk0{i&7QHVWzDUB{q_Y2oDU&B#xE_;ddb~X|x0$5kmO||L(YGmOR(QSOxWAI1wp zuztKd`r&VC_(3;M87|tw5#T;Wh#qY=6ER zh;*XQ7JbF+o@z{r0@H=qD28F8qR6OfqHOY!`I9WG#AJsf1KoLwbq8 zC#+Uvm(qh!3!%$p_psj8%$Jnj{%DSVXNFuxg9h=n=8=}V4PTJjNVtygzi7+B)n8Nnh{q$(L$x<9~0)vK@S0mZ3yb z4|i+yLt|AL$}ghxw)G}_wsY3pEvU`PYcQT%Q6aHaG>zfKtLNXV+eg|sqMhb-10Ax;F>QlLbrD+agm)DZ{i6eU#;|xWSn<#9n@n5V| zq-a`2_7pS8%obTR_4A(DPF@RzT1lI>a9{UBgE+|5y!}2+z0YFM#N|JyJ|TTJE#X|r zaMBZRMEi?NfqTzs;Zl}{=5n)^+rK;tOP>4L-pgO&54~o6oAZaRYncLyAP(ScH0Tk8j| zX-@@P(ebBtL_f@o?}jvHVA6_7?td!(vLuddr&ymb6L#t|^;$BXnbMR}OasyJuVZ~s zliDg*sD63xx0SMZup6ae@a%?RlT4_QMj5?%ERx|w-kzm7u`}j?0>@P|ACn-gc=PKh zKSX>y0nkD2W7EiYG>vdUn;4J2C{X<@O)c!$xT7}<_-LQ3bdkcUw)49^ zd3`SVTVA1ezk-~b0tPvE@+1dc1X5Lt=d<D%F4EfT*` z^|7e|-w%eJmgsOhwr@e#1(c!o?LE^Qg8IbCOJVQjykzsWbwqQeV0tOM2i!@372WTb z&9iS96=%&d=C|0!bA`V5#$zJRysWT|x+?fbg3;ZN7F7=8qRG~PJG8acdMq9;?pS)V zd)FhZo+i}{cp)n;qDJR=w7-ML3Ae+M%Wqmm+c&BD(p6o))piDd+4x_FZaVPN$t$M zFO&okrRL2fQygaV^WpXRFNep4ybRlKzW&?Gn|J2z4s4&8g6jvi&K3QtNNLoK4a}A`SC) zj$9Y!+FPm!9uWzK1EXmeQR7>Hr8Ic7b`WkKJ&SLa?-+BS;=)K`NAG9)k=11Rzvs&E zjNAr@J^f1##{?T8I1;#%pYgsL8Xs%cLHo&i`Kw`0J{N<-v(hk0@TA#4&RozFR`6(1 zvfN8u?Che0n|OhIN3MqCC3!Y&mh+4{4Ux83VoGp`2`o%93y>=@wf#S^S}uOuYfz z34=`diH4S+Y;c^y!qJXVHg;p7-PHD_WyOR3vB0a2BRHP<$*$IGAF3^9J*u>&*|Jt?5bN3gqJII_Ac8Y@g5SzoijJz~tfQm)E}<%{45N%ll(VGe&sEri>Y<|^cA&ujXbBjE!;=0- z%m0r+m311|K2)p%92gBxE4=^D)|yZLr>p(r;{D~e~@=ZR1Uwl5Oo%K zlmd68{>R7`^;sh)zS|Cm|9_vCmZq_fZJ%%!(m&z!w$iZGES&f9G!?!Dk21pg^F^b2 z#qxZfgah{`63K+M*noUFtPkI$8M7O^y{bYn{oRnfk2Le zDN?(^*vgp?1*BObGQKU9z7+u$6kTRczYNxAC_WG1aahNjeVJtap3WY4uD@9qOkBU9 z6wW@LA}MN;`0IR(FiL=zr2Bhw@)U?AH>wN-UitX5H2bH?+p$`YmR8k-@w@{PBmb$&D;twL#JqHu5U^nS1O z_vhJzeqa-grg~kO{Whv4KI=k=K|Hxkw8WWT$-+d2LVVvD=8f8fxv=dS6%Etsu&MWg zTR;}K*Rq8aMBsqI*HMZ8L{>J76tN~1GD$5~q9Yd9uEG4h`A%=Lk%Xl6gvjsaXHiKu z6+%649WSFXa&Y&Nl%4BA z>zF^Xt~H!{U2A%_8fmUv09aOp`X}SB{0@Gk=Xj472!G>i_iFXrhUt8hzuz0~y?}sr z>@*yvCA#Wu0!5_$IG4+f-Pb*TwoJb0g2qL<=<>vc>f6N$9rR|c$(qqE0VfkTE=#nZ z3i+T=lQ1gvz~C=|dnbl%!9HcDxIGMjjl(XB`?}T2p#2lW$L$kCI!W>AaNPKd;nhk> z51+CtTH5^?{P>IgAAL8qT^21}Cx$Lk;?wg_cho&iJX@{o^=Fi`w=xqFpN{1n!ueJG zXZobZ4CGTb^M-bRD!lIo@HDIQ#8ArLr!0+*c7IKsm4s9^R`@ItEHim$PG*?X5-HOZ=q z5)|%{v6_BM>20vqF%NVrT|{GxoBp%IPwTNNn7E~Cf-llpwcK5PZ{bm;b&UGvO(A}b z!}>c^7MIesBtQhh4-$Hcq@6N+gL65E_x%RdX|*TLno&80mq)Ykj-0Zs-PkePtWFz& zxwmOtT&cBHbJa;BJ+#=*k zo}(rwVL_hv7PHEs`1S^B%vwgB!RC^?d|%4-+CR~ZgK)PXw|)#362iv$i8uo83K7Ea zzjmdpGkY5b>rESfBdse>zq>93l(_)^9BNuWrl=MV>*cB3Kv~kC*A?|zc(FdqS*Wkm zB*DLca{h$eo5;|Tn*L~hf9z`!L29R&Az_|Fx1vgl1e+H7HL)X70WMhnH|`N-{(}dz z`^N2Tez4nad$}ftQq~?S5maoi5DC^{0JxfRV8@5)H~q`M%ZiC~4fEkF7M7UJ;*Tlu z4T;+zx!#3{((ekrY*H)VP(?UATyBEG2XA_uZ~tXewPsSi-%>Og!KHW|{aewi9UZB8 z%U5u>1JJAfy2#cmA~EC}l>Y{_B^)J=a2bBOD}5fb_-NteXd!`5It?d5PizPY{KZCx zip>ky5LSHe?&1NTM{%%nZdsnq74^s8^(rg&1vjW}(V1@=lWtmk^Xr)6&-xzcK90dnxhP9QNwsX3< zM>zAHkwDFV7m3_G_YGo+swCevV`=b6NqbVw*{d#g%k`*}C10HLozcbVH?q^qA33N~3U&$p7xm_r_=A&52r9NJB3A%L|;Ray7n!G_)6G`kpySq z@7=vFf}mU|_MjVwkv3{0fvr9)#!j7TRV%e$q7kd2+c#-H+17OX9DCJkUyMnkJ%f1;v``2aEvTLEf7aYZ9e?IYXT)q=+!g%B)#^;F2QS0ekF zA}02#n}IeAt|arc(_1@D(X+ts=_xiPQr-f$+pK_Z- z>G57aOMMFwHyH+V*oE$PNrCw;S2oss-DrltIez0pq`BdcAc?PNPp^TA3m|OM6ah;j zPcoHE<235tJd^*MtH47iC%B=mn_6_VyX&24>{HfewrRza*0=H7a(8Kt3c``rrqFOV zNG1wGAh{=7LBG#L4eD(XGV{Mpx_}jooNy*sJrU_pV`8zSj4s#ympnfvEw{%LBOZND zKyvYtn%8}(<)Tdak|Hjd8( z!%yt{H{KVq_df57>M;XME+WdRT;BIaGVhC#h5Uur)1XQ?#Tpr9b)R@38d+>O&B(kD zlJBXaWj3N?;z+$<&1;N$`n4QLn!n`N>EBSrbSAoI8W8Jx&Qyg#m#B(e#0{;g^~+zM z5D5Q;o4J3;mgw-^0F>}>9ntJwl2qLftFYm5PjDEMUs^4*rDQ)S(@p)-cH}gfZ`N|u zSRh#*z7ZlfMT(% z^!^3&HI}dOfK#{49$`ZNHWB{%#Ajn4$*>t#mld;^#t72Kd=Dug5ugcU>f0bAViQ>B zm2h1iNzJOy1d!jjPAl?jE22Bf!%jbk&Gy~6^yHw z?Y^qylqm}dKP4R7$}4^*-zuAc4@*dj>I2sUU<5~=PK80wW=~5te4YsXTX%kiw9CW~ zt4UOurOh*eKr-)JnvP(s{k;z$tceEP|0}KaKPSF?~K1t6Q7c<`G}{fZG9S`8NOI$O;d&|%4?v`H4@8KM1m zd{&scDJS*f*XDMLW~5dD$uK9~kFiNwq?sjm~v>8JPPhc>B?wuakkvDM9~S4l|s3Tt9t4jFx2H~^e#)ih~w{+SBW zW;cT0X$-|(Ol~Ep7$r>Li-#P(>cjF??o(g+93cBI?eBnMvJ(FOO znw+8ne`1c)oqsUe?h@s}QQ8PiA%EQG!d-nGr`c9_tXdcR>-!;b3c02iuNR538>`FcEYRMYVP|g zGJj`3;=BCJt!{QQ6UmLf<_Ibh+-}2-s2)j~hTIQk1c64k6dd5*xXLzJ80CQAA{HC@ zLIrEA=tjx$^c6SfIHoU5>dAtH8lEEHvcKs?&%FlvuKGM^2&Wtk`9Gx;KjUanI~+uX zxk4pb2N}Qtk%KdD1!iiLtEf^codMl$6)aS7#y`O-JgTDHj(8;-T@~EvSl(}USKgHW zQxSXtmXY--tp1`Vc`Q(NoA(Qm-rN)G{5AxNUb0c*9P{?fWScG#b07G=_Lx_VGLtJ2 zDjJx1hXi%5N^aDryt_*8zmJ0@1vinBFmRO&iK@a3{yxNG`T4QHMM=WF*}I9(WH-14 zrf-|6f+H8ybc@*a25iL6Rtsq!2ssC3>3&YSF32z@Qx`o|6=F{{atE;&x@$aT^DNvZR&y{-#O^r;`Q%_V!wJ6z8aWI0S@+%X!#StW$=oU)=lEs z!i1Sk?OhJG3&Q_2t(y$|HgODdwdjLglOKw9fMpV08boCb$i?8qG8E*AKSf#LwLd$R%NkUfJeL(O>7{9f~^cygZY3$0}CV|FOofvxp zFv@iG%@F)@V>_G;51P?$&slcx2Fk`d<6AWbJtYJ{2Q{(?_l0e{Z}ewWcfGqCQ;>=* zX@9Hu34H`r-8ESe+IJs@rAifMQS#AM6V|%7e~iCb232fis5HvRFRk}BFgPCBe+Ax9 z#m;52*rK_FolvhiR@qZS7&3l%CJIDElsh~(+h~?>JJPhFm1+Aba#d7a9uM<0ixRmK z4%w(#02TUt)uyR7mCk&>vrHN5gmApSnB7H+ zT#;Ldue%ZdhvB1z{{CIrGw|yiRn*W|kGfj>T6i>$vQ185gNwB2d}h7zc3%W2xUvH& zuqV6!>$_cqD1;%7Z^73#*mvv^v40M z+S89S2ul`chX~H!xQ#R0_HKuEZ)+6A8_S3UYvUCbrWaw%i!Mv);%_FA-V(quXw=ut zYjmZe)(^(t_Q+hiLN2(aIsubz(^||jJZ={-EYM30=aRS*(}Agr1df*OS!1isgkPv7 z7HT~#e-W6i^+3@f#8pFYpaUL7;Otf4m%cs`SBRI6ufC#nq@h|nxYyi zwrlu7yc+u{frv3TDg1&CZAGC!7W=tvOzIG|e4qT^3?f%~=h0FV{U(&_hmC;qk!25~ z{py^qF<}-Od4rC`a~*cxc9EGsNnMa&*}v0Tkq2^zGgEQEFsS~uaHl+;YXj4ncTv2g z1C#PRYHESU^fj=mjyc=K-K|)H;KCkm6SDRvhx|LQg=4_DRwUPUfZ@Pv$a&<-ek?F3 z^{fVdm1W8kexiU$h{7gOVeegq`++~^nqzt|6^Cu7YSnK9z~Hb?()NMV-Xg{tH1Zqz ztSw1S5aB(qd6D?Bk4WMUM2$fe-3Zg+&v8}N;H?5-i5X;R$o{y?O$|GFW8B!L9ZURw zju$dflr#Z>GeG1;qKV*r*xQWZOlMIA@p)d1AjXyKhy^K&vn_eO_2zh2Ya$J)01i~n z#Gj;S8hL+n-Fn+%GGExO9v~v(`77!~JJCnTN6~*lBF*1PhGwiMZKA!`gS$I?D>UOi z2WSj^O|(xGVC;&;njM_dVuWgTJ&5WfT$iv`N8nV(R~&sN&})zP;bE?S&iKH2=T?Gw zTnbn}8h$<}Ng&YI5P1q*;zO~KZ#&UpARbjq3d-Pb7IDdu8dWtj1!G^>_o@SbkTHbY zQM`!T)rpMaIC!4oKX0b=nVGr4L!?eUnV<0sZOJiP)iBi&hH3FJs1~O4AC<40cRR@W!SbL_M{&O;ZQiPuh!; z3X26yRdkcRTotNKS3GslL|kdN1x5~qfZ}T2Rx=YN~JrtvCd-D=EE1zXA|wSN=q=$1j_rk zzQy+!)hdQae!W~94$Q(&+P=>!VE9S*6zTS#Xe_VJ>*~R)GsRRi9v2oWZwi9E@dPl3 zK}F#-LKrrt(VHR$0;Ru^RCq;^h+{|@Fo$$Z^l_}z`vcVL)Ef+%9AE6iu}p;J=b3c~ zN%wB(&s49?x)4g~Fq~;bH6lv#LGgO;7ccreTtxR+f11KeWPOI+DlA`~0wS-ufR#6y zN`uC#+rv{!ap=jyHL1!$F9mKeB{WsP>Q+UW?hz6!PDEY{Tz!gtcZT9^IyggBw2#)i3%hP%$09%jg8Jcy?-M9d}tF9ht=-X4jmd<|66$#enACmvGnt6o=H2U$} zw&tF{Vw<9~wKBr<1Jkxpz@6VZ!IGjFbj4SuB!2l(X~XQS7pH2iFNM4O-6F$r~GxwZJ)E=@eNd(j{hnDI7&gK%59ng zzpo*u??>t%*^d$iO5aZyC|LprP6<(kp*2+OkbME1&y*LHw^Z!cG&mlYS$ojcSZ|(R z?tT;(DDR(%oo}W}h4+I_eW~}|Q0YwaqElqtsmE$H|@8X?*pzXM&v7CI$RaZz)+Mb`)RTyyOFuG-K)xHillG3%_n8 zJw;LMR%7mhn0G;vryY*=6(FqWeMft|$Oa=>eS=SUW8kog?p=6A%Fs%R&aQte9uW!+ z|EU|Lx_gk-?Bn^v0uOOqkgDt{&KnDy(sV9`2qAVn%=JxeXrD)!foyAq8nC}@feN!x zm>1N;o$)J&G7U1R%zvG<6&oR46Mn1G8p`;2txYqxWlU zZFl_@Nsn%3o5v(k5Sf6v;gC541p;5bJs%!&PCj=RwHJ?ONfj9$lzVFIhQCMvZSjNO z7yJ0uAW-Q9%n0}kj)D})^bE@p%0579oe7y-IxOjmh;IG!lPrV24Fv|tCQO(ZP1kpt z%I_vi8fbH%TB3`AIa{tb*{kOlAS@r7X-B!Eus^xUdIRKkKafflInZ1F!UlNbE$1fr z(&6Bf)^h}`sJQkNVQfZkjvpq#b8FzzP2~j4?lQSIhSp?>)w=YtxpAnAqX&Fl7au#> zxUnce(sjHqG}Krg%i}UnU@Ifpd60TFa4-HBWfL(7sOM}@yRcpZH6RtIPMEW2(3=;) zuNNi$osIz{SXEze{sVE!@BA!S(ZSxjcZVO){lNKMF(H{%O3ZP( z|LWXHq^dLCM7Eu{YoP*o1k!D6Y6`VA@*=noB0e2EvhM(du>xSg3QvN2v#fj=8?S7; znOPy+pHm)Nj6Ie2){IEH@|TlY4~bn_Gc-SK#YCrkYtW8mo$sSqnAS9JgndGlyQ;#; z$WQ9=^ZVbt3Bkj^=6F6*nahd3WKsQOFku_WOIdzv+L{II7%JXs>oCeifEKIQ8^m5$ zzMb8?VsNP%YD48A6O1{ydy2dK9;ow{OL8kwM|3A56Y)Zn(Zl!fbCLQ_No<90!hPU| zy}h%}8$_Lo6}0P9w>PwT>rC!(Lo$m-V?Bmg-Xal4eGyyDqpqIDs1w;1^S$PVvuA`q z$11!V2uoXq_Y|e)nA}D5%taViEZDbJ=sqMCl3X+>^i8sCaAl_bRg^Ga8+Ji?ifztp zv$wUb0}B18zepJ? zZROgpFQtAGDosp_{IP6R*pc@ajfz+UgH`a$otmJqj55Jgo)Qk<3cUCyH=h&6wfKYU z0u%%O6r7ZV;Mc*E4Yl13ZdxeWIJVq1vfQ2i-}TaR*Vn$J&@h>6iz~L)EfR@Cj=X`F zF>ZK8niT1Og3UwOsY_+9UR&AN5K(-yUs`J#bj6<-PHl5PNOal|^@R&i3yPra`q-Pw*j?eOW~NS$ z%nc%y1#AaR=Y($Ijp8U-F4g(by_G5*gd^~^9a?3oo~O#s-_Kd!UlP=U{RyC|B&=8Q zsa8D$3=EFh&3gU^##8V52*>POzv|W);0SPAslUM0Q~Lgje!C1Qgh%w+E)Knwg|tm` zGOSCtw05Pd949A?F(ViT=h1BVadW`CC``S$**?otglM}sx*-M%dsd7 zQHH9LQ&s|PSOOwJQoHNh5$EN&;mLaG9Au)Ug_B#78kJEwF@?6fIY1-XgI9eKp>+e= zVFFTwoQI9+e)=m~YX`CfkHZQtI1wNiL2IG@20(w;VM98pzE zoRzyWi&(?e^K*ye#y|9ZW8RF)P<0ikoC-8!ka;_QAEg2W;IKIO}!;_7Zl{&PkvXcW#&RSrOjWJF)Tb{ zkEI*+R``miN?&`v%Ivd9jKfd3v> z{;WBoV?ld?f~}W{`G~=9Y8PHSV=2z`dQ8uhs>r-@v z9r*egh(CY4S^%llu}VVS`F?4}ne0y2N;JCjZkr6G*-d(tujd~>7gJ?@?q%AKiW%6g zxFkMZKZv$E7Y1aW7GDCXLL-`I zX3caaMR>0k88|;8REjE}6uYWvMBbhepbeFOJVQtIlJsDN#J-^AcS=%!V8x zMekA>H*ze+es=Zx+C?{r%r~@5D=n^Gwetw1<{jP=K^p+V zJ6BYYdXRY~<$#6b(jIYVu&wpx&7U89!XRqG13f+2uY#oAQaZM4q3P@>@v-eSpv(2c zKY1J4@n-zvSkKGS;gT-^ID=^T@f~$1OlRxokt$^Fd4F`_ZJ+9j_%Ez6j*clRFFHyz zRtL2UGWtfIo+)N^34s-g-)#FckyDlsXr@Pn+ucI~@hZ9i)k{EO-Y^qV+w)Wrgj;?cA7Jp}jVC!J3wz1Y z&skIY4UwBo%1o!A!B7^BrrG0K73k}1F4ID}o0^RK2ZoU#q~o3Y1{9fX&xBZ^A>uL354hJtvgl!c zuu9wzs+BS^9G%!jMSUtr-Ho)^#0P_p!kj-c9;A?HGSe)WlgyL&i;;Q%P?hzFy^2tY zb0eFL6A6y(RVPoxpMP3P@kTs;FZRKD-9?}CXVOzi2C=vh*Uw|g-g=_#=V&pGdHP}P zE>q<9&oS*ah}wg1o)it+ef6u&8LdgPYZPu^l0wd7Li8}fQNf*P##6H(aL_zOlR}D( zoSj%F`zNWBaL>*50J8&c4;%NrYg+GKrI9>FO41(s8(H+<)>73r+=ANciEKD?XK=zBcLc0I~gIics#W{F;%*gjCwTdA31nE^(CzE!%P}42C4XwuH3~f|)xSXqn zL7Rw#75A4E@Z2{&7>7M{!8;^noaU5p4CWVQ|AsFJQR$4iG0&siDQ6s>g^)NfJ7AsHZYQ^|F63Hb--@0Ry+noSwH8^Gg9TRjS}`UA`_6U3Z(3qm|qvx#dPJQhJ<{ zmz#LQKGJ}(2IHRPb2V2wu*=q|r`38u$r;KLt|vu~-Mni3qpqHV!P^QfhHaU0d&+!9 zS}oK{{EMfbsejb0H#e*=2;iSc6ji5+Y~wEB{#2p6ma30lhEKFKn`E<}X%9xzCBl12 zwJq+N;aV5B-P_b0ZXdONm$-|lYyM1S37n4O*-L%C_^}IB#Ex0?z%fGH;6nU-iL=sH znmK0Rmd*ImD`+~~o;ofFAh1dPo_(5X&dqhqKPR- z^VDU4D35!CL>T|+Nso!L-(YUiBVH3uVgs1>k|VTR+zh!SDd@x_|ByVXESArTHUhv; zwIh$g+!tFg#Q8zL*JKr!Db{sON`plK+;`>M|v!n<&~l zNOv+!L@?@dxJ*`>#WB6FM@gGc7=>NT$|wo5z=;9ILgOaz7OgS=d)eE|2(YlvQZitFLNI21NlW#`1`jAKz?7;~B!~B^SqwH|5u{a5dwo1plgDdRdLY$^GgSFGU85l=d-RUy9@x zW2PA6feR4<&Te(l&9MPKS1qQLj6lIJ&JCo<#P&TL8A zHT$d^U0@HhN$u|0r~seLn?KzR13VXRN;H^vw~660FHpvLFpI9X*E$HoZ*Qt(MU;ZA zaxQ0HE$0WQ74~Ns;Bw2}^ErPHAHqz{43;;9D$sG36QIKP#BU#Fxu&GvmhOtBJNdDL zvNU(;&b5L4ps5OKqR!OJU4W(9Neowb*w5E@uazf|B=HmHx)FUj5r0ke?{T>nBHfDd zHL2q=H_rz|k4tdo{x)re+B2mU-mU^tU@*9mhcZ> zvJ4;vj16SlJvkz3{o13)`JhJH&&*_R)?>0Ufs$ON(M`#M8oFkFEIzC>ADC@R5j!;U zHdAYdJaHagYVSYQWV?+raky2~J_))oYh}A#RE6%3Kf@EME;~<-TAQt;wIrGW*q<>; zY-WaD+!!6~EhXU6xG_xk{g7QTC?=LNH9%5>l6L=khvz2q zJ3tSMm+|rXn0VKjnfV^)3?Y!zno(Utln=WHv7o}C^Z^NX>xZva(-m<+s-E5?<0sr3 zP<78pX_X7N*fydyZ@DcK;)beI!!6M|ez+=%DMT{D40@I~q3252=oR6FF`>pk5i z!3)0vxD=Z7f4MzdGOQ$VTT;P`R--qv9S@lba={VYAd^n*<2GPLfeOi+X#Z1Mr6)LpjJKh$&!8X^0pU%<$J08;R zUNr?Tsnb$1^*89gGCDGb~{zWA5g&S}hK?~|LHk)FLYdBZWN=LYs z%e%Lux-os}@;8^+HF3wCQ;+@ltM|LQ2EwH#Z)UYqJmcXXWa0*h8iFFLn)~X(GFBpB zaZf;eHGhj;)vx{dNUl{sj7Fm2se~KdO#Aiaj84B8(c{BC6i#k**D>ljXMIi5$Hkkj z(NSYx7=g9uQ`^>_u>@gT{Al{h5WJVu*sb2`*Y zVkeq*y9sj*4UPD8R5N*^qj4jDA_mlR|648F)|y#t|0q5*TWCJuRwWN-d8A%(l@;l) z^Y#Neaz?wc3HTOB&9F_WWOs|CEX77CC=hyWSo_^#uaw z7p>jxjhu3F7L>=2b(MExg)}vnR03$-Q};j;_E~e{5=NnOSC3wh+rZ~m4UV+QN1}|O z=eIBR<~O>+Yn^3aOoQ8Y6U4>Gih1zhnC->>@GGs`ARGH-88V9tN!$@}O-@udbnvOu zGHo}~zI-*~rc+I$aKF-px8&T(c~8|bpHxYuC-7_39^E5L3a}2u?a0*+uvt`z@gr~Z z827~YG6;7FEG7Usp6>|`)`f{XrwxQNLVx+KE0G}S=sC(1gg>R!=9zi{khC-|Z(%x- zduHR95YXce?OTE0R!kTxGIU2XyRG(Zm$j(~ox^!%gdF$DldX%UtG5FFrkd!8%{`P^ z8pKvN?SGSx8h>??PTi?X&21!?;4yulSktCuZ|klmmq=b9xJ9;Z>yN+O-jCCaIfNir==a5p82-RVZscjoW;_gF#AvaEg?b^J;QZ~- z${2-5E@}j3{gfmcQ690ETWq=J4kK_|YA%Sk=Ve}D%t;z+1wFbR)&sUIi|EQuw;?yp z@^iHBGV=NzR=~vg@-vv*U&OJS?BB0`^h*^$9^-LlBX*HAKlaBWoS&foiq4NKZ26}9{?;t)4uMXq>KEVr1M&c;KpAfuX2`F@{#0K*dM+6DEWuiLhLrB zhJ#xRaRvEjV~QVM3-LDc&q)+Nhg0rsM*iWo5FaA{oam@TZYKX6K=HF7X~=Q-0>?+c zzvKAm8~Ws*e>$p4cm>7RNV-{y0v01-P4dsS&eBRgn!H-a&$q}wyjJAYDK(svwIZD= zqHD$Pbz-!H*cFb^($ndQ#xGJ2q{dk{%^KB^|JE`CyA2Y;W{yuK`%{0z;?O)+$p`33 z#36~Z;pmeQ6@sf8@_C8`|E@*+1s zuWJU#8wV4l&FYAi$r}e~*E9EO)9MIpyzBg<(o4w4$%hvt4S@%*hVY=98?0o^_5JjH zhs3t_#=-Oy=8cK7;e;?tsd6i{heomSVuv#(XS+F~iSRxbhn2jW?D{tKghy*dVy4Bi z^F&@Navzlx#C;0sRMR#*6-to_yjW6xmD&`8#CHty2p4&(Yj-MHQl*zTFHD^4l5!c9 zoeN!yR&B@ZQzy{OOSwv&m`ksyKc*{ZNc#Jnh9P{<$!c1+}To*F-pvy6Dy1aji_#x}C+yKbuqhVC`<6 z7h`fF6ww4|jMZPeUL3Dk3c=PV)Kt4C9tP3<>zu5K@G#;0##vg)RY_;)6#a87`R6Mx zzjQ}U;(Ug~3E`dOA70mVxYKz|mZSI?t!s+q8M!ki3-h|Byrk$3gf0$sX3~{Pk$W9v zOl%&&%7w`DUCfKD>!LS=mpF*d+qihH6IMap^bD=7S{8}Yvatl zQW$UO>#Ahv{I*TA|mp)+Wrs%Qh-D~sVP2@4O4wfR-nS=w*We#GGL{I?=s`e#!cB%N? ze{iT%DIIV?>ZmkGud-~MqV0BNRQa{}5U|o4b8qaaJ06pCKlZF-UXDF0InHSq0>5vi z4}3bc7JM1=YgI>8iM~YQYBpF}dR~WL1&+uvS>D&N0Xax@E|+{zkCl+~9G^&E;sBOI z;@j>yB!qjiz>Cs1NU}g3ZTD}sR`P(;2fgD`mI&Mad8czFT1;L245_(>y7LJ^xOc%+ z9#YAjq%-IHISB{U(e~B!EZ3*Whkou<_das6M4sj>&D@@3L1rmSg!3IcYDUc7(c<~& z(-X(JDc|qcdLEX7I@-RHqiV7pPc(A>=q@y5yI;*xiHe%i3a@kAy%X9Y#aP8zDIE|? z5obFv(gZv}qUUoe%uINFxR?91#KZXLyn2Fwd-T{^$~@=Xv|j7KQhQqSNeY5Z;=^TU zvCiH27wz&mx~e?oV9lA=Yn_75R!Wi2b*ZfcFhcO1BTEtTdJSkmjB}F@y(}Fel2>(D z>FTkUC$8WQS^Az-g4D9~A-R)2K|Wjs3{r}G{Cc)H@0a7~yUEHKa!ghT=c_kzh=?wr z=q7Nk!mfKFpaRXZ74Iv(^XCn=C~Q?Fz@idhFJ|>|&2yLLTG&PWQ~rh*oS(kUa>p zEJ1iQu_NK^Pms&V(jy4xAc7o5kSz&vC_(r+5p(7q{+o#pp4wZA9y``9^%x;)}^5cC*vUPF+X1lcb^tp2IQ`!Ix^TGSiZEz_7s>HXCk`kIvE zqW}jnIXFgH|IQk)2>B+Jg3l2jyiaW@Qmw63TM6N}-CszY@dTlyviJS~jhr_i&b-s( zHpKa}q_dx$6S;R#KsuhyB@W?)tXacVdr_!3vimE;Xw z=;O3ispy$q(-&hk16|t<9!NExEL1zO5$B^y9A(q7(x~DX zq{B%65K%GZ5Phbpm!PPrthWQaW~xf8i8HFI!zbq)0?%T3D@3?gz&Pl^T8iZYSGmrW zz_J_?!o3z<2zP9?i42T|LT&d?He zDMag*TxW$jOBFIDpJC$IcaG-N&x7*M4Cf!{Rpxt)ncS;QI7~Gt2tUtVn>1uuh=<|m ztV@y|XY`0lS!kC_2+y;z&TTBci8wPKhKwty)Q52x;w_DJU(QEYK9D~DMG?j0f@QU8 z%lU|m6V<1qIH;9sty6Sh*}3dOZnw)Wga z=MIM>g69sUrPIjL(@39{316A5>_Ir&k)sqWIIQ~H_UK7M!W7I$R*@?UN?AsqN;8GvEviM)h+cY zy|D#Z`aRNT6~ftxys=gb=~p?OMc5lll634$Uh2Gdl3sgbJExEC60a49iHn|pon7iN zLZ%UfN5?#ZK9Hz(A;|s&xme4v0i*Oc+NB;NfR zbzkDcK4hIazjh-&4B3ouwjs!-2~x+;CJslx#7+IoJspn7pk`n=4%t6fQ2g-h%E6@J zAq3f+ARIrhB^;i+cp2fmogf@7Pn;PTp3{47HWZKL{ce5iVNQ#XC0#uxa<}F?;uLtm z2|+irDf)GltdyA4@w{EaF{+7h>LLj#@u}nlhZDlHopgP6L-Qp534#bXL$XO1!KzM^ z7VUbv4zo*~ClS>*iRz?mI2!pp3j^kqB4izX>{>!zOEVQeAWJt?l3bsv`z1KDq7pnU z#TB?i)FiwM0btY_?L{tK}FHq97aC; zkxreIRDaVEw52G0O=pG3{Y150_UI^5A;xlVgm9nQmFv?KhxV)l8i3D4Sl#7mB`XtW zzfV^sc$#b}>hoJCT}ul2@HOPaD<}>(Agce=Nvl%Ubi34Jl+N>zt5Edv&SrZO&KJ}Q zV;(u%-k5+!NZT3CGIvhbmo&=U@w;G&*e+NmOY0Ub-nl;d{_+xbZTdWttN?dtsB4n- z)UW^vVV~#OwNd?E2bKnlcKw6Av5ZodIA^8uUduXCsc;26@RY(k~!^;CAgr~b*M$6dLgODP>!1_=TCJ^=?Q+Wu!<_L1Z07>!nk9!=4E zd(zqXgm9_Tu#)fS#9z+25`LF+8Rs7n)CoD2N}!tmOcxs>pGj8M`fP;LlwfHk9N{+; z)ol)E!$U%znd>6%rSz$Q3dwB;x{>40f5ApdXS@BFI$n!$vHBRSB{^g>#68IUC zKp8c>CqV#>d5Y0`nHQe3&RRPk#ueJRJ|SSAQgB6zc0HIP!5|@=LOQ?B<%P%!4oBo8 zloxjp&X=g|K9kz+4OEd*XRO1w6m|CRj8uX#QSKj|)pt}P7r2-g@y~bkTk{mX3UK8s zGc@@S`EDuZ;EH-#TJaXgr|B)NM_lw)^6bPGydr0>a|nKBJ3cGPy&;l?Mk)nsl7>r~ z33`lv{+ZL6cOIw&D=11?t0m60&UaH@96~r3(-;=}*jtLWpKh0WjF7VkGDbPbPfOeM zfA*$!@ayUg6Bni1-l2d-NPo{^7pGeNcP6OI`EkW5{d^*oosa61bl{jiA^gO}{A7J3 zqtb74lMnGOm8EFud#EgbLPdQ9AnAHGAzeBJ8R9#a<SeNSMZ?rKwdvR89~G#H~U?U>E}|~ z=-lL=QT;rspJT0sh|KF2eS+!g7M=%aS|!N)!JbW{V_qB9W|iO@X*JXhP&%b(#dUV6 z#|ZfWLB2?

    8Ie>ksGFtYt_!nra*YTNI3I3fIn^ck%e&Fj^7Q=Vciv89+h zuhG0H^S#Y_(e26eTnfHvg7g?=-9(U|QY8G4aIQ~~Iudxj=;@>n*9p+4v=nPEOUM#S z*W#njFCd(a$%hq5XK$cJvJ^RU4Y?b6<0nc|YKYuHs=cv=(@=NAraIwc4o8Gn4lhoT zz+Y;(oNS*(wsW2Eji_?xKiYl|LCz-Ix!&M9;Q_}dglk*sQ+n0=P-Nj)IlLULR9P*1 z)ED#O8k)!UZ+z<>_1YH0V{kX9^s94vmiw?0+u5~_Ow3;9R1@JrH?FMYOAbfdcNb%o zEUq|~(NX846P3x*r=@CFu8+tL?kR(Q9a14B&ZTKwQ1ihqm!+)L=xGkGYx)QIaDH3; zcsGrF;pWmCYtU1w8_9?Lt$y6!<-30SmAv{JRi^a(3T{PEeA^T{124wmdz=-OoRRKZ zp6V{~3CE}=!cIpCk-QTk{FY3}*a3ewyg!&^bRB9%&fQN>b>%y&`rJ~=&Y2VTT^o}QH@4s(Nf zxv08d^0M+(QA;!t-bC#MR>hQ@vBDOTks!V5%-qIn)8{z7%%$sF&r~W;rPjfJ|5W63 z)u-fhBDD@C8OP|2wvf^;PnEf10Gx9xYR-U0A3}PrPuvU6puoujBk5D;1Ms5l8`R7b z}GK%TdEJ%AUf4$!mQE|H6!&Xru@+S5v2sW{+acGX|~1~hX1 zq3VPCHO`Y9XZ;ou`I*Vb%u@Xd z4C&L~I_f1{+0pMNJJ0Kwi1c}bKA3?nNbvl^vX1(<)W&gaTaQ|?$CR>EJk z#`@keRtL3?`gw`7 zFGhkcRVCXHAFOtUEaM!)#d?}UgmzHTw`|;aQ1<{#JU|&^_4)*uk=7hfivGjyFNbU})iPEb65iuCDk zjr}L6-q6mKi;dA5`#gF_YwYvV zHPs=`&muNHwVJ<@PrI6}65CPSa9HOMc&-w=CFI@^`H<6Dzy6bOY7H-PIF)dZ8hb3{ zoGbYqwJCc$9Fa?0?>+AMne)+iVRXSUbU}(f+<|iNjCec4Ig23oQ4S(DEJe<*uuDBg zh;L6L0yM-KaBA%gk{Ok7a3}f)$C@g)G*aad;Kl?fsCwb#R8gNCVqD@e+LgmfNGS_= z2FYo1D-2%qVd;PX4>*%~%w(|-nabqGV=dj_?IFK45H}`8ThfQqe9qOb@QYtB0fChac{Ds_A1BfmlGeeD+J%Osv5o}aYy28R5~Y25ZtpAJ=Xjch7!lFaBS~q zbSldj>n~QHlDuIalkWFQOrH?W!>K028554xKcp5Pc(5Xb6>*vpAXURxDmYeeQp}u6 zI^U!yA+IAGyN_dgA2QWe)K||YzY}K_?leRhG+t4SNyH>X6fAETv6bvpI=c|AXu zT%A62vM`BN#>QueGx(G^wjKaFMSZxJvJd%Zc<(#>8;Yb9^}+rvC4~JmH{W;gPfJC1 zUDEV3AMT;uN`pl!vPV+M&m1k*?*(O~VjNz5q+N9<3;sba8=nxo^s4g>no>i^Dq{mw zB_A&<)|n+AE*GmBf*zw?Xc-L{AvV4MG(x!lv!ao7ar|6&2s0gy?o~yyK9m*jDC^ZU ze#g6kmLkq`?NW~savyPCbDnc9bMQ^7Yng*>-f(QYU$;2~-q6_|^ zpIp}5-9H>r*PowrAM)2^MEZ}h+#AyU_9EmVzxsX0r`giniWmuiK!X??zBGlv<=uGT z7|Rz!a(I3oF`sAwZ*mY>Ubh8?pH;jtdS$I6`f%~r-?NSn7`nF@J2 zm0ieF#sM#+SPE`x5gQRd{->1G_{mqBM=7JLM%tjn#;0MW0L=&$7L z-=)&kBZiQubcHQJcpd(QOVvnGXWmi#x*8>UgQYL~h}ZC>XVzqq^P_5_!6M`ml75#V z=rQ6nykC&HDB?Vcq<;<5sVPOAui2#@BZT*jzwqZ?!ygfqe`7`D8-&9;e~&CZpRBl^ z_;8*+q7RA;En4v<^7A$1=U^&o=NLKHqpU@v5I_}_Z%*9PPfah^b<(KD|N_WXpwU^ zAWJ&`!VtQA)bWmrM|Niujvrr$_%|~2scSaeiuycC8XiiVcOeb4Whu!D>_Tj4k@J<0 zdWhY}G2$GS4W%L^3-E-qaz++$4j@_Q5)St0uoS6y&*AMU-=A+JdW=-;`Rs+Ko*M42 zBMxH~`PdbX5%Srm)UXJ_UbvPb%KKlHfsP=R?BG(em3uwjoE6C4~YAJdnb93^~H_6YuH{B6xL21P^jIAEQS@(RP zQU4mG;R=-Rx(v0aVi0%w*2nkZj^`)zFG~7`fv;T5uFk;tcddpYL}Oy^3LzD{5-W6D68P3-yLxA69Vts?0255 zXm5ED+Y=R9Mh#DLoJBr3ZZ;UsA9N6Fut>$N`SO%sY}el?cd)N4tTaoTcHR7wmhKYg zE`3-62y}uzP5W@-UP%SpO9*g~0F8e3{RG}IJMOI->C8Wa>U~{KKIC0?xj%L_)qb2i zZTec+=igGVTpnKU>u;myO&#Y)&vUKy3ysk-cX<9e3!hZFS2PkmM$Y_fG#lzA9IX)z|hKW(1t z@s678XYjFv{2JzSy|((~wl_W3n;-e)J``EsF7+5oLH{w9dqew&%CRgd!;J(O0(mhu ze9VWi4G>a_mf97Ly&yR}KaaFeG|1?=Uf!og43sg?kkNC!IWH;Wkwxc!*d=aw&-HGj zwtHE!i+dnT6V46_DeKjl4rjEosPs>pcBk!19&DVwEbqg)MJEPM{7>{TUjKuw&$#DK|qxCWes>!AVU5^(y=GA zrHJzbyVPTZe3)jfe@rW0eoQM~t|LCYn&tkVdkt?Rs@nL35yZVLD_3sku$G?TZUHPEKYomCJ4XZgq?v+r6}tN;*1r@mLd*YaU1dJZw26C z?m5253G`$QU&1Z*Y2J6Y#5vtA^%$+-+-b7{@Itcm0fJmj5WGQSDM~+$aF(Wg=ly$k zAlsYw%PnR7k6r39O5c;DZ{6bg`>OP0WVHG*x;A=sW%BdFvHEeEnFv;R|O@msExi+noyN9HIhb-NPaJaQvhsxL1 z4H=Zk0!-ZRM0za+@#iO;1}Qoc`+ZKw=Z z)Kc?G%i*od#rjnXk64-xqB`N>tV#k-CA(0Jok=5?orv={Xr12q)eRBa}&)=oI|ok zE3~86qQ^!nv~zE8oyk3!HY>Dup*HRet>?>n^`&l%Up4G8wF|9?es>-6sh;QEGVdhm z8BwBoE0v3LGNc$Ae*Z%e?9)|Rah>B+$yZ7GM$}K3PO_FH2)6}1y7B#0k(ng@ZPZ`g zmt-AEt*};OuIA@=a}FWS8RO*Vc@HV`%j=UFuD4>o1Ba<{NX{iv_2OfgHRnM@hh}T|`k_&Gt5eun+lt>Yor5 z`|uLda28QLNRVvUlzg}w;jjJyMy>J zPS(UF|L}@Cp4HFPNmM$ta^7H^`!Z0k(tRKCX|uxLzk?vs-=1LiQY*}TiKmkl^Hyhm zlVVWb7;%nS9b@$v8jbFp72RI(oCQlNDg8n2r*gr3uw#;?_WIo3g0peOu{~#|;`)bi z1_j}oc2Jzx&KR}##!W5!ybsMnaPDy1l&O<)=TVv)WSnzp7VAA(V-W3Xv){$a#OLMD zucbvk7wN>h!6Jlrw^)?Mr~Fp0@xU?iS(-{Ezwde6<6inh^j0QwZquS=ruQrtZTJkY zW%Ta>#l6bS+UUbJ?bnB>W!%`9bn{}6?@}4_sNtb@*_G8sXKud+<&6>Nm?1HDMlECR zjVx4q)(MO=Y8fZ@;cGIN#i+{w$*+C40CJN4;rKMQQ@_%xXW+AXI^M0v zcpo^|Do*5stC(;$)zu|RRdZ=iHw?_3U}DEkX#HCqVdA)UrqVSgo7uhwQql-IF?6|3LeM1E|C&f-%tu1;s07DzIG2O z@fjbqz}2dHf_diu>x`C76YP6d^V>ivO=h%nDw)P-Bh@7G0R-R6vOBfE-lKP-X1I5* z;@4OT&}1}MsvfoXzo=knKBMDd!+BUCwZw-K&YB$@*bF-`pNUax9F-arkogmeD1m12 z*_P=GD9&puaAj7+8VnHpFkcG~>5xD3?*0m?eRXldd28or{`{%>yv`lHr3oD2$4m8& z=@sJ)&^CG*_X8Dw@Z6s$RwMf1|5&2Ur+9YJ%csC;n`0yHy<&OfnUQBu)9HYwQ~zn> zl3Hj$@p2z(pqQ*K!c|>X4LVgn0Q_E(q(E9-%YqlUKz2OC6)J1oK{>CX3hcD}v)9{z zY^yJH;xkDB)MUq}q-F~wvW0lr5M1KAFW}+|?-$~DRfjLm@WXcun`$ml?X0E&2fe|% z@36Gqs#`GhJAw0s4z9`itAbEsr>U#rec;@pe>LUh3IWdRyZr>hW1WNRDg-*lwG%kQ4+*%s#4F2JNPtFl-wn9t+X#gu{16e~ zfZPGiZi*VE;_3#y3vTG|)xQ3q;?y>skm1xe)i{uHv-%iPuqL3!xj-Q`SG~{#srbdE zt#*Yw(PBWJn{>IkbA)YxzBnBLt#KI&eV(T{(5LJpy<8#S7FPk_3_m2`mVG366Lm2?Y+P-lzY+>R?5}R)XkatkfV@){QP`0LM;;F#WsBT#OkznXhJVuh@!Mzw>)xmuY)pM5mk>v8G@ zs;)t!r;%5i>kpp6NVP7X|)3U^SiaC|B+}hYL0mc zx@YSTG;w`B@(Iw3HKPEn=l4wppmp5VwgG3u zgxlY&064UrL6{o%iJljmr@W}gbnqFz7lmU9eQknH<}<_?e#@jdL%jn|4|Ztn?n(`J zBONgMxoh<^-cZ6hQarOOl%{fKz~&Y^$ekX<+{b;63WwDG`Z@3xcA}dz4(PMNJF#a2 zUf_$j^-3se*6Y&UBlK?69ME$U^neZ&`HkOs`ir@btM}rs0|&(=+K$#&ad|(a;@(%3 z3yxSn0ir+Z^;kzIK=C98N7RtGdzJno9(isz=b>+t~6{zs*-0DW%*AfL*okc#lkA3KYTekv$;tJ66Ne@;L02l{Y?j{KP_-;pyv(qEK`t2xhG)9g&m zTWAI!)n(g!gdSkj7dYcegr4dH>em|j`~)5AMjvqBrO?_(u(Gy$HN`Z$W4^#9j`v~N z4gF5hACAx?+Knq!tm6%MC*BA28~UqpKBhSE!pAz%1x(<4JbB^Z!Z*!O>;VQ2Yjts< zg{{>odzH9@8beW&&jEpF0G&IyHu8S7(81u0i@#x4)cRPuoJ&go(wBbwnKJze2mD!-hA-)CpxvJc^?smU-`Ti ztuvqxYwXn=tiEV2l_O~*Pa@7nQ4;I#1+BbU3tz7$%5$@vDMOQn8YJ#cjWgg{or1gd z75dAlh-SZ^i%6<#pS6__>Lkq0*6ra13ITMVu1siR+SHl=0zBwg+kz|f8|+T4wchC9 z<5)w%Z%%E2%#9MCsru~D8qY64gLb?2hC$I&s`bM;^eaaiJQe`XbMz0#axM-16F{$2 zsqqX~Ptm`+&j4-ed(p?h!Y|&#KYrWmO+dfcvDctB1A!h+!~@Podb@+GG&OX01p&H4 zfxDZ6(4T!_w?7M<-zx_|m+e3S=|J_b*8QCdt+^ksTtN|M`k@~vE=qj%D!40Z2X_s{ zs5$&3K@aFaP3CEORrlY~LxmJj#>fTURFug3=O`s&Gv*-l1N0yI12pa*Z&2hp&M}gT z`r!%*(lFYpp*-3GuFb1Yg$o_9h7LN^r5ex!RTQ9~D)Ml7We)o%8^u9B^qkPYHn@34lqJr8|Qn@1hMVV!jTgRW-4K>}aYsC8^~M;HVJ&4D25*7SCc z5o&Gm^6D`7?Aii~{rCXApbLd&Yv@&P+ZK$G9{d7Z-lM)>7;Hfa%hzHUE}r zZpmTWs7zbwK+Q1kXQH45V)<;1IUM6xZw$KNm+7hNin2T{Xd|=9>%oz2u@^Ic&0wLN zSvzxW(W3Oz4_a{ZIQPSx_azowKaA?Ay1zK8f1@u(v+Qx)WFyW|>2BAOp-$aOe>h^r zLOnh!S1ec$?HwO0Mg-7uT#WnpEvmQb*sW*obD3Y%Iiz}yxc}L2XaOHRUw=5?Mt|`P(Cd=tUe<*I z2XD+H|9_;%hzsaT^ap4;cet)XOh%1sxraM76m!M%vyWgMer3!dx&X!c!kB-s;dcG2 z^RRrH@Ghmm-1W1IJhXjsmQ!fW=ixcz0}n$VW!inV5Iqj)PZIP1J+EaB;;96<_xC(w z&M2=^a`^TQowm%ZzfeyAjo+6w==li>+}bCMi)gjH#}k%_3+O-ehdWa}VF50lmH@YZ z4*1>$I85~{1=8|2Bx-&6xeIU)QlEkEWY0(7GvGGACs962G2BZv=)iZ-Yr&ug_@XVW zZL{an{YgXAJzM{99OQEu@LN@X3ld*E1%kfUcKwSho{s=@i^v&rXs%U=Dwp38>5 zNQkoq?Ri?+AK6_kKu&%2|JgeeXw8c1-b)0Usl^5nnPg~YXk^F}MNK!|3~GabCI|`% z9ph3XZ)G_|G-`Ni0}c$LXb{b75FBD2$S46C+C&p$3^Oz{NSZN#zyg^eZ~wm9``h>5 zx43-Y9sAOC*E;un=U@Bms@k<{*RJtMX>RA}@M*_y9)#47#r(=}`D(pTA1>OKdc7D- z+v-KJ;K+?X>Ra|JK%>|HP*;Bg<^BP?lmlpeo>_H$WIjE*6aK&I!^rF;d_#9My~D4^ z=or@;Cc$VoiRT#mw?Zd`(7zqfS`&H#|GJ@{^ibN|csE1)d-rJJeB@H`eoaC@y;Qut zyo&~qqfgko{ATr8`2`BXWq|{{2YjobE#c!&OWBZyiRy!q<9~KJOk^5=G|ezqYI3JW*B|R(m2=u3ep5Nmrne z_VmdJVdfL=j7(&DNHeH`jw01x*hLvGe_BxXt%M}kG8rJ9)B>Jj&(zJ*C*IOtqOAX0 z(APTpjG&wX0qtt0r=={A`l)tJZmA=kr@ijI(jH3P1D$Ud_oB_nmnRcSr#6u5A_q`f zainvy$n3)|vr`29j-wx{qMW&$e7{?zz0T3?yR@W+k|(XY`nO((0!jvz2A19fGB9vVv)E9Q?miKt~cn_u3CzN-yG`{VjjQka=%sU2lJo@sz z4qgBqydMG-o>1_9`NdQ0NEuI$h_L?(De@XyhDp7@K{Qc@%HN#Qru z{&Cll=Rfq=7I(`9npuwilc4)KdR`YLy$9J7@0=~@;PXD9Jra(bKvp{5a`= z`~HHSAd$&OC2!}HB%R~KlGS&j_D_ka;kOah*}9>`e%vKcLUv7&?_9B zD=4G6(Ee{hzc~hc$shWIbm()Y-Vfd9e5u|Be5cwqntZ9bT=ZJ8T~Rat+#*Yh`3D~Q zJ&akWmXn6qeKeXqANW3D|0t=^ zP(Zn^#m@b@&OOkWLAt(^wm`$4T_xx{ozwY(ZtW<1vxY32e39!$mQG3|`&6KRWugH+ z(KM~(0+hBBnGllbw*EV{di%#+_n^%&1oTp& z9Xvk)%{-@F(4{41j818eNkjCT#-=w#YPcq<7x{9^A#(hNl_B@kBc9%97xz3LoTsR# zj1U*?0}a39hg}ri*nE&~fDZ0|!y)z;tM9X;g%GN?f>CMEi@UM$w7;Y35wW6)(8<6T z>8xFq*<`aIgMCZNV4j!7f^NA@lm(#%lcMn(j~RQLF_w8kRwr7ialf)#dOXqh=bocU zJcX6`nb2}75~2UtMft!9fL_uqW1bFn zlsUa@HRd_Gpo@}*!5&3FDI0kilim&OANO8b#Mz#U%euMX&G$LlON-d_x75uhhviWY zSmqc*=x1c1J}2{7w-&;8I3o)$H@;ZJlkK9H#+Y%o0fZo>u^%%grXScf(P@bB@Ep%2 zW%SmOL!wylHM|Ybo*b6y6wu7VlcVdGVG|S$YFDavJ(QSUywxs6&s*3)`QOY=JS$_wIH+4~- zVr=mm3r%UWJ{28L9~s(R9L>=iQ2N)<{)cd2Y>QArk}pG)pj?R}c@Rch5+!AzgeJ;J z3`osuk_>V|ub3FE=cDU_Ju zdcULVc2E$m`|RKN#vJ-%(-o6uh5|`_bCRWZHxo2k@P3&Wia8{mEsAsI-Yc|$Du>fX znJ=}13mH>0dYMs)ckrireFwecz1WLXW;FtRoyVRi>G`?w=RO(c2uSB-n$wt!>5bVT z9haD6k|#IAo9W=qw>gK= zf^acTL2mA{E7!v={Z*{5K+^G$dH3_yOXS^W{M}g*=}y*@CWSSK0{@3X0?tz29kvw-%Y$mIV%I9C=%w zN7N{b*dw{T%OUn@4mE~`z96&slIl>j)E!3G7E*aSHjyVd6noDTyvl!`Ry8{Ae`~Mu zHsb@i2XnTEF18Rt%cve4cxR4>62f({T_rX`_wrD5zSz)CeD=isX1jQYS>j@|LEq!= zL?}B#Gp($>hl*Kl-o4bW48=bt^j#kM4FWrPC;zM;-37OMk_EI}FJO>)e@n+2Ck&E&cDPGlHFC2M-Hp7;0Jz+$l$P@{0Y@$IM7Fz)nIe~8L=TVwDCn)u`ya6qBc`ye;KyzFQgfSsdW|@*h?D@0=4nQeI zXde;u)q^;6;KVw(s_{;X@VDuPAbGIinM>%?gaEM)`pYNjN0?(3nnskaBQaV`7 zkC}APiBg&%T%jqT$nHo3vZr#a=^O7v`%w1g#k))I>*L+R6^eM*SadkwMPMgRLN_rK z&e2NM_XI`@w1ihXY31GN{w_VFQe!~lw|@I3_W4bg7W48>PACa3BvgL!v;+S-I6peV z?#kpz6EjF@-}!}1qV1#%QhsoS0{JD14+EN3WFUvqItO2*$!}sy4%iFOtbcI$p`(X% zX`u;ydpVa2`J7@IrL>0fd7$gi+C69s0;>KI2sCTiKkiGqQsrr554~Q{7)82D(3wtK z9~t@=p`GotmkIiIM|C9Y-Hu+^r6tYpwkJ}U96;wd?WIDyhocJx-OJH41s%Nl7{2dy z+J6w*gB-m;&^UYP)GkUoV;3YodnpUhbDaaZN%W_V>VC)b96dm2&mIQtKEmOA=b$N@ zYssT7ZEICMQ+A^X1N5DOUgT)mM~S@1+ZB#(Beb_Tx`Uv>_jQ6k=(HOMdY_}yIw&!5 z>Di}7;y7VgjY7rKr{t+vMCb|otVxFa^}h-uIGo|BJ4Dj_14niK{l|_TB(#Al2ka#& z%f1uo#WI3!W>=Qd?T*e6l>R5Qc|I>3SmOjr9Z3$<6i`-VCrTe4^do})oui)=^jJqH zLwcmEB z<$Fu#9_W_-rmoZ6)=}zFYX4S_UM(oA_K5o?LAQ5QSDXBiquCDe=DQr#ndglhCB2!3 zmfj-mk;xYwTGQl;7JSIAB45z&I{HCDv60Yb8AHno9nc%QB?L;!KvPRVnQsD3j|p_1 z6+dWxJsW*k)BbV)_by+aLU(%cqxLj<-nm3L9N?&WSMPH)ccAd*p^ly|96sRa-*-_` zzl}Zd4sB7%4`>@hD6(i{2t^kMkFcOKUw7S6`}+n9AvD)K^R%0zSqnk8arBp6?E{S& z*Pm6<2aKYW4z!FMaL@gQJRM>ecdad3II6v*>5k%IC0|x~!$H#<>-yBL^F0J;wa(9S zR9l9~+r<)Fv?6B-+R|I(Iu`Q9g0E}R#@a3Ktw{8FvoAfc4xJ%7*;9l%g z#%xD(oQv4r;b@MDfyQ{$k0rK|d3f-RHB|ky($`BG>i&AP^XV%S+gm(uDu-zGUaFPG z8nYe>PRxL(M_Qi&U&UjaC|X*PM=hPC``K=KL07U1)CPw<7oZb8)=nC@CQEwJgE-Yl zy|#@lgizJgi5}Y~MH_m`CPRABgEkglG--Jsi$@o*4XjV%_pWx8U!b(Opp0ZBO4|y$ zx1jWG2Ghnk4DDxfxYB7gHu{cmVE=5=hE09iLJJBF78bjjqcfmb0?^BamYxJCyMU9! z+Z_Fcpp3u6iM0$#8`hrPafvd*3@s}s5@k#Ulo%5wy`V@n(H9(DO;7_nw3_254aL%= zR{_5{c7a}Mp~-g-M|0E?+BSPuWYuQRiX70JFLd;VUtDNOh810nu%YJQzG3P;#ojC< zh;ZR8Y69qHb`{>B`1YV}rt9T7Ge;RurkO<2yNjtTI;s1zW4E@>>c+gVo&y|Tm8{Pe zA87R>Ye1YCT%SYkB@L%evh=QRLPpN@-oExxw>3Iwu@fn?tsK>UXPe0@vT8GrMGj3L zCZ|5o`=HM2=-RS5e@Z%IbZs)E7cY+aUc9mo*;Q;R=yx6cprFxX%Q-M;$71HZ_?IO; z%T~%L}L-3UHb%>5Y+O9Wk5k zxoGpUr8L_-a*;#RhviKlVlHre+SvMBV5}&4so8Ms?)dryBTFwWZ}SPtXly_0{9a`R zO<8N3wXwHZ>JyCBtkm8f+a=;D1gf*k%fhTwq^y_pMn6{T{7lcW&Mwb(RQ;%lnPs1B z>76V-;$-q^v8n4@on+pJk?&$%Kp7PWWey1IahF}Wzmm0#gg)+}y1(*U_7b7bd1yHa z2CiJ3Tteux9?BQrGv1}Y+J3x*#+TRG0obu=yxQRk=jn#RIYP_3^dSlTYmb+F<_R<{ zpU9@a^8Bil$!C`;&o4>n7ncgvcR%N$QDRFhEiYc4M|U_!dB%A|V^^M<)``kzobHhEu*QS$3~`UM ze(tsBJbP!3hsLVJZy051TFb3w4l){ zttx1&Y0(ultgC^;YlN0q`sF)SI6USY))tgir0^vtncncISZkW-Q%<{vpy9c(S~Y1O zc3RqoM8l6Ox`AZ4Sm{F=3g0N3*BU~qT8%(9le0#U@~_%Od0!{10JgV+2fb0ytsT8p z(9IqFt)QDYdY7OZI(na=@%7sW1$~XvJ|gI=9erHTH5`3P&{Z6LPS6z{<%o}>;m841 zC&w!a`kV!U@}=*jeag`_1by7mS9j2;Cs_MdPbPoM@mE%==n3|;Xo4wB_lvW%6^YW0 zK#QGD6fFQXWgLK_C!hunK!dilmc+z`U-aT>&=r|z?cg-T?gZMaOc`wsw6qF|PII)3 z7epG$D!PH^{_!SfgK__~U4;WrUon2%j{xlCU?BQgL1XvQ=LMbb9Fi~TIJ%WZN178^ z7U(V4r>rdSBJ9>FYtG5aubs~~WhWGWE5~AZ50sjKMl7)_-`UhqLaAx_4&FzgymMqH zo=C-U9#42McNs-V9~8kc{3hbd(4|L{N@(c&I+h0FD>(?Xd5on#hrF>iX*twmL}rx2 z$xd;INi=Cg2bxD-^b8$O>GUdJG<2H%<9BN_i_ozYKX2fqI#0uI#GU^zkr-smaW@vW4Z46HC@fbeQX|0GSvEUZ?SB!v_s z3mM6NaDNe!3+R}YVgXWOqnLt}*eOyVB~}U#Xz@O&5ioCrSDy2;(TZL{`!G_0pqV?A zD9{Y6{B-}8_sPRsYy{;{-{!%2=e@{Dv?+A*9FaJo1G{c>5kQ^?Z*?>G5Vfjegg4NH-7fxK%S$gbW;+*lk z%2bY+sIl?%>r_dQrW9!p&b0I5wnJ7x1{FMXtk&x37wZK9>uf_mxX=mQK9| zu07e?HzR&1E~K*RSq9>Dr0zcJf)Di*Vri(xIr8O!6{H z*7+U7;kA*oBjZl?lwZ6JnX~WB%O(6+&FasVqw?|kDL4cCeDM)SOfPu;a?CVpyi_>> z;L5*q*UHidQaxEB6``XF{k>@`d}eb}7ty6;;Vkf{I%>5J_q4kbK%&dKVjWar+Dj{? zEY*^m@j7H}%ZE$V2R$~_A9`;9J`Q2>yiVt#%YtyU17Zi@gXOfdY2Uc6K zV?NKMt6Y@M>uvEZjvjti+BJoh`y)1r#bGsU{OAu$rCwXS0ymA@Gh-D;L)+}|sHdk8 zNPHCEuIM6}d&+4(FhYf_6VFi&J_&-JKRO%uML@US6}YM5Y*6yG?lG@SZ_MbO4c|?b z>Df&z(cm=|*j)7b=*7A+tfreiD!WptH!tcwIz#C&W>bAh^Kcd?4S=X#UNw*xwy zxOFvc;^ST!w2G(jh(4yV#Ff{*4Es8-#b#Fl#sJ-I$&LE_N@}q*F=ESIrj7R@Fnz`d z7;TVCPr4T(W@P8d1D?6#ms=kE5l^e)b=%C<#pJVJ0G86deT-N-J_MG6SV+3lZEQ7N7mNB?k5yP0) z_1KSJJH{H z<^CqIa83V?&>|LK`O7>kQzX@A-xd)6aFj_|geSr1Z$vYNK-~90{7l19i zlu)?;KcxS`T(-6pkZ1T(ItyGvszJYZzzu8>P$*@?3ZgFeb@myzUebpd zj8lVwh`OU2^rho>w&ERdmRp7?Y_I>mKFrF4hEZ!7|3*2YOeIm!uDpEZ2c>nqUe7~s zvQp8Iy{0>vGva6V=(JR`@BqIMl}W7l&D@j~WG=@|`T*A4xeC1^?ZLcfZ^3jT^-ZGl zt!|&o)cp_L_1{-VF0XjXJ+e*(tIy=z6OFXMFQFdA(~Ca`6-ryAvyBOq@^aRxX0Ifc zD|z^`hpaJjPHU_SK19xcyGObU`lhJp{c_Z}ub_WY5c8s!>o4P_n|0%~*OSx8bi0w* zRP9qr{UIarJ;gB{+OA}D59B+zBv}&QURi583bl8%k(o4~+Qc8WS4w4OlgWTlRy0aL zk}$sNu!^U&_Vf8dn{VmyY#z5jf9l;I`OB{IX!^(x9nRwVfd{bYUJui7%~)9LNVg1- z7AA7)kZ(oN%?o#?7yP)I#VV93wtt$W4FAwIcun)aH;qxyJduwG7XD-q zoO#okg&KZqt#e#$NN$wQJvA#d_x3D}NSYJ{$MRe@RFcOT+$j@*Xg?e4*t5!CPX(12 z85y-b3P~qSc}u!*#HR* zBD4*6+|++hSRCq3p2SsXCrm??s}#qMLy^MOIaf!SYYz`r{x;0FW5iX`J=Dkl#9wmA zf1F+MNV@~)ANM6-`fIN9>;Z=76G`Zhbt5q6+`v;}dZjCOm!xaw=8KZ(ZI%#ah0`S6T>t{lVSU0(H92*(xYpi5GrAT#!#}Bi3KMk}AHwGwV^812;)ZkrLPpb# zL1EC*iU!DK_eXsVJcz7~!0D>d&q-ZeHRa2r=tQ#)Xi`NlzPH zY~Gmx2UQ1jk;S%l_+Mv<0$%ivj`&}YDkxsGG-W%f6&Yc_acBX{=Bx^^)St0;wR5|r z+I5|s0@G>@rd;_?M$=S(5QSZ|saE<$<0=R}S=?N%WnzRYm5F^#XH6%%_pi(x75U7-ck^}#n zju2OFk9l#)ZvTn9(chdHja`yajK&s;RjV`vVx?6Ifk3sQcS%pQc!MD(TD(w*JwsYS zbWBCdRD}2-j(pVaUsihCXH-h(4n@3#g_Y|DwGH8;Tnn%cW<4#Q{(c=bX(UPp_r+TW zj#F=J$1O}5TE_bSEF0%!E)a`MtI9r-z<$~D8WROIFff$!St>?(A?jz|M}LRz{1YPe z{h64A*lQ2Djy7izFuJ#WTBLW)pN$|{^t$B19q|-@7Q&A@049hDo7$xBThK(k4_6M= zUwf=6tz&B4;B|y*~i~6p~oX4-6BqC@DG38R)tki7? zZxt#SpYvFz6q<$2qTc4&5c_)EHF~@%mE6GVZ)UI#&^it#49lPcLeYP@-#op?K&CSd zZ}^oqDZCjGD#%yNc{QPK1WdQ8>WI&)tE)QJPLj0N#A%&4twdO6{<>-S)Bh4)GzB&o z#Li5JB=f(!$3leiI5;u^uaa9#9mX7rcjq!!lviBCm%_0Js9b!AcE_yOK@ng-1hJ0d zAx?i?VpL&g@w~WocBJC zhC)QN8iOEQS^h$cVa2KWxj%dWuSP$!b$BA?=`Zt`pqpySR=CVD%BZ| zv&J(3P*qyTX^UiZBQw)2RjR($N}beUHcAM+hsxDtr39^?s%ot;J{Y9aylK*6^GDO( zj}7s<;XWw~ZpxNzDg5I-hUR*_lx510?83tR1w}paT0loU5m3=$%0zSUP>2-n9wh_& zKg=gP{G>JbPcNR;yh%00yb1Uol72+a5V7`%1+StVUV}u}=EoZYDjZF-6}gyZw{<_P zBkHA1Dd#H5XNIiAOOl>(La=ru?`_KNf6l=olp=lk41-Kygk>Um?@%s*H2Fx+;Zgc{ zSHM{jB4RT0sXSRc2u!e9eV{=IZD^hMqtu0o`%!#?Qlp+pdL{7qQ;8WmTv$o!13Ucy zo#@;)p2kGk(1;6B_5W(ng>o|{KhLA~CpNUDWB?+1Ry%|`$;%QLU01<%FGfR%g4t`h za8Gii8n(QLF}AT%B1DBEt9plKnJ%gq1;DLt8>jAyaz;jT4_uQ6asCK=b8rUlX0Kaw zu*;tCbvIvquQtQD{cYO+S_x^I9Y0?3@udjIng1qfwohN;P$mjC9qTkVB4Y+rfi)u> zRA6xkAh6c;ryRh_0s9(RG?A~E6LtH;gpFBtlv;IAwL`f6O+;jG!|H>clULKk3&o!j z*$C}FHA60fhi;=Ri@W#-^inf6`=ilx-ZNbUA92~4podaHso5gE3~lQqNi!LysQB`w zH~0OveMU1Zm16;Dc~F2bDa3FNVwOMpTlb#NfE+>R`j(uQ5f^~EcMUBspopU5W0?B( z>wdNl`UCX5tkh0mDl?CxrqymeCF1yb{>HXxV87kPRnBH1D0y;LJKE}0d*N^lOj;&~7r5+mb%m*K zB+1%JeQfpd0OZdzwyf(-lbPun`7k11vmXC|sFGf2XwA7c7`YlgUi{0;%J|*6HRxQ+ zCFL*ia!l{t5^qBi97en|8C{oK3184(bUu6r{-jIR$@WmkaOrtG6Uot;g_7lT%}~6I z$isK2RdMU!`ct>V%mA3R51;2p^*SOq`B_5R1oJB_ZK!MP#n{L^w1u6e<7Gdc9{V@2Zh!=u^F1acfO)=EkSQ9q7b(ghK$lUrBP8rpE zgr1z>HDszg-Sw1^AFqLH@QY#}y9`ZdS5>86DcK;t-tpuBV@L=Y|HTkp*8C4Kn10p` z-NhPQFyFc=BJD*yM&KREj>v2-f!YCrf&9rKXl`abCbI%;zRNWIsna%-B2@-7!%pG* zPc%D$i10*yL*Ec1mT-8)y*Z<78JoxY!z_NZj;4zLVgK}bG5~%+*uPgJ*{4iZ(OL&4 zgR&L2E`w~?&~rF`XPBHVQiZ>`GScMq@l|gY4Z2`Uu;G!m)<#^6#~2HmtS2FRiZNaf z%rZ3E7X)bvllqVO|Kd;h&M+5o{aP~?J@@kgG!-HfiU3nOf}he89*&{+hbB_l?k_US zTN`1T!0xYhx+TbyM?h#5c|wfvA!drZ9D@2*mbW{dPqx!%ARlUT$^AU5hx@ym3(omI zX*M6xudw2QaT`RQt){u8ZHjZc7U$W2yyrg#u`-**QSCqPwM3=}26TkOpcQtJhqkM> z2bi>AaHd3v=W1rBjuBWcx3NJuwZ$81f^}4W6w1_2kR;en;eOQB71FZpfAICH*!o!A z*k7SkaHt$1wRXGY1+nW1TH4dgi~i_1Xp+rZrnNe$l67tu0yC5rc;4&p~l%7DEa%u(y>BxlR?#Q z8nB^-wbm-W&;yZ#T02y_Ww~62U+D18AA>)cPRG2H$$)L1{$DD(=`9+`vinhQkG{JO zS85nl2wWV$BAP%tb(WO%IbBRv+$B@r8)fU3{ z6YeTayr{~TGMxW-Y7G(@f)C;|_ok{9Cmblgs{&Y)Osrw%yu9V`PZao=Lltu?Hs$cq zs|gKWuZK}dl-c#ely1<&g|pgU6N`UV=WnEirs;Vqo*KX3#hpe=-0S8lbKZ*m`tnH+ zM>ub5=s`-ikL}gnm)fJp8FHw;aQo5&ypVpzo}ahqu0F{;v*4r`92=#lt-0lu_V_F+ zFe3kC&bxCD`tGXauD-@fO4GK~U_^uOh9C+dxGL2lTlz&tJ7x8qngEK~9!CL`fx=Ar z(Gg=x76;6?T8J;d-8S-mXlOEdyBsescnJL?ulvaZuqe*NWr4fKL-?cAFyiW|^X;9U z_g5o;fTAGIpQmhXXu^1A=sk;f$Gct-=>zwu@p_hOvHL{n&GDk{cGEfu(`mc4)fvWVKvZ^;NpBrZkABPqE#ywYSLJ;?%UrTijR? z)8}a!yDJjsDB)F|E=GR#^ali%`_?|FtuF+F$Y?salr|p2csWhZNs2{&0^v;U7&I=~ zmCpra#fx)~m|w*!^C3#*Nh)%CJzZ3EyLX29VECaj zfV43(&w_Xkv%scqIa>@{{`~RFY26{$6f57#M(+61vfG#0S{-mMN-7QWg__LkpDd6f zg3C)Oy(iJ?9EY=;?wvW^Az6KuUWwA0-o@)&KAlg^x+=dOw~mIs>VH-ee1bzfU-ov) zI(9@85ty!E24^sD7M zA~SXOwQ26`vT@Gg({G>lY|ooK)Nghe_}YZQX+9aKRk+YMJ&tbLGFY${uj0vD39rb|2pt|74h8oX~0LDa_sbU_EPdBy^l!l23 z`xsT49+K6kPnf!N6PNn02!nnlqF9*J1#b`_(Zd_0N$T*Rc$T4oP+QSGkMOPSi=9}^!@l9SQDZd;3q3S z;Uy~`bk|Qk1uJcnbkx&;rRL>L&ypQ2x3)Y3*l>kF6ucG%mj8BPUZAaTa&zaWRgtw# zBVP8a7P%|lv`#^BdU!_i(b4`Ahb!A0h#`%Dig2yx2R%UCWJD_7*DsOB++`+tu32JZ zpKR(h#@3~~J4vpwBVV4Sx=%~yzV#^LLaeb@S4vpRNzyD6e_8#}Fr7K4ZqoAD<(mWm z-}1;Cs&-l0_+##>Vih-E0wz{Nf&~{`)Kw9qfBF{5P18VCD4%Ta#RXGhO5|sy*l4)p z9xGV-tzy0YB(5@&WIBm^$I$$Uy&p+S&k4CmGI46CjaS;>tT<0^+a32n?ZbKY5kKK; z`&h+6-1GRZH7lmWBUI_z+yzHW=a#+1w+nvFF4m^R`gRd^NjG)(!w}p;NZrv0=1E3V zyNGo_dT_}rrNwoCe8AF@*TPqR?^_Q%kl!p5|9YJHG~U_BZ~ReFQ_%i;Nb3x09d`p0 z$)&=OV~SnvVzg)s1M@x=vfD)_h`bGUE|-HeHQXwQ|HW+9{Jp$k>;NErTRvi~J>W^} zCHqB}8@d&^;=bwhTTbhUqcW6;C=7@;BMzcG)R9b6#0zB4o=(VoOkL#Ft&axY*0TxQ zLUV4~4h$}`51Gu_Ro-6X^fM+H;@@ZaaT| z3KwaYYdk+#zeCZBaZXLYVpXBV=rMIUFnsl<>m~06?lWFP{PXmuDeU|vq!wv*tvw!@ z9%{Z2K`0?9Oxtw*G*Cs$h(weHCaRQT2yF&UC`G9ta9vsofZ)Bd%q1_~{30JMza-u| z)vL#g7GdUrIQTs+x7>}~;GCny+s@h=s;fz7wf~NN5n{PLy1Q(*KlB;nJ++Fr=HeJZ zFwj1pSS{-@`Q?OVbX8W~@lx0cl=P}QO@R;^Qu!II^DE!JZ@A?ktI;7l+LQ+#-`vWB ztQikm&&*724vyBmWjxs|3Lk#DA>rci!FdodP~Ts+VVBWWZ>X{x+n^f-H0ZZ zXu)#i*4RZFIxIHbTOu@NQ(DT}?ZH9;hg2_<@VP}6Ng5@llNm#xHiD(I--gZ^&o!4c zQDGl3SruS!;()~};z;7Ce)SL6f;$3h`?1XCOo8k}NYK~g!lN6}{C*tHH5`YI^dB|Y z=2eEJcFwjrNj=in#Ake+#|^o?z~Kfd(+V`Undo@$Y`diTbZg{DftaA4zE@3MD8@wN zz=oO~Cqd~K_ltcvLdo-^&nau)_q}=5LsF&>>v3Im{%f13hnoQX@bp?K{SLK#CVLrZBRn-}n`<39Ly{_r3ZG&0-J%2$vtnVUlH?TcADqIj(bGxjsY?a%; zlfzjq3BM0z)^5{yHts}byfMp``SlMhOm`BxFxo^gW|H(>PV}WjUFYs5L@B_W; z?&YOV6vQY}angk+797jQa^{4!LV9z8xiF2ogx@JE-8SZ+6f!weLXqghQ>DE%#8q`I z3JLk2_owjA*)gxvBC#O4%)NAR+rMA>!OOsWaQugkSDBID7H;6grZV;_PNZ5>!Zp>! z4sVA%XPWB}u>$4;e$<6Kc5(EZtAgoA=FbtQbC(kUIdnHmgRf}KI|@99ztCJyn>kJ@ zT9o8-Ny!p`aQJt>b$y8My}FwJmCux~aa|b{|Kok5qnq)VaJt->Lf`YRu#)gA3Cc-) z$I#ck`Rw-WA9iicB%HU`tQP}_X7H_wnp2yV2*}9ua=tV5pyvojgQ}7hn3un#Jxhl> ztv~$5S?6<8D-(5BzgrT~65wr(d0m&3$q}VH6sFvO5=*Uxzr(+Owh5BT;M2#Bg3kQP zvwuHO4b1f5&Bce`7nN1QKt2zR7JdY_=v_;gVdPxDzh(4YS>@!WcKFB}z$S2Ak(DV_ zB>^TyNj*}2hK<^U&`3j84{b5b?<-!_r3olcbl=7vY!g!C;5b%TG9LB1??}~9=YK7p zs=gVU%$bAA|Br$)-5*fq=#0{a((VyS2h%=8N-@k!zONJ$Zm&^Kgw`Lu@?Naeh}H-q z8(Yw7;ccwx7kXfw{8urcf@DfN33w7P zUf60;-mDfb{u9^9Z~AE>%9`T8rKsTGq>R=G*hr@xGIX{M*i~ZBiPTOVJ9~1C-Wc}q zMar;!Y3oVQow?i{+NS75I48K)t=3jsMq;@SP!D4nB*WY!vw$I=Fnz1C@+`Rj$*2aefo^a9!nB^0y5~~`ek<^m=E^PD5 zZ(OINQu~9`w@SP?xr5>}8^I`jm0Exi?1Sg;>Dol8*4?+x0w4jZN%ng4FkLmWoH%q2 z#*Kfp)A><<_bW5k1pDKAZq4_zhLs0XtORwpZ3n5a2neuB&x2A(A_Cu0gC(5q%r{S% z?&ZWA-D8c^8ovcFKRpW-GxPc0;F@S+s&o=wARy-=fyG<>F5CjFDIdhuw8p>hz;K;t z56-s4ZS{uyXrbWG_UFd<%P7|wZp8e!BSoKfMm;!pi+!-}$wUFB-u$j|j(hKfZ+WJn zZ|3OL@u~u?#H)B(S}89-_W6y-1n)m-J;zgqs_qO+&`DCP@w4xnx)#a`Glo3H=Cnmn?vSAWf@kNxp?Z2Y#s0F#k)COM>_=%1lP&5QpH?*9SohwSwL literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.cmp_merge.kpt b/shifter_8b/db/shifter_8b.cmp_merge.kpt new file mode 100644 index 0000000..1564f30 --- /dev/null +++ b/shifter_8b/db/shifter_8b.cmp_merge.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/shifter_8b/db/shifter_8b.db_info b/shifter_8b/db/shifter_8b.db_info new file mode 100644 index 0000000..c221daa --- /dev/null +++ b/shifter_8b/db/shifter_8b.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +Version_Index = 167832322 +Creation_Time = Mon Mar 07 10:34:26 2022 diff --git a/shifter_8b/db/shifter_8b.eco.cdb b/shifter_8b/db/shifter_8b.eco.cdb new file mode 100644 index 0000000000000000000000000000000000000000..6612017e10ee479d46d8c5c373ee2759c1d1f0db GIT binary patch literal 175 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXAn<&r(5U7Pv43 triple_selector_8b:inst.A7 +A6 => triple_selector_8b:inst.B6 +A6 => triple_selector_8b:inst.C5 +A7 => triple_selector_8b:inst.B7 +A7 => triple_selector_8b:inst.C6 +A7 => inst1.IN1 +R => triple_selector_8b:inst.C7 +A5 => triple_selector_8b:inst.A6 +A5 => triple_selector_8b:inst.B5 +A5 => triple_selector_8b:inst.C4 +A4 => triple_selector_8b:inst.A5 +A4 => triple_selector_8b:inst.B4 +A4 => triple_selector_8b:inst.C3 +A3 => triple_selector_8b:inst.A4 +A3 => triple_selector_8b:inst.B3 +A3 => triple_selector_8b:inst.C2 +A2 => triple_selector_8b:inst.A3 +A2 => triple_selector_8b:inst.B2 +A2 => triple_selector_8b:inst.C1 +A1 => triple_selector_8b:inst.A2 +A1 => triple_selector_8b:inst.B1 +A1 => triple_selector_8b:inst.C0 +A0 => triple_selector_8b:inst.A1 +A0 => triple_selector_8b:inst.B0 +A0 => inst2.IN0 +L => triple_selector_8b:inst.A0 +LM => triple_selector_8b:inst.AY +LM => inst1.IN0 +DM => triple_selector_8b:inst.BY +RM => triple_selector_8b:inst.CY +RM => inst2.IN1 +Y1 <= triple_selector_8b:inst.Y1 +Y2 <= triple_selector_8b:inst.Y2 +Y3 <= triple_selector_8b:inst.Y3 +Y4 <= triple_selector_8b:inst.Y4 +Y5 <= triple_selector_8b:inst.Y5 +Y6 <= triple_selector_8b:inst.Y6 +Y7 <= triple_selector_8b:inst.Y7 +OF <= inst3.DB_MAX_OUTPUT_PORT_TYPE + + +|shifter_8b|triple_selector_8b:inst +Y0 <= inst3.DB_MAX_OUTPUT_PORT_TYPE +B0 => inst1.IN0 +BY => inst1.IN1 +BY => inst5.IN1 +BY => inst9.IN1 +BY => inst13.IN1 +BY => inst16.IN1 +BY => inst21.IN1 +BY => inst24.IN1 +BY => inst29.IN1 +C0 => inst2.IN0 +CY => inst2.IN1 +CY => inst6.IN1 +CY => inst10.IN1 +CY => inst14.IN1 +CY => inst18.IN1 +CY => inst22.IN1 +CY => inst26.IN1 +CY => inst30.IN1 +A0 => inst.IN0 +AY => inst.IN1 +AY => inst4.IN1 +AY => inst8.IN1 +AY => inst12.IN1 +AY => inst17.IN1 +AY => inst20.IN1 +AY => inst25.IN1 +AY => inst28.IN1 +Y1 <= inst7.DB_MAX_OUTPUT_PORT_TYPE +B1 => inst5.IN0 +C1 => inst6.IN0 +A1 => inst4.IN0 +Y2 <= inst11.DB_MAX_OUTPUT_PORT_TYPE +B2 => inst9.IN0 +C2 => inst10.IN0 +A2 => inst8.IN0 +Y3 <= inst15.DB_MAX_OUTPUT_PORT_TYPE +B3 => inst13.IN0 +C3 => inst14.IN0 +A3 => inst12.IN0 +Y4 <= inst19.DB_MAX_OUTPUT_PORT_TYPE +B4 => inst16.IN0 +C4 => inst18.IN0 +A4 => inst17.IN0 +Y5 <= inst23.DB_MAX_OUTPUT_PORT_TYPE +B5 => inst21.IN0 +C5 => inst22.IN0 +A5 => inst20.IN0 +Y6 <= inst27.DB_MAX_OUTPUT_PORT_TYPE +B6 => inst24.IN0 +C6 => inst26.IN0 +A6 => inst25.IN0 +Y7 <= inst31.DB_MAX_OUTPUT_PORT_TYPE +B7 => inst29.IN0 +C7 => inst30.IN0 +A7 => inst28.IN0 + + diff --git a/shifter_8b/db/shifter_8b.hif b/shifter_8b/db/shifter_8b.hif new file mode 100644 index 0000000..82d156d --- /dev/null +++ b/shifter_8b/db/shifter_8b.hif @@ -0,0 +1,62 @@ +Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +11 +936 +OFF +OFF +OFF +ON +ON +ON +FV_OFF +Level2 +0 +0 +VRSM_ON +VHSM_ON +0 +-- Start Library Paths -- +-- End Library Paths -- +-- Start VHDL Libraries -- +-- End VHDL Libraries -- +# entity +shifter_8b +# storage +db|shifter_8b.(0).cnf +db|shifter_8b.(0).cnf +# case_insensitive +# source_file +shifter_8b.bdf +323ebfa5afd7389abf1fcd4efaf6de +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +| +} +# macro_sequence + +# end +# entity +triple_selector_8b +# storage +db|shifter_8b.(1).cnf +db|shifter_8b.(1).cnf +# case_insensitive +# source_file +triple_selector_8b.bdf +91b7a41e9ebd47591ce44c4793a9f2e +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +triple_selector_8b:inst +} +# macro_sequence + +# end +# complete + \ No newline at end of file diff --git a/shifter_8b/db/shifter_8b.lpc.html b/shifter_8b/db/shifter_8b.lpc.html new file mode 100644 index 0000000..10ca6de --- /dev/null +++ b/shifter_8b/db/shifter_8b.lpc.html @@ -0,0 +1,34 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
    inst27000800000000
    diff --git a/shifter_8b/db/shifter_8b.lpc.rdb b/shifter_8b/db/shifter_8b.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..884f110372d3153eb9ec466bfa23f71f5a76e479 GIT binary patch literal 435 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXAn+g-q2q3U$gwQJ?6vJjHzk+ee#PeK-40w(i zyRshK@9tmA@^Mi*`yz!GN7*&DojH`T^fg~~WwufD%uSQoW|`SvS|7jS`#okiChjxt zGe6FmyR-C^=HkdxTLYS`BLn=6ts+#CefULwq^eG~*Sp;OB&{QR{gS8Os#Y`nije!V zw}fHIJgHcVb+X(!EpHZ`cIxt2kv(1d-IQ}m(hAm7*M-eA3sqXOvf0Pw%J*xX6Yk_p z4fuB2H-Xo@@yrLO+^Ldt!*woLUYh=d{heZ%g}j5f|7uf)+LN1dZ*Mtx?OfRp76yj@ z|0@`U5|SC1)D*;+9w~?~{~_S6E`PluTs}NLeE)>=_5bFiu&qpZz%ZGIC(B`$bcdtY z-?@8Y<~p04UzeB6c=}x9Dn?26Lz5hO42&#PPFy}Oo3<&lr_w^WSto#%Ux0hjik2DD V{|_AKp4cd;v6-<06pH`<0|3qnrmX+~ literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.lpc.txt b/shifter_8b/db/shifter_8b.lpc.txt new file mode 100644 index 0000000..2bd42f8 --- /dev/null +++ b/shifter_8b/db/shifter_8b.lpc.txt @@ -0,0 +1,7 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; inst ; 27 ; 0 ; 0 ; 0 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/shifter_8b/db/shifter_8b.map.bpm b/shifter_8b/db/shifter_8b.map.bpm new file mode 100644 index 0000000000000000000000000000000000000000..e4792cd399bc795aa289cd07735ea54655995e86 GIT binary patch literal 609 zcmV-n0-pU3000233jqLb0B!(300011WpZ@6CZc7LN000000OtV!000000HXi^ z000000AT_E000000C)lHRXtC`Fc1x214tky7#Z0}0VfnTqz*8_z{U`@X%Ine91{1Z z@q_pehyg*vy)<`N32G%I3PPM@o&EfL?|io9q9}?T$8pBwf2u8z{u}AANU2xqCnAW@ePT`E7h-qAY0l+&BrdXc#4? zI|%wcH`K#49z^{hC1z}5o!IQXOl$Kog}w3%A*J$_;FS2b0)uT)0~h@>YW4XVv{4(= z5N5CXOyy5f9;>pU08drlE;(?^xJKVj!IOdR6d}AJ*c1w`^b86y=0m|(Fe8_*RhL&G zINJk>;f-2z=vqT&mS2*E|I=!9dj|jj|NnRa5@%xo0%jmq24bfG-*_kgP(K&Ppvd?D z|Dcd~Uq?Sjch{hJ7sn7sC&yq{&-ocDj36xx31E_efeA?S0WnB#fMZaIXNaf2pG&+^ v7RXQrh88dhQ6>pwgOquAy12Odq1yEETX6-*C@6CZjd?v000000AU3H000000K5VK z000000OAG!000000C)lHTT5@$Fc5YN^L(?HciuX%owxc z^JV6&SVF;!qaZS27Ui5&b@Oc~F2#*2 zI-Myh-HEh-GpkKoYqmQE+%M=~YkHTa3GB61=|c@nu|3T5f-|IuN88P2sYSWI{6u@U z{NeRT%i=NX({viYm$Q=V^}BC{EFoey(x-wZ*7pdkHj;p?S^$0RdMY9Z>qSFuy%-=g z;Mhvts%Vf#b#hX-8fj_B*lKC`3p8YRAHO(9_@dw~Q)Gl`pyScf(7i>IiV2q0nO+|nXvr?=26sFEp9?drfA((`4o!HJSNSO=kXS zlbJs_T;}0UCAX2zyDv5D7H1AK{auTzwJytkXIET2zz?oeHH&bT?H%Yu(K6BhgfP>A zSyq`LT*;V-PU<~}1h^fP&bzSl{toDCSwb$_|$KyoiZXsB03rQ1axAN6jq59g~Qs>dIP92YV zozKHMb@1bnC&D^)q~VcI!aB8E?~(ZQ)S}3wMf=dG=ZGGLb!vyzBgG5Q3Q^YHp+~Cw zy9R4l%p++5icDIx--G&R&PI(elQ?41;gB9PPsv zk?A3ZvJA@#4h5_2+gy)jMXcW{``9miZN^1@H#CfN0%4Bb1S~dY@%1bF#p{JA4r@I5 zf`tEbl#YY;9r5QmR!S8w?6*oEn%i-&FE$?t3=*xcj2G&`tLS8h*IPCpa8bl3$;MHI zBi6?iL7vIW0pDMytb&&}-afdTme{I(c|OJq{uh&Q&4|7#Ug(1fuB=~Pk6eH3n^K13 zym2iLiWmAwoVS>LT=%pq#tS(aBrhE2FnD=YlNZ@XW4v$-gXD$R*)Vu{S(6u8pD|vz z_YIO4uH#|w!nmN$btL;^j2HZKD&vLM9HnZ1;XW9ggJs6RlhTQJ?@-!vv&Z=6ZO# z1~~>fdql!?M9$S#Fk}X+xGEikvK$;tfo!uSd{hhpAokKwG5(LY_+{FsyfqV@T zg?j;LwU1|T2+vJ}eQe6wBdY*;GTu4T*~j0{)zdTH(|>_U%_MFHF`ycd$;x=t z`Z&A#_{2N=`#OR2@PKUw=@CZO1COK^cHcj+fZd<~ln0p)cO|m*TV-n=vxB7{0F}sL zSB9|pdd{a_4zSH9fJ$L02poOjAiwxDgP)7R1SqG2E)NdtN=|iuPKF?$oCXjB00030 E|2FhIOaK4? literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.map.ecobp b/shifter_8b/db/shifter_8b.map.ecobp new file mode 100644 index 0000000000000000000000000000000000000000..e05efff040e83d1723ed53a45face13651ff8ac2 GIT binary patch literal 28 hcmZ3(&&U7*6?2TAJTiJ>^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.map.hdb b/shifter_8b/db/shifter_8b.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..08a27b42337088ee61562f5791417ae416bf24d1 GIT binary patch literal 7043 zcmZ`;WlS7Uv&G$=Vv80nu(%Xm7AWrSR*LIFfda*fv$(q!hvHVCl*Nlni^JkxWYO0z z-;bBPhQLGLq}REB(*bxAXLJbaMrWz2O7M`8Yb;0)PTS z06t+}ei2?EAD)TPk3R)QoYP+20PWL7Km-!sNc z9yi~e<>Z8AtP`A@+~k`WO~sa2x*n3-F#W~SnUPTfo*KC~m%_PdH}Tqf*4=zE>^m!} zKfS$FN>^Rf(aCdOK|0IMQNMqQgvsX&iO)eXZ+Dt@of+ zV^{;vPvPhjI#@_X+#`%YOCnSHDdg$O<*!k zc9lm0p(@87afeuDeLq!$lXKN8%1p}Dqwms4eWHi^OF_i!Q#uvekP|*nmp2*xf5w5C zquc(+Bs^FiaeH6{_wU1dvy0yu5(0}sz6rGPq_Oke@btX#Ax=(;0CJCd%k4LVWxcV6 zZ-e5njbR6KL9N0r^%>A8m)Sr0jW3{DFG3-@*rI!jAJ9ICQ=AppslaMP`B+Z9;p-CXeXKK4OK2rN$OZ5LRlnwDOz>POJ(wvIY{MRilQbP`Z3K zx6BWu3P1HX?G~tE;Psm{lR1CDq4@NdpUs)ELg#jQMfE0Ti~0YH3()l4*=S>6iP*3E zyP3=qU;C$0aF5AW&{U)fP65@rAAemm{@K*~&u10x{#F5r4ju(Q8JvGTBg_XZU(wz6 zVaCsCV_cT`;$8JnmuHBvoemgce8LQD>-j?m{z$tn${nv6%PG|8Ky+fi$HkO3&C8rl z4{NwZ2heNiNyAv`VH=PX7{cuQw>()qPt|_$do!y0g0%oGI-j7Aoh+S_ab>eoE#)SM zenmm8tl~TntGhE_S2M|dcKU#VOJ48W7*-GN;xU~#2=_OeZQg=~1?<9)dZ-J=bh|1B zU9YUQyj!Frm3)&M@l$j(I^=R~C^ZBsm_CDT#8Z1|yCX=M0ed7mzv2{ZR4WSol7r+6u3}N}plN zlQr&9TPvS%;;DL$nomX>MUVda`}o%Y#F?fYh5R8CqpM%SP?*SQtQBaX#CrRx-3tMuEBnudsJCT zDte&d)%H?8*pmEu7#x{~&0C(;^9R-6wUa1LN?M5Mvx@dF(1?GO6@Cl*52S+yV+)3u z4>$@f5k(~WyDjF?nkypgCtCJGFJ`gFXZ;KBss}2p9(<&A%0CkAvyJP&Zs1pCnvY}X zbR1ZYA1cRAe8amXs>V0Y3F{(O58BtgBVE#EqeuOahXt(p0zU2MpbiZmb`J#&5L-bv zt4?L8#kCI_Gq{rI2f3ZoCEP=iVv|p_4=9S|SuW|fUhk_GgzfVzQQ5zF$}hfiQXr=H zLL;G1!63>1fXyPQN2BCtYzWwNv~nhNE41^l$+SB-Uq4#|th~P2=9(P2O^qz(6O(f*I;5PTO(2#-(e# zBb!{my~O|znYHLm+wVuYnRLW2Vu3W_vk)@nw0W_Sldp%m8C8|lq@+VRC*Q9gxQC)-TIUCXv7Q^xTLSOD zEzaFX+po4YRIl2JBfZ!;gLFEclb4n%09t2#Bysz;_w}Q&U|A0Pxz(y+2l{;vh6uV! zSI8u(SyQugvRGm1J+ZgMbzmEudS`lr;L>2 zP+wtP;2PBj*zHIGB>g22=~cVnKW8{!>uUuV`;wuUA-}M|)GwQ(4RN`BY0%dpOHNP9 zL=Q61-ZgK@d77yTgBv>?gx^om8L0?VQ7d1<{Siq0ctvL?up{jvKh6VhFHJJT<|A&E zsUqiksAXXQ8_TVPdoJv@^kaZP_3Xu(w^Rzy7c?m=hOW^-sI#sZ^I{-Pw|6>E<& zf9@*DL^IBb?Vz;1MyG%75ONyfw}1`yqNrp19d}*1a*4GR&YHt`8>Ivrq$%%fpCR10 zbn4E^8QT4?|HOs`?cm*@TT34uW6EXEoSgwrssdN)Adw05?E-wBjbmaYk|m zkIL#wyYvQAFafGHuZk&!XK7q65-2aygK;qe+f0IU+za_@b=q@u+IKFbZQk-lNfq>} z^F1i1cPy9P4kvYoF}N4NY>`S_ca6h(4zwVL@6NEj!H{nE=d(#mokMl`-2MjUrX2)s-LUdmG=sEO(*_hze{%PD&XZHo)W znx56br>W2`+Z|Wx4n^=MM&`a$=zqjS-C+U##L7$vgN4Z)_gwiK!Ek|>6iGI}()qBj zr#f=4c9sw3vM%UrY-;w8_**)j3aiYP!$4b>P(uQ_{RBni&CXy4sh|ZJ8?!~uHD7FR z8au0$Vz5qAl1hmC-?1H>gTTo^;(HHw+U3KeEs9!cWE^sPsT3EK5b3e6>#w2Qh8G$j z#$X(|S~s8U4A&PtJQV_v7#iwoLDbG0{1F$7s6NA34=qGfQt~rOuH{#a5m<%Yjwj#_ zw^HbB{WD&28OMc#JfA_h zd0F0y-6G91K+iQK{?Cqm@Iz~J=3Zrr%WSUF5yPEDv*LcGZblLnO|l}v3E&JTvkTC( zDK3|ZxdKJDk>})I&6lx9#%(wDf zJ^#L<5{cp^XNyerf|?wQzrVNp`Ho*~aS8^b0Cb0%6;!&2i?h@GG$Y06q)6&9u0xe& z-tmKGspWWf(NjG;-{$sl!hOQHcbtHp%tRkd_s=%1qm;LEKt8U%(czymzpBphFnMrc zJuV+#nrXr00qOEsZUF?go+k)z191Z-J50GhWe*lcp^72rEbFtYCR7bIERwHdS5T|h zyEWgx>}30F(}1_K@O)zkG~oMrL1s;NnwjcaQd6OI+cvLmgzvS8eoff#T-n(YVJT)n z)kwE#Dlj7B>GHAVd`s$TTAVO`@@8eXhqwJgU8S-{H!^M6ynK81R6Fil5Zcw;b0CKt zThkNDMBIT+V;~!#V|IvPV_-p{Li2P2e-6NZ-vzBFk3IrzeT3eTVD;0lCqpHE1b*Vi z?a%?ACPM?pzw~1HBVoB%xaF=(vXi`=+NV?s;P@{oaE~qYr9&0%cKE3g_(>b^^7u;= zBN(YYNW~uq_GZahC4DMu2%yj*az3UPuH!{Drw-W~4W_hG+6Gw)xyCwl84wh{iBs8D z`L4Zns7JTl8tG}IKF(Oka!rWN{5Nw~=5uYWfRri=K}K^lYEi424;<_l&c$Yxf6Bmd zc*$s#QDSQ5OdcGUIo-(Qky|s$5G|LG5s?dEemx4qp{`1Uri3WfHW9@2jLeTw3&E61 zGBr|^1Y<$5HCXFsmLlUf)Mr1wA#dJ=R6xY-ag5No<_|+k2JOG*zV|3i>ZOt zF$WNg_ViVzT?mZRD0$D3BvVcn2w17^Ez2TuLWaRY*Ze`!fOvn^R8od4* zoc>(wpKAx5Af`Q%`25?S@5oPmqa#6%&W`PhER!T&BVC|a52;x$5_F>OBtFiSy_m{H z-oey#xd?+UrdMOyf4(%E5yOQVygwSGh*i!}>Kc9gj*%AHRPQ3~2AFy&9@ymO%Lkk^O5mN_Oz=orDK zLX=oLRVch5Sp2skjYkhEL^UII-iqZKeN9ICW^S;&|e0Z2t(AOt)f@&oZxp7Cb#zAxB6Zf@^y3_QZ+syj47&8w>_rnJzv- z?~t(AZMzPdyW)-+;a9TIB^{OhmeM~`%$Ogtfbf;KhGd>! zlxR6g#_SXbNF#nIY+*q~wOORlgq}EjS%1Uzzn|0W4-sY^06- z8Fl37{jQV7aOvGZ&-%H5INCwBGH2%WcO~lEsz64YfWf=%B!=O1nH(pV_g~!{ot)ph zxK!7=xjMV5&k2c?aJ063Oo!9=V_Lua)`Wpjp4HY_u^d_nN&dEM-$Q6P?tP}I=Y7-Y z)>ZJ{&I|qtAH}r9;KW2B5Kn?lk*_iu^TK)b@w0~Jt8KoJp|#f1=H^~UWrvJ`VavEn z$LdAygKmjIUd(=6Z(0m9B=3eA~aBZG;egX5bM_gnjat_yJJ-7^?~!_G2MX(#H9#_guZLNa#_} zah}&~{rp%rdufP|Gj7`M2oXgYEUCqhpHRbhi540h42%8=FG~M8U9{Q%vlV1wHdqOU ztY7}ZXAc8jJWD$7mnPFL;JJKV{q~8DKGk}fN8L%8i+51p++Oi_*X?oY5Xw#ITtp6w2`I*vN?OTo2DUyY`3!O4>Pm2I;7I;TWY=mEQR&ihtmuC&W^43 zHR*Y(yVdEBxBt>-9W$u~O8CfEHL?WnJG%_%W~f`#?QZ@HRx6<@F=xv`|BZTO4`OBa z9EFkA?Ujk@MR}l_ufT=uMQowqHkX<}Jay|w;rT=kDW%sYPX-?dN!?-~hYriFUV+!g zKW3BH?nQ7G)Q>OeYi8zvTBz+ZO6b#7n;S7QWaLC6!*BfTnZ zSUG)lkK7N!bZ)YU<&)Lhy`jdiH*w}47%{jD4wuZ|!pAN?1-Orwc9H!KoaUdg`E-3! zDdjtPf1Ze*D=_Wx+}z`HnlT|pwQ3r7k-I&8F_M156D74~K|e`%;CDKsYPim;BF)>6 zurZ{T$hM(gG6zRuVn#0DtE=P3shbLZ!RjpiBp%spS6kILmuvJu=kGx5<;^8!l`?lQ zxYFO&t}4JKG3DD`Mb9;{$l~A-FS0z$QUCFya24mYPNLtMpzRBA zq+W2%Hh2%N;~y;YPnz}7A|vZs7i@2<$@@x1TQo0g)AOoXhiyf4q=7>QDh!GVosk-z z=Y`FA_0>6Uh`WZ8ot)$2xkc-i5BrA0jfqwCd3O%@l8ZduR}4{*I?Z>x6279c>l^yY z0#zANt2yh>|0F7Wkq|U`4cV#0Oid}fmw1Fw3DHfJP@k3NO+;nCbxb8MrA&;SSps)I zMfh4hc&z-&Te@0l&eZ?(r$jWtgc}~TlnIP=Y~3E6^^FwgY@O=kXm;Rt`Brm6F?+`r z(nb$odE;dkJk)fS>tbDg-PZhjNWYhz$FJL*-8aiIr{G|zS%6kVCx<6>+t~$Dl**W! zpNl^6EcRyszL%1(VAf4oJ^QXz*ov!wr#92V?%Hy;jJ-K90k}B4>}|yED4a9lvm)2H zYZ#4-fN{?q7}YKX};zlvUpMs8(2_BK=X z?h)7B3&}mS`Lpqz%$=yjdi@U0d5bNr&nHdIEDZCMBL;81q?WCs4=wKWVeqat3h9+3 zZWp|10%KR(Bp3#5f3YuLu*>&O>^cUoQPcSM4+Nw3Ym_BG%@r^VuLXj~ zMPU9H`)Lmr?4O(S2BhiEDo^KK9Km zI?DD&+XNC>#Ea%R2-#}=blx|PPG~EVs&~KsHLUl0n9J&G?tHpL!ERn6jiGU$+njFO zV}>rIxbokMy8*V-|25EVV0}OJT z7eXYYmlr?eKwUK@axJpn?3G#7TGb%C^73mk`FK1u*}aLJ+qICZ!SSFXBGqqPvFt0E zvBE9y_lhg^pR}-|LB#BgXtLEGri<;WDU_)~iIo3(^$K|9RVY!O_FSz!okFG%lNvS= zh0{vSS^tJt0ztFv1iE%0pNqwZR#&w0-2}L~dQUAmE82Y=>#KHH#$&G9T@C5o3@$Kx zE_>>FZF#8j5XB->IF<3@>= zq67_z`5?1mWP$XXz3l_6a0{GF{=gJLj-~R)Y~!HCk)#rZ@5c8SCEHDF!}vkvrvqkw zB*~U;#Z`~ijT;7u9gl_d6@hV2fC-ifCe%g5eBaz^N17R@J_>Dn5u#!4Ge#iLTEU( z)8QEE>Fgz(@*%Q0DDQb3tk9V35FM6t(?aSL7E?6oH$ms`+$bBE`kgYdH1ReJ8`llG zv;(M$oY6U~Ykr&$YxOAja(5S))mg3Rf3Eu4LN{fcICeWZt{W>n06=e_;x7x5AwLORPGCX4g!d6(|5WLJJ35SB5VwNta3q8IG*NNs4sE-=&C~ z8g5=4jLe=q0tTvL2;;-KBrtsVaFJq$8gTWARjS*G`DE Z-1tx3Q{Km~8Zo{o8zaI0wqh?Y{{vuTopJyG literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.map.kpt b/shifter_8b/db/shifter_8b.map.kpt new file mode 100644 index 0000000..65ba414 --- /dev/null +++ b/shifter_8b/db/shifter_8b.map.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/shifter_8b/db/shifter_8b.map.logdb b/shifter_8b/db/shifter_8b.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/shifter_8b/db/shifter_8b.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/shifter_8b/db/shifter_8b.map.qmsg b/shifter_8b/db/shifter_8b.map.qmsg new file mode 100644 index 0000000..f38999f --- /dev/null +++ b/shifter_8b/db/shifter_8b.map.qmsg @@ -0,0 +1,9 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:37:40 2022 " "Info: Processing started: Mon Mar 07 10:37:40 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off shifter_8b -c shifter_8b " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off shifter_8b -c shifter_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "shifter_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file shifter_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 shifter_8b " "Info: Found entity 1: shifter_8b" { } { { "shifter_8b.bdf" "" { Schematic "D:/projects/quartus/shifter_8b/shifter_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "shifter_8b " "Info: Elaborating entity \"shifter_8b\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Warning" "WSGN_SEARCH_FILE" "triple_selector_8b.bdf 1 1 " "Warning: Using design file triple_selector_8b.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 triple_selector_8b " "Info: Found entity 1: triple_selector_8b" { } { { "triple_selector_8b.bdf" "" { Schematic "D:/projects/quartus/shifter_8b/triple_selector_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "triple_selector_8b triple_selector_8b:inst " "Info: Elaborating entity \"triple_selector_8b\" for hierarchy \"triple_selector_8b:inst\"" { } { { "shifter_8b.bdf" "inst" { Schematic "D:/projects/quartus/shifter_8b/shifter_8b.bdf" { { 32 488 584 512 "inst" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_TM_SUMMARY" "39 " "Info: Implemented 39 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "13 " "Info: Implemented 13 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "9 " "Info: Implemented 9 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "17 " "Info: Implemented 17 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "249 " "Info: Peak virtual memory: 249 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 10:37:40 2022 " "Info: Processing ended: Mon Mar 07 10:37:40 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/shifter_8b/db/shifter_8b.map_bb.cdb b/shifter_8b/db/shifter_8b.map_bb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..cf5183d931680896b9b3e1da12c59491f265d235 GIT binary patch literal 722 zcmV;@0xkUy000233jqLb0B!(300011WpZ@6CZhr;<000000F(g$0000004V|h z000000MY^g000000C)lHQOj-uF$_$h96+Ln9{7b`mj%K#NEPYp!f8Anr z+6JM}F2)}G2i&VM4*d>`UpTfc@OulMaRv|JaNS6Ud}`FLX-@n3&1<_TM{VA3k_q+& zbHRb&P;ew@1;@nCITXx{>1Kl=^Nm4q2s?LD+41?egTMp0)8M3QV&(?=^C69 zty}<6pa50F1f*f+dU(19IR-g z0mS12VvthD5P#ozPd_*RbxEI1xxg+(R>lie=I0s`@8|F08u$Jg7dO}#12Bnd7)X_W zh-;AV;r>6ZJYWS9P-P%5!|Vhp^8_hl6Us~h*}%Xc111?5K*~VoJG(o^`#X8LI){MV z1=5IcJ}Zz7!Z6>0z2M~I=bD@o5G>CphYL(B;7%tK?Mo=U@PNO#_Gl00030 E|5gq%82|tP literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.map_bb.hdb b/shifter_8b/db/shifter_8b.map_bb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..20676693ff49742dd9d1545710eb3cf9bc89d15f GIT binary patch literal 6398 zcmZ{JRZtuNuQgWODaCb>;uLo%?i8oE7B5b5DNu^DSaFK`q6KzwUs&8-7cXvIDDd~2 z@1HyO<>ow`Bs0lN&g3B>Kte*Q#X&~;{14Lqd;>dAFGsh}^rGCn^s+vVF1GZ1{DSnn zLOkz;dH8sFMd@|zJbfK)?C3vO**Mel(d(+x8`@dZE7&@E|0|3A{jbG8>mmIwe@6c= zKa>BL{g8j>`Fm3j<_#+iA*W`-ws2fBlOyYqsn%1lk||1n0x$|)MVWN-Oo!+mmGvAJR z&)1pIs$N%I=_JX0(4+&f1B$` zf3_O6yMHuny#~*Ps@}Dy1$ho}-<+r`Op}d9~?jMR_Ba-ve5Ecfqw(b?A?tC3R&) zYekaR7Y@zCX1^IzAHkA?MLm4a~}a^seoc zRNG$Q9&uG!i<=Lsef1RL)Vs7pcL6+i6<0H!-gN<wGA(lZG{t_Q*9L8VFHLfxat z_3qz?;PC{Br#Nek=?-(oGjUDa&NOVi#~@e7 zuKE@TxHPLsXZWlJBG3`Ts!dKi+#rdig+YZagn#(^cxjp$>N2c_Qu;#OvPzib(3sup zjvqcde$yk{EjQN|7G6ObBL=J>i$MoEypCa!ZirC4q<-RbbuMRh|9zP}NqfUDJ4A4S zR!#k+2V~P}Kuw_`48^B~CT`%D0nzY&!7sSAGEeZZ)@D$wWDuCVsF+Nrk zo)0KW$8;5C?^UPePmn+1YwI9H@Gw0oL=6&4TgSZ}Q{I>)v^l#RO^8>Guhe~%l25z^T+r{1-Bv(_P zL{@Tci2qX4ufz7z{Q`Rj@bZ6_J@tNqY=4eTPnk6Unctoq8}8}oq-(8!mcUGu_70NN z{asw9TDtQDs@gtk_?Y0@vG$#_1Sa(0Cjk=m49x*OGm%woP}q&{?Ruth}7wR zl*A{`9lJvehP=e_H7Y4SQ+>3NHFI@}ye{AV!=s>*dxRfo^3x>IazZb)69JMz>Lay` zjNHH*$elWBOmQ29w%WpZ?BJg$5JH512O-TFRPqkV`fK5X4SUJx9w_StRuo`aMaA$; zFW3-On#uR{-J8K0xE0~olmi839;j-@PrD}VmfKHrXh=$fXK#6P`+f!4x_i5vxJ%@5 zV-HW2CninbkXR_toU`SK=2b#VANMHjq|W##*q~61@J#1jv5zCQd{>h1^19LAn^Ydf z)K!4)ACcO=C7+J^u<&1IfF{noS+cZF9w*j4yFwTM_bA&%DLJ1%wn+2_=NDz)Iwcw? zZtwtdb*C_mXs5tcuS?j`ZTmhl@|YGx=b(GBT2-z2y^jNv2CCTuMs$C?OkV5SS2e$^lp$ zfs)z6ZdUkU^kq4@}0XG9%>(J5zNR4@v8mQxI20kqq}5BNNP z#IJDy?x9c~Tevv|SWEHj2N1&+{+@n+15r~07o`wIj>(+;$VJQ=JD^58{WCACV~5Dc z*t$-cQ_-IE!MQlT#1@`pXDhEL#RU)#r%uc_*KP0GHiOWH29qD6%kci(*6|?w&P?A( zUCO_rGM~_MVGsHF0?Ay^_$NImbX+ELH^@TR9_Qi}#AM+2+A+~Dr{xCq$uIId?erJ+ zjj($K=5DlxH>!RbAC>J*JS!*kgbK!9%bJ4zCgp}(pl(;TwO6xR@aD!A0QDu=EmS1X z2iD-U7!A0Hqto7-4%U3NU-zFjl(%;U<0x zN{jCxRJlC|O!Fuf-OCqQpd=((9dGl%pd5Tx+3_9R05_!V^6cpbNWk@aC&JH}yA!ww zIR%3@YFfxT*s3>J0UZg%mCo1LTso0e6+~|s`mjLnXFjd~3e@WQGm{rBj_KYACJau` z`l-zc;3wq|5oymFeZ`!{SScn{J7lOs7UgOqUh~O{(;30pSr>V!Jvl`uJ6zalW-PhZ zq&M|ht)xj7IpEf<-H}F@+=if-`MmUl&~S9CXRx-Z4~LnMmdN zWc7i!G(^Kj9O*B`)n6hs9D~37+9OKuFRD86@Y`kX4=jy5`MkpkBk6EPf+d)~kc#{r z%x-2o!>l}Va{pQ>#Q-Qs18O1nTFBH^k}hZgGSP*(J_oU&T`v=kSwvb&(R~H8pn17s z?n4O2$a*3jT`X`%PH{)f=u+B|bEndL|5FJFoAMAIRqbK|SY!a}piskbccvNhwop8z zXX}T{zbBYdH1Y^N=B$MKPYsltDWBEKjdvxFeEXsPBy*T$Pv3r!J((4l*fNhR%PZSQ z#OHDW&eMTjVf4e_T0B8Da0v?kf_NrdCai(n)oVNETA8-jVv@lT(o?rc@Q0p(!w**1 z>8Rnjk^YuSBUd|61v*Krg2kNQh7lo(YiO;$4w{4lOWgl zVewROMxvk3Y}Vz<@rw0rm*0;bI!nbn*-5il$yhsx0U|8^2DPv>y48(}s(=&MQ3Hy^ z0M^I{jYmW^aIm(TjZh)N6XRZT%dRQAC1-u4J}=yrT=|=}-Jo3&CR&oDNaJpId^%UR z84UXpjAQok>9jPD-v0}Tb@`}9-TUrSJ$z%a$l^^qt+!0NOw}6RMP`f{qeroF5LTpR z?D+^Z&syw-g#(cl|2qTNe)Bz>cpWFwwfhe){3P+7A-T+L0dy|8MI<;V79@GxYl)(> z_e?^Y-PHmyioqU!4wW2mSGqIgyP~4-S5~A&CSIdWH=|2Wmnc(8%Q&zi(S$a#ah{gm zoZxGP0a;pDt@PhpFF@l+oWs$`X#CyRMi@O}bJeLay;eWex)HhE&&?gXCFHX2@6mXg zZyfCndM~?YXHK;%?0AcEgfg-FC)L1lDMWP@7e=4~6rwoa=h&-zGjmK6azEt7&&3@H ze3vg)78s#$yh~Ph+c?p+YsZrjx$NT$adyL5*wvYxtpCwq4?EuA031M}U_MV;!bFG6 zY^Elzhxz{dC^#_#*jD-MZ?dVHGKh3-`)%E2Wrd!yo$FTa#8*~|QKw~+=4eYXSzfZR zcV8fyKxlw`@D@yX%>9Sboa4dCI-^Hnrs@PByE!$E76^^lN>j|4HrG-}2x#xCPR?3a z)KYkguUPs5ESC+9dI|v^lElO*><|OViDIOQVmRcjUq^a-E-^Y$pfaeRw8p4D>lyHI z0}6;{|Fdk3DVEs)i!dl2Un5M;>87dK4dopH(9B z?xJk>Bn{1sF9YB!F-L_cZAKk=mRdl_-&b6N1^=W zDUmgteOvu;bqRicY_s#`Jyq#3MOAXzf`t_;AN0)LR!O~{CyaH% zRp`XV4*m9MP!}_B!r1USFRb#A?zibS(E}_!ozdf;Ksjk2Dd)tJrli+R2~gzXpJ!aK zCbB<8(6AA^k;b%!%V?_KCuEF5wXMaJ;s)L^dekUWvl7GPcl>}N@0l{<2C#ufY{b>_ z)`FOYzFM!-bD4;p<*jRTfvF129zef#~+b0mf(m!^Ha-F8$#Y|{OWb{aG-{wz=i z&W&0uLHLgA*kwb*NMFnITA=*^d zP}$H0=|#AF!@fSJLnZrwo@ksONR8&UzOS>MWvhm*RcpV>=O}TX>uRWc^c-`josT;a zt#z%-cqxE+fL?d6>{lqB1uNHZLw%gX2%sys3vR)Tlql@aMzUBkZ5pgApS(L{DnI0W z?))=F)iikrwFwDG#98D23Ujy2TSoc48#rC$Ac8CN2hFVBrkgpU@KbEAjfYlQJm`)m zVq1=F?T_B@*cUXy)k=P+twp?s9AEY!K4q3+rIrMIGL~;j(HN}5Lpb9d0-A()v0(e( zW~l7(JThY?!;=>^G5Xv2D8D>)&tCg|6B1mU7Z#dxt)QW%Jg%#Cqjsp;6yTk+=q~h? z^PwpKc&yFjb$7%lT3u$?^mhkel=6zy2S43$6+5pLLEfQ+>T_3qdew5p2LYQk+B=OF z=(6uti#_$r$wi=QJPAS%wk|&0mK8qlYurMEbc2JJbtFmr(fs%66VDGs6w8Y!M1w&p z1f+-;(LFAn#ePb#bHUT@G$)NX#!^elgZ z86_}?*8A$GM2<_bn(*5K9fZ2?bkum+@Av*f^Konm^kytlP;QpT<3ANginWGj5QuN_ zE`b1e;&V6FVKpQ`;1{XxlFPAZ)sy#LT-ET^nRlFTMH*MpLPFx=?Ow%|YI?O!fVWMY zCP>ZDUYLfC>BoeAJjrV<;ZK?h0YZ70JDz){I{lD*O5~KEw&|7|N7e4p(IJ^wl zTFth=9vObB)xVnd*st*%wUL@m>7UiPT)WuYDAo}nVijp9!x3ZCoxsSuvROThQgc7k zFdTXC5rl~Pk|D8L<0)60PVkY!d~o6o&Q_Xqd@-ANsmi*Fj;Hs!{|)njz!y9r1@Y96 zT|Al|@j*C zj!ygT%2rG($JMQZ!Wa)3uvY?FtfFO~5Uci;l22Bpu8VXAlx|(8e4Dpl=+lF{TwPVq zKeWbG1_{NV6b>G&&s>K)N5&?_k5ld7NvZS zj^SdGVqlBOI6oFdVlY3Qkn$fKI|BD_4d@L*Ti=~ld&8Yk7c|_T4(0Z%HE%_?aEfNZ zLxvs1v#e+BuF7L8^ewzXJue!Wjxk>lCPjF?-6f3+4MIIKuB+j{kh8;GF&5BW&|7GX zsFr-UYKK?7ifIVmxTw!rxB=9%^}jAhjv0zQbZ{x-v*$S2{W{X{nTmW(0WW`!JCEY& z6KQnc%{j|XAGJ?=V!V<5Rq1!U)NN?>K9EMqpU?s;OSOp@Qene=MJbrnTF5PZyr(c& zdqZ3B`^%`YV<$#q*Ld5Hva9>Os?m&YRLCX4U*a|Xgi!3tZVHKK40G92ihENFsY|Xu z12haim5ovBivdS#=d+&x39YebkS#vL5o4mJCkJvSAptHG4{KW zb#!^PA6{l#4qEBFO)J;vk~TLlTZ_S0*Hj{2rK`uazx_Ts1_o^U^Cv24uhiXl%fvq{ z?RUB@zw+F;KS$|TeN-FRB}cqqAR)cH_#p@BX(;PyzwXLjamdis$aWNZGD;)!0>phYnEyU-Q}RH36I{vizBuBO@VHJ0=ajdjnD5qTPjhhPGK45I!-TslH>6!< zj_8ntcDg6}FVLbH%fcPSBH+Dws`34DxQPk*Jf+o%qrP7^+>ftb5QcfEeDN|K{Q1!O z**kI=1^uF~$9}&+-PQ5~s&o|6Z5gI9FYNWZC`S406l0}O4)Q5UqOmXRw54U@87E8M zxQ=S6<3$lM(@}Kx#MDsUi)rPV(eTKE?c{#IB(2cZojO_{&#N)2E|qLO7u}E%&hFLW zWPo6gPyj~pZsUd$Ua*k=vk)JaO8w{Z$}8)JWW#R6?S{ecE1`ua0ddC#v^K`N!ojYM z+gzPm;>tsuaix+s0!W?w0f!HtR=x&p|B-oRI6bsg^5WGPuCh&yyG2OeH)V-A&SB7V z!lVAFi$R9r0l_BEUt3{S)01GB^woSLDw4cT1=k0Z#Y)>`Nol?th0!nWXTglh&D`!5 zc~|OWUMrFR{?eCWdPl!k8p8XU+;$9s zE+Cs5{AyG#R#Wo8BZPG@j#^0o5?zJr$80K*S4Hs<+*jzQ$YE9a3WODDdt?!f#m+8o zEO;m~?j*nX)1%fXU+@c{rJ#uz{Ju|*pb%kWiGqEwnNMul{m6mJhBr3R(%Ad+cjy_q zyqWN`Km+hvorHWZ3^QQFnM{Eo;acN62c9ORpGLUt*7Nl@-<^r%ZZ}f%9m$;O+vSD6 z>WN1@2uT6Bz7+-g3}woZncyz5O#mU7vRQ&oS$jFb%aYr!ayeBy&RGIe7ET8N dTjZHI861>|d0LvPzp6g@6CZn`x90000008a)0000000PO() z000000A>dO000000C)lHTRV>&MG)Ry-XMdGka$Q)NJzwYduDA&7!X1N86h16EFyvg z5)#PQ|H2WD00)K)A}~ToJQ6}e0s_Fi#7l^`m+$MHYU*mIYG-Ykb2z4?4T9}WhCbM^5r90x;Q`4eX&9HsnGOVsTHIR1`HUF%gXaZf_-*ZF+*6DrK9 zx>T4Q%KrwtH+9(u!%N`wA^vVUjuRB-0fLY+a9-7w^ z`iPab?WVDbW?t@EVcYh=Y3Mtxv~7pz!&ch1L-dZ7w(Sr-w$ip8qPMNIZHMSBD{b2z zngKgzg>BmdGhoLaw$ip8q3`d7-ra-#aWN0_@LrZ<;hNL(X?EIhaBgs2#w|`f^tI?t z=w1Pv_DYs%uSA{pnk3U+6LhN9rNug=-R}9E*OSkS*CnDKdBBdvb@ObUHJk{{RGY0F zoAq+kXPB=LUkeB1G8pM@H#e`m-ND(dZL?)6~=dMJuh8)vk4espfloctcy?jxe-q{y9 zs>Qy@ca1)IF)m*Cg~>Rt{H09BIjY4P@+9l!i{fQ7`=WP-k9%j&oc4F@OXNwY4LjbKsau2i#LAy zxYRGD@#FT4grZ9vt`X2CegoOD=@Y-wxW8W*KfSVaiTl-?xHqnYrSU7pec;4h)@^US z-pu#r`&ell&KHi;3L#BvF?W4={xY`YKSMo;-C*{=EjM#E{<%dX)YVCdJza|}dh@5s zh>D`*!JjZrdYaXU|1QJY-*;jL+r8DVt5#$CRSUtHf-E@ z!Qy5np%AaE3f1PWCCdsiJ?H|^`1$`7JJBxmwTr6;&uPB}V%(=Anj+7%%a9j++hh%( zwqVefV!ZXUP}5WGY~!i~L`9e>qq@Dqww7X=!V~Rmdu<)I?Y6V+jdj@eP&?bsti!hZ z+S&HrI&8bUooyej!#3<1RhVe3wqW9=!U_2Cg|bavJvk^|N4&*Bb_ysK+$iONYX6IA zzr72;-P0UXd19wS-tyfmFXa2*Z!I%K-i+yxz`gorL@~^tYB7oSe9C+8#=W&$bqBGFEwHiR$FxF_n+7qdEx=>`YFpgAhm1en?B=#j(&moq7a8X<g zm-^l;;0I@f-0=&Y?@Cu>!|SaUzS(oUt%@J&g&_QSGG6<$bE-^~p;y!GzUrS!UX zLdNZ*Eq4Su#rD(2&M592PjDlno4C)7F3lmreG=fPSLxBGA@|z@9Am_vgkEoCIF{w5 z228R2-obqlaNu|z?$ZEQ%KbLOOLM>v5M1t9qAMkg6FF_qI{NT`9fZvX%Q|NnRa5@2Hh0!|r10zs= z0f>MCejp7}4^`^v8k`fYTmVs^09C^Tq+#ZIc)A8T2042~!gNH=)mAWM2CL*iQZEE# zW4L2S&r>guVGIl`V3L6W#Dggfc6SVMjrVg6@$n1}seGf}!U|T@gQOJZTBuTg7uN}^ z7cbxjtMGuT>jcsQKn$`SqRv0WHOP09{%#gdh8UoP2~LHcAcZzt7a4Ofv;!3>ASndd z;q2}h@9*U0>Kw8z>9Z*hSQgnMpimG2se{`L53Q$j_iknZ2fhwa0WXRoPybupd+)F@ ghyg`G?nCvYv%jy?43|YL>_OE7uw&_t=Ok{5N1??l<~M8jJ@{^numbu{gf^dQpDksSs|Eg7Ua>C|N3eS_o?j1I)}EsQN|*82qhYw5QmXekv-`nU4zvB z+xWFY+Rg~ZUO;Yl;uChCJcwu0Q>&$Rt*(3hNKuV4XC`pN&bCBI$taO@E476h4WM$8 z=s#pdJ=`6+X0NkmeE46)Z0u)lE5^>19sVPz)u`K)T*i?liXHybp_|yM4&;k4GO>hh zs}(^3O}yksrT?wv{}b0_7ThpLZo-8{ioXyK%X83KkI!8H>87;Vb0}yO(SkDG#*@9# z#%yHrYxct6VkD&WRgY0o26yI2|F(qP*K}S@&c1N-T)#hpXf{>xK{VlBCr@ek^52ko ztEK`o>qvE%wfkn6=|^iA(xz!C5MgfIk|*Xx_)WXI?^U7G>(t?L`#zT$(p4VyVZ{!` zVC(jmpVfOTgW}q?(Yz>=ir2mFM55iqN1A=gF(-v0-7ZgpxANRC4pDh>iSo>-5Mb-5 zn&V>c)DVBN@)N!-P0Ww^LxD*rfHGn!yYM2Zf9hI(7wK}*6c zTUDw~I)a*6D-G1@%b8EraGkG{(3q%`Q8lbSQlV{8{x@Df``1Z_k4G*lisPy9F3ZCZ zA}(%ra#;dsOZ(xO2==aF)$@EOYIV+YOzYac71Hu&GW}no`I<16Rn7osi?~zmH($=MXZzMXVzRq+IO7j-*d&DW1+SF9m!lb zC;P-~hN*82sgj~3pe(UN6|?2KE3wgpD5*&6gN`juM`lVs}XRc?X(>F5==5@rCK99x7dGOfI| z&tb-JD>bxqj+PQQY1g2l3uIK^z9n^%HH%7U-3G{}Yv1}&8#a_SP={Lv+{`GUNK3y( zp^J@o#{-`sNlRbgFTE~}L~58Y(1RI}F3}zJn-G*pha^vjUi^`*&4J#&9s)~eaTDum zPO{kfeeoO-!Wjdiuj0yqrElnH1Zd10!^KLd^kguH4H9fqFO17g4qOd^>YFSt)q_r5&b$!A?T{Kg-16hPXEz+Am=b| zNt~vDUh^n7Esqoy@9f}ybD%A`*7EpIp}6doqgi{OhiNBcw&A$+i+Mi8L{!Y(mjz%H@>hhz$b5s}lh z`H{BnmbuxluOpq6fk*tdW|mEV4NA1~?%=;(eWBc@;5FKyvBF4B>vL4hI#Jx23(WBt z7}^;lN}9RtO8o)B^OzjLfZzouw(bjeZ*~FCKU+*$Hh;aZRm>Zi!hxTCW`sP9Tvp(X z&iAjh^nh~|m-^1vF$3$^#!&?z@jZkNxQ62Y2yp+M>Bx6$-? z>|M7x8z~&{Cx6c)f9&OE0IVv$6mf*9)tx$((P4D;vvoIr_eV|MT0|b3Sh#AjiT%5{ zJ3a}?-F-X8I7txb1-ta)PqV&WN^Bg>^Up;eu97O}l1Lb-BAwK|d!S>5@xnB5nm_lY zexv8noiSSjZaBQMdemIyg&ogq1xkSb}M;e zk3M#*NR}NX1Gt!vKwe|e*NO~5H>(Jc^{J$~JZCzT2iSoYweqMxF5{94g~jgrqoPr3 z!dfx~2B!s)sX_zn>%}%mW;+Igi)5y&iH`!GK?bk_I6P14RwTyMCG?XtN+6Q-Rb>MD zvA|9_uZJK$#lYKbNfclL^gS%6-f=l09x zZG;seHj*0!xiwihlmH|(AOc#C3mHM9bL9Y&4!|`0Oi}ejf1~}^yB$0LS^D1W;GM@n zllPEytZj%Ej27ul42mx!LUxSWtcL;8pcURsC1rAKmUlWUTEw*V%}d7T==39DF_a1XShX zU^mF7p&45VI6;dlC7@osy_5P479)7?Ih&c1EwQ~Lr8UC7NeqN=;D0urX-v|w-chAH z;Eki~KvwM#rIr|i@9C{TR)`vV|vYv0!Ha+~vK@K4d^rRbYVLUq~ z|FIrS5O;gSivaKI6Tp6XM`6) z1nkg}KS_objKNoVJplNhPyLNZ5n*xNNIPn0OsX=6dq&S-L`ZiVyLcElu1O)nN#Zm6 zJ20DHv0}G8qdGFZ*N7m}rh{FOaM1Hd{fGV;9Ot*LN#V}K{MpcOCzNl~FwLr+ai#~g zzkdDhR)=;PqRoL^EblU(lv{yF&%%07YmrU^F+uFF?uhUm0;<=0y&lTVK6=uj$AN&7|Iz@MN-Ca0k(Z z-udAE86*Fp%ad7c$z6a%G_oWCOj)5w>3Z|#Gl$SXS{-Ug5P^DO*F?D$eL*_3F9|vY zhua3bF*b5)Ju@Lasw}}M3S_T=bQFt{-P*oi!~E)viu#gHRsLNAA^FKedU@{SJyhc( z$00$uOg>&1d$ZnM$CrxU`YOu0&L9)UKgDlloI9<*D#(+L!#j8pq(ET+R@L#@VcVF@ z+8dB-2DB#5N9yzMEAZ0yt8R)!6B`p+MD`kRFNu7m`vreAu@*WnAYK#M4WnT;~Bv9@iW&ab;AcI+e z5)o8qJ6S+9-f2RLfB^4!@g0nS)LRw`ib^2g(2B`;Wau>PHRayLMm57ZKmP4r5bYWwIY&)dXJ1)8F)x zI4;0)l*wKRRiJmr7XcEm;mfh(A_~V4JX{o0S?`CxT~bnl8Bnfds5>2%ahZSyY@M4= z!2bB`2Y!qp5dNvf!s)3jYhTSjj0UI&(oOoVOUb!@v9zANWyY01`Ff9yguU^#Ld^Ru zJtre{>T8TS)Zt(#L%M*x=k>E6o?ROhMiPDaeoKep+#Wwfyq6QSPBeZeXaINSZB&y# zsR!M^*>Rz34u}L}cqJ-6`e~|`t-jp}LLF*wdh!I`g~$D71_6+wy#<|9F|FwVO9ULd z=5&!3o*V`eHe{hxB>5wzbqu-s-wLk*A9IF6)XAdxtaP8Hh!Hjh@GM@B^tfCO5RTOj6Py-+He!M*B&sr|r@s|9Saez9@6%plh^XdCk8BR(Gh zmf9rQAK<6TNttqC;nBm>VWe6IpmK%fYE)nFgkOc~d7xbP*3^R=TK>!CDOO18Zgyvv%N(rTx~ zLRcRzQelSF~u!zIxzsMOA;coE_TS%zQQDG_=G@S9*o{^d8Z9pVw0 zqW$exPdr$yJ5s$cZeBCT@;z&CARB0~y%!5rS5AHndC0h2%K#qEi%;anVg zD~>gAEoyBgaYU~OA;NE)pG*yBM`D1H<`Km@B+7w@ig_C}AXLm4XuM#B%gIJ2L z0&&n@s1o0s;zzGX57GY&F&)4qKEr!0nM6U!zO-H;PW2AlDEVGQg6`-uK6BKN0kXNN zjD#rN5n#GYs*q^uG~F9%Lqv)-(KQWGD)AmPC}t%2d*aLk>JzTmO^8P9A$}=rB%gpk z4oOp_VkK0F9;%kxvV#iAGbWHkZ@=I2feJ}8{)rumrVCpLh-cYhgbEojszXU2wJeMr zgfOyb9l5P{_#qSNMT2Kcw_E7Px8#G}kG{MaUD@$OPGSNJmv$2lS{cAbf zQoiGPS{gKS3<;;UXaKZ&2Xd9yFHCNrA9Nz~1~ZH1aPW@5UT&K^^}Dc$6GoBC9fs%l z(RlVv@Rm=X`O|$?!~QuQU$w0s%v1qbAuro5nItSG6aur%jMwm~(&*uTMq+tgO1&D} z*|kh|uud|&H2);KL2+2fPQ=}ZHc&pe`=~iRzI(1LRoVBkpE;wHNtYE2jm(j>_G_l0 zA4rTEDxsT`-QRGWYoLc2v9|Ye2Lg7=8>}}x#q)4PNjXjqj`j_@3ro7HyF_Q#R`K+W zep|9ntj5y%73w{1m2&lUBgJ0&p@wh$Wt;w(AV~D(B8&ctl4`3>g=}kR0Fn6#^-qV_ zFoym%Ya|HOR3%Me#6zV=Cc38c?ot^P&sA`SR+WAc;b}tqiEWM5fxnaY(+?dHUr5zD zrHjvx!mFu_?lp$@WEt_Bs3-oce^uBv}CR9u%vl(KCc%NM&Ms_$@lRx8;>1K!x{uiW-o9uh7h)KhgbsfQeA zr)Y~pNZinBnZ8pc);3J`wqE2fwXoH&$|2Gk&MQYpNr+;HMGN<0Do3x=Qntm}x(XkQ z>*^vL*>E69)YRqqWcL74$NjjwKbBUeWs-%LJ04D{60)Ktv)hL+LCS%k(~Pe8`6&cI zcH*_`rdhT%1?p_h=H8d`rzZjK@wL_NY?m@I!_E(rPd^iLOuIfALui~ytp%0JYA5G- zlxb!Ix&#(R(`ibZCNNq&)}xXgz|H5{Pe$GiyYpUVKjsGXMpz8B+E!UX%IPZQECnq~ z<7sP79TTn9Y8p9e&X3ROE=?-|1Of9437n?xyB*6-y55cRFkOVHvd6FV8rSNBqc*(K zvc|{34FtBK;e7xES>vBr)ZJhA?HmC&2jBIZet4{U_?Xfpw#9R_dKq>IbS>RhTncMu z?@#<$8phQZU0ELar!S@YDW@eezOD4(*z>WDcA=%IKwTyK*j44`a2~!gW5_h}W*z2Q zBGKxVlPk3E*22-OGojHte~rV4(WICx`hA->ZWZUD&c+b)17tRU7m6F zaM}lZ%-!n%tE2W2bEN}>46aRUxIMqfe;)*m&?q?|*Ea@cYlR(UJ)6|h7ONYS9q-dr z(;5ndpWHS}>CQ>J_4``sT9aeflqTj(@}QAp5@*eO+$bez**O9}8FplLF5$$pZZO3x z9otMn_A}o$NUw6+z3W*Oxu%lsuc30&Bc&My#GwN8m!1?ID~ikXjfxlCpJ}BI#wF&E;)cI6bQQ95s$gGz_W?0KNqTUsaV?vmG z&?)Xbcbn%dEke9DQlhU{B3G36Y`HY6{lVAZJ^*`?c6;pCBGsW(P*ye-ZO%u&UUwU( z-OJK#MKkz8o28K9LiWO9HlJg}YC~aAnIzv0nYjK*H}$SSnGPynPasb!QYB+gi+6=# zTv=9H-i>!>Ep-mZ;+s7)wYH@Z7|fSXsG4HhPN8=a2n$-;5zKLE>U*iLYUo>;`FCu1 zW#_coD<{8Jd0qcXM03nJE$?58*a#X*HkP(2)0!r9?oD}|nVG?yYafT*&4N-~o0`^5 zA}e&|t#kV&TD>o4XW_P9rE3vN!?zaau1aw}=0s%uv5GyLYqZ2ccreAXr6?;m`t z$g-Qvp8}pKRjbM_oXQ>`WM@ovpv1=F$B;cH$R$A&wLRH=;;C%gCcN2}19mRfOT5`f zZr+=#n$;q<2#JSa%2LihUce}h48{$YHo98G0t?Jq2c1@|TUTIuH=(o4-fd5>y}Q~E zGIrz=7cpauy%+aBe?Af>pIkA{p=R0Z@V}9&lob;f##w$?bjz4J)$m>L;xTn8PcxF( ztSVrG>6v&QZ_JdwX>w2CUD@mK64pc*3FI7iqkgw(sx7akwlO5rhj5A;Lpc8_lGv_^ z-#vQzF$nlfe&eQUYw+B~^5(QWXIoxvQajfFDvp0#H6q*p;yG#m`Ke2-H@>e(I>>Y~ z(!eM^h};O_ynEuhALut>|54{+*4f)pb&sF9D&Vt5f?D9?rQUqC!4ne=Km6?;_M^g9 zFq8l4GF8t;f8&Z#Nzg>_v!p`M=J3md*b$M9$#!nN_nN0r+aJn5kb~8}s`X6Bl+dJ( zWIXgcz|g4!Tz(&gD_59QA2KR!ku=2mp-)j{_*qQI?Pm;4`;l;yE^Qaam2g${-I|_$ z0pp0Eia>+9GliMYV?IQ2D|)Qqlbse^;uig7^bp{m+a4%| z!x)(KOR7Vf_syFCXEDpsW-Qz@|FaSF%Fayuj|~vWy|ygi96bn-T6P_oM-3 z@#~&-%<@@L|#J;e5z?$dxrG;Opll!pjC9$a;{oGH{A8VufC?xK^-ERN-syum9w6_O9 z3w*Zwq@pGwcM-NpXBP~9&PLc7X+vtFgS6VqZ~Up1MoI&2#h#Dw?&=ed{@Hkqj(@yBQO=T&DAGqcf1l#}6ZiHv<|!g~3&l%zql*rdV%}a50ShdBH?N zdU^3d_Wz)!NTNmDo4q`%YFb)pZzBmav8aGT>Hc=l#fKlsLf4Ljf@Jo{T( z9nRBDE6xYYxY{xz*Dp6X2_v$G4VRkZ)@1n_&IET$5s>YvMM@;_A07Eb4jcd2v|DE{3uUauIYZQFPL{vBs-`6fmbdZvNG zzbeQ&+%Is~c62a;isDg&`%ATNbJ|_*S^eO^=zb;ujaqnMN!@UmDMZ02;LlB@s2Pb( zuAu$rpPZnN1dAKmt~O0rm10C3=VUQ}GExtuo5^3$l@)l{rQ9JOiDsi(zx~UNRlM6P zCNpGAi^8J9{uo=dvqLU*o1i1YOo{Wj$D#-&jFavF3Y#zz)*)Yo(WIMfiaVZ*vXgm} zKrxg9C4*q7{mqqP!iNdUfhORGKHj_}m-qF={LJ|xi+lhIGb{Eg5=$0aw&O4mQ`Dh} ziPqH}ADb*DGH3K5njBysWrEoV3%on84GvX=&b^aJN^2w*^g`_Lo=^~zy8w_sc;FCX zEh6IU|5n7{$HGXxR19c(7YfkRONaOfQ5uomk{cu!0k-b;r8>>&P6{jMHOdU(&mA4| zq=Xn*Pa3dL@ceD;oF0KKAo%c~&+9PY6`C-+UHgq!#Z|QQyN4h-C2GkC+TNK$4MWB1zsZ zB5uSr3@4FM%5zVV*P83Z>8NZH-;$Zbru@xK|9<>UVZb*Wq?uS3OueiO7jL#}&1}El ze9k4fjXBhb^re%9y=o`F1~DwVxFGJ(B@hR=Z10Emf807N8(MWuwrL_}l&Mvgx3T|kZi{Wl;tfEd6?3t;AG4^Ve-@w-d2rozAD zz{C5-{2#!xCix%4vHcHz#NYWG0Aua-@7Ew8lsx6}Wc2L^Amx6%t`zD2hrwvkzIB4m zT69LfnVqasR)Hci1=D7_4kADu^syiu?HXZJ5-9yQH%GtB0I9oBUN?6EHbq)A#?Cjp zdnUY-)iAVut19Qt0u{!b{eK-Bc$t}nTuxS)RhL&({Lsjg^qBkpa6ZVeY$6w(C6tqE zr7)*}l1g3zy4JDiYO}?7Fu1$bv=KASIHrf#$M#S+6ohu%oIklJS$db98$ZlSyoBeC zXIN?~Y+di}<2Q`0{n=~8FQX_@3ei}-a1JHu3tuz}`sy9wDB4OAtheI^Pu5j<0O=F+ z7xJIR=bF9%G6@U|MK#M8;P%RiA17@t@D=!5PN3v&sGyjq_hDw78f$=7=84ZkOnT;g}bHFrHOq5j=}ML^4fIM%aQ(!kKk&`Hno6_E9~gG#r}2 zfP;w7!=xV-9PQe!X0m$g!43ugm()3$I6wbjA3RYN+)r3R2yzp$j(}@62aiUrsOz{g zPFFLuhOaryOG@hBPrG{g|F`s?9>?t>Mkn}egvsb1n1TfhU_~ev(e|R1w60jQDio_5 zah4b8d8e0NQ)>+ZSNCUxRGTiaSylLrNJ>P1+b>N)$3m-6if-xCAuqBaW0qTa(#?fS z{>R`?gbv09*CN(}k^ECVa@}zU7c4=vC*nS7a?)kobYzJdx>KQ8^Te> z>vrVolP|Uh-`yTuJ3Z@Gu&j(1Bfw~$puB12T4{Im+qDw*3T0b8uh&Ajvcn{LFvS{h zu85r&5BuH2b+haypkZ0iyFWitoBeuo%bX(9f*YP}P;&3rwLuyJRZng6JB#@caCZ9= zDrVOYDgqUyMFN76LF(WphmA-vp*VXVdleZc70I>9itYIIj~E|gLh0d>3i%Ne$NR(n zDub=a!L76&tbP1uM$boG(LqT})}QB*nAyDyc#^oSVJWZ88~bz|%;&r8Vw!Be5x6$F z&djuO?O(#P^$bLC|4ZZbxXS!QUX5>UxD5Et+61B;IWAhBG4nKVHS5L_Pwq_uWyz#^R|comHVlcyo|lnG0Z0;1mbG(r$83xfvF7~svRI%;n|@RrQzmdT9p2)|J9$AW<`+$K?Y>M8ikkYLef&YYqVdB`CZ*aNB#YRd|$dqUxVp2B7 zY{IhiwV;}*aeW|Vmn%DR(l-Vccw~Gm>dJvgaTG)JsTcz?-{pFrzz%<25ps8Y zOg`UEL`gnrUNZV{?t(tX3@b8qV16ry%dAoyESsrH-XRlP@6$nqFqVHR+SPs> z>8(3En9whgLRt|?xW{M~&G@R)pR==xlM)B!2CD$lL}Wd=-$<)+7txwnpTHAT>|n?I zB>fP)3d0>}oDGDJrN=tEG=#ZW$_@lWJjcvN8cq_uei$ROHUH{i%u6nExCJLU+~p1# zG-zUCM>%X_oxDM?T&jQ39$HL061*T#-G&9+;IkS8iH|4MC*jc40Uw~`<`0`r6{Uv`$y{}TS1t&?`2Wv>M*cOMDHr?j3m)Y6HcXDd*SJ{$_~KZre- zw3iJi$bq!b^nd?MCHuL5#ZPHj@8uY+9QVy{O8`>MKGs1V2Dx}1`bE~A)TS@j4ovD_6TXwSualyD%cbam%>Fw%b@(yky`Fq`%$T`}@w#jTOiD)D+jfZ=6AU z)WKQpix`RE3z5>1g(EAB(fO@nQ*s&aeCjnI0eW~Y2CZP9Ygnj*m91Cobz|s46LpGh zT)4sK;)+V^>(1QZc22Haa)kLW4l$5# zgB!3HshbrYtPVsyLZD+@FKU2 zc75mE2e`xzX#vk8P5Q!!#8|m-!VXxd@mxNF{zX@VN ztaf`kWZD6HpDDlyxI_>++3-kqs^gvGyQZ`~K?Ztcg#aHlCG=a{Zx4%qK&F*NQzPp< zE<+>UA?K4%InpbDWk58|uEA4=w;-Lb*vf_(#H`qR4;1)=gk_)SFccY7=eQF=o6F&R z+Gn9k-N|cF2Kq%79r3Tw%tAV&FBR5@XS2bvr)NeIbUmRDH5@H{$I>-`?}JZD;BD(q zMez95CN)(y%nUQl4^MH5SP^eWMfgxnSKEtPKYethws~|fGkR08THnF8;?Y$_dbyh; zpsl9H?oFVxh}UVe8XybkXSGI6yjr#P29TTA$2$6tNrNOo_AR-_&w)|p1^<_5)#OZw zQTSK1h$r?!v{I*aqM=8%Da)bDnUS0#ByQTDr_Vlg?TXAts4-PmvVtvKB zc=J?~P?p90VUEhejLn*b2>h zsVWgAb6Eyi&J`WUsuXqZnAQ5@?T;Y0J`mRu^WPx(uzJd0k1G`~$94-M-wa254R>}p z)7066i#8wszLVNW%hzB>otGShyxChL4%juZLvp|w!>DVZ&GkzMZKGAaUc0J<&Z_{* zKjNa<6(Eu69=ocf&R}{SR;NoV9Zxl#y_W2Se3N=l2U`Jio#rBkGX79 zA;JVJnhw^*SK4@I&{|sw)TfXD3S z7!Q{dTGJFL5AgH|a(LXPENxL;WoyD#D3k_uyepWC+&G`{@hI==Q4eG@*|a`aZz<6OETxVgNkuS7%bk z{>bsrxwgH9)K68E0GAYDQC}uRMd6fdCJCWO2&1>&F;Y&bM3r_5*|TIGdLIm2IH@6h&6jo4o(`u zX{V@0D2tkSBEF&NQ>v`w>RkmniZo&nnsg4{lQHPi;FHEe>0eQ$b!z8Y#EIY3Ae@jU zd=@j6*w)bFaAa6h93lwCXYe z_b-+|T@jIaEPH;&S9nHq%l;_crS9JOGM=}9<*g1dRER4DJ&>+ky!eV`ouM|>cJ86z zx(ncM*Pqw5!An9UGS6C*+pW~4;h$7?1(Yfe{_0S=z{mu;KnJTGkp|zR{au31YBHLf z-*|b4YQP1Q*2(nKE;J$^1`Zi07gttND8A-Sh9tfuENbwb-_bo=Qr78HTvRfhSeLed zt`S;W-481^|Mim7yY|~4N1W;sFNKUcP&X4YD<-A^)FtE$aAaX@MAxH<{RcEl%`sMx z4Vw6XTFym$L)Hex_#Em_YlKTnn{1dB(O}fYIczU>@_Mtj=@dP{B<52Bh7o@{QX7(Q zHN@QDo$h2?Nj|~#Vgv1cnzOBhrI&1E3QTdJd)tJivK09uCYFstwE&Vj5fWc2#t)_2 z4Dp7_euv~?4vb`R$vO^_G^FaQR>%w=<)J!^Q_PEEf=DSEQ}tNFhr1W!BQeZ^_g?r( zVu{Fc#GE^BcDTfzI87@64MReYA>@n;(qzS*6_F}Lg;sycuR@ldYf_v_J*aAm9w_bc zsY;8s66Bg57$9M4)&*8UAuI%678N0v_a2;@`zBgpq#Uq$Vm^7`t9tMP)hF>o`%nXv zGn*)iB4IM$H`hb?EZ=HEi2!mjphRrX`f7hf%%}9*khSu{{eVUREUEGr=0)DbW~G>H z(vGI%Yf-WWhcHy5$by$!>9nJNs4$mW6N-$`L>-7BU9B3A6TlKMHR}U~2srDpy`tHp zj6*!NvLMkOaFn;D7gAFvu7{hf2mu`!K#2L|fCVxkj>-!_3n2=N$M?<|AZKQfCL``8 zg2ywVa4_q=ATmQ;qSc1Er?sgv%Gk-`aeD&~b*>cdIu{=LPX#5~`Z z{zm*RSc7b^a2}Ls?hpu`(dmTYFaO>rEE|nTyH1*GQ#RtgRQqCq|E4`k`USV{eX>iM z!=3ONr5AsRB6J#A+MO zF^ZhXYRw&9n#;ryaU3|APS!GZYAC?RvZOEORMkn+7QQ93x zLJyMDo0Y8dEv~S8fA;K3#^a2!yA@5Y09*f(Q=nhp_<3nGAh3l0^WN!TbM$6IKxv7% z$0P?k(Hjy)_r`c?2=wRCR&2PhNNv@PJXnWHY;h{~2yK_x_l7Ug*Uy|(b@A{%7K0Ra zQ>02*yWYy=F9n(fPZ+(jEPR215*Y zFZPWsfX7h)=4+=dn8EKea{z=J%_HeY=uR3g=78yfG^qsXlJ7`zDcM?={l za|0FOT+V_|-_keq$zIOw9SoMddh_aOS~WQ7m9CBedx6vxl>&pF?svf)#%-H1@^zg5 zdr2!xivi)8QSU9LqFXy;7AD(E5!m2(k*=jD)DPSgZxWSNK;7JyVxeT6g>;lEFboRfuFO$5T&C4?zAI@;nh7JZ0TzDV3nN{$Qz=w->p8WhinIjAQ z32O10?vtGzgZnki7Mkvn^Oc!uun13%I5Jq;ydv$>VRQEf*V6gV!^Damn*9dq;CYmIHQZ0o>i(i?|&r4MF*`uswii*)pISJtH|}_pU(13 z2WeNQ!>0-c&DC|Fyu$ah9t7kaD{l1m{WiUoJJB?x2+z!{U{oK-U;R6I@pvo4aV~2Q zd4UY|*hto+Fjn6sBK@XeY7ztKczw~d$*LCatqidc{E&xbjBYq7tj|m=%WM0UO|Vpy zz36!Y`;c5l!!mI{3OGJr2^tY4(m~@pIj%s0`=$5aWiBRvk9p=A*vsR}hP!?EWi9yd zZjhC)wOWjME8>rPSAE%*=7`!n3oVl7sNM9dve=fMKJka?@iE?dh$z{!=H*`5)pl*d z@0D^Dw1``QliJBstR-i8-+$yiQ)v>eLGApY6h}^;Mg(SsKzCu>^n4WPNw)^lLs<4nAUEjAf@t1Z=hSi31v1?C2?-{wVdCVtR)!Rm zoh+K~D^G$Nt3e$9!c9-d`f8Ba{CH0L!B$wiUAXfcWW_X}3u4<4PNxOI*U)`aI!Qtn z{+o<6jI|fLjF_zYlH>Y6L%DB!1vUycM~1G|M|fENYBVQpPITy0+fwo&y~%erKm~ zTF5oifQh#zrIPLXA5K7iT4v~A7I!U|SQEUgSh_*F`pnIDZe6tgkZJiwa;Z@deuK*2 zivHtn9#(KGnO?prpSKyh6c9{4Hvs>}*Zw=;C3{GZyT)Af&D!O!Hfgb43$&Yjim>{b zFfdz~*XXU_h`$YJ3G)jmaeGxk5;S#~_593JFlCuHdsk%fea_xV#bgNXU;MB~`{zZW zstcZg(Y51bd+6}-`7m41`9=x&0Yb}*23rsG^e~YPHxdi$NAv0V64pb|c^4k2 zUegwCup)EXO0LPT?58^nu5%h7Wc@xv9y(ki=cR$1_1oTbjoqB;HObf3Gt1Y0E0{7O z?FNzwQaal2X6sX69kz2LSS-Eh$#ScbLrd7LXS&y#m&>nZ z=n*+Rbe)3)8f@7-f2U9zah9oxE5E!&=J9~xc-Q6ObWl2nQk%)Kt7%xg#{Js6$LJRF z(T?1{oUGa2>S`Sc(u2#x!hj~nB~#^Bl+V!+expXRx?&y zSwY{xYOLVYa+^WOix^IQP4iAHKvZ+}QMoNQ8z_jKRoYpd+Uf+hS)O7Yb-_A08SGV2 zp_jIn$CGDXDtTW-J`^p_eeh!4T<|*|mS>EH<*Vna=L z{$xj%mI4h*-!mO1@oTlb@!HmMG|&^nPAyOyIF8$1+qc1~?|QI~!_Q2=Y>HVvP84g1 zsT$t+$TBbfORZpfOF^;1=-9n}Vb@|w{bN(f@#P$NYU);J0L38I?8Kx5ei|luc1uuk zDlbJlMq91ra8RJ`nb{!wln>Xgc8Ght+xZLGz;J5Qv7B^vvp-tbxdPulKA!jfy?UY^ zx|(!L@K}-~3tgbnHInFF@6BUnN+Y#P8K&uyx9`u*20d9hI;)eyb}KeWtX^&AR6dr1 zG#}4$oTh;57k+GM@#5; ztsYH2rxvn;bI3v}b% z6`CU!WO(*WicQWhzHJ@);@)mXn*&`KmRHi|FC-4yB|!SX8;rlf?LP@?s1MfHDNb4(7g3s^0ZO=dIS=S zQcwFjB+nanw)zPPe7;f6=(MkldaK{)Zf#jzjD--f$#l-@TK4foYFLH*IgORGqk9XJ za{2H}h|B!p^lwA2w{>J?^0a~n3<=aF^gei}m>J0Y{J^Jw>f7RU`n9h*Ty9StlHT3p zvBM{|FDxqTNN^(B-xk(=8@5A}t#|;wDvEhYvez2I{Pq*w)1E+$pQ)$0%;%y$1OHA8K4$Yp9gWF&7quc2k++{*yV}xSJ>E5p*Xd9@O1%wX`y2bQF76>6G}A_j zu}N0JO1N);PW9zy?{uKSL<>c8S)IbF>I6B|+=qH6CT@-j@iJqjUIZ)5{{3rUk zK?~v@aLU>|wv@*ROd;yk-b^u!;BGNd)8U@6CZl*o}0000009gnC000000Q&&| z000000EGzv000000C)lHTS>1RH4vUW_MH$yA{GfL2aq^GURVMg@XR12q#&f+h69m6 zIYdMRy##T5{1=em3}DqU5qCB5{WhPk z{y}|wK~K^t)MQe<$p1UZljw^O@*QpkvY$5Xq0UZmQOrFQ)sA+9&k zzwJ*`ffC}BVkE}vuk-{BJol&P_;yg5v=q6yhNp)H9a6@3fQu8TI+f6vHhV3zt+y#I zUEgN8ZM}%T)pFZ<5xvWD+jIvCOvafe|Zv9V!dddrml9V*4;rx9pkSE|3e?%4NirKW zL1$`RYStm`bkFC!ILvylOBk^S@6&yKNl}3G8D-G%8bqZ2R~fHCtlXsxy>6oX9Pw?Z z=aH2IPaNF8@9_SE`yV}WXl38-NA5cC_~9d9gNsHxDE~sdr%HxSoX(-bQ-@X_JCB}M zMPgJrmaqu1-$CU!8p;n*K9@2KjbRVs8k8!ON&>*k0%Xqi6(H00Y?2Bg7)B(N+ZMX# zX*dvk6IpELq^<4VLxUdCGb%`ewK{od@BuxQzJaRkdpfA^@u0rPS>N!vPM+Q1i(ZrA z2CCX?gV5s)U9GvqSuf28p~o4zTJ!AHRPFOY=y8Uw);xPHR%<>8J^K3g%t@$9d{zMv$sx{BHBGsA?Lg$}nN9hfNRf3bZDuNHIV!rLAFV8G_Xz)dJ zwyyEpk41QB@I`dCwp63D`CX09=2$g4i}Px97OBm9S9<_P6%KYf;PPaL!AZ4=%ZJiN&>*k0we%x1z`Wkn_YBlC+vD|06!}c{W-)9 z5)5|Ym)w9h)V>&Nx{fOhP`;me(IIEOgmPLdQ;tsSC*>Zl<5Mc+15h_^Gu=@ znlfFWG@mh=XTom;kuzPOG@or5DtbdxrVEtjGgb3Uc$Hb}Yk|^ymS?EwRZW>LP?}>1 z%`@T1UF&Os(j3}ks5m;B(i|#jN^@AFDU*17qbbc{5>qfj&1SZ_xkCAM8t+di(UD{2jf0!{89r(vC>R!0wKPcNP7SMOqiapk?NnsLRin`hRc!(t z4`WIN&`r{8d2-$O>0}QqNv_cT1U2xPK}E@ z`mH0|nd4qQ>cx~XF4a{&(_M#+k0Jm6Y&ZgigJ9_k{!&BDF@deH;PZwQY{-N`wy7hV zj$S%-^>v{j-7U7)4F}yO}Fb$hHf8p)9uES zq1#*Cbfa&-K!b^FQ^&#T$fn2ijc&Tp2}U5LkZtPNX-77#+iTr)3;(8WFR?;o_Op#X z)&a4eR)LK+K73RFeAjb{s0?d|0S==l*Ch!wD{Cb0K z)v>3uDPXVnbxRv?bVm?2xAz%nzZi28827a(7i`;Tv))hj4WG3;<`nk}sn;avnKpn- zk|)|QSA?Jnr&HU01jExR9V`vW-Iz=2yTaw zrQ}L~ipE8^4Z7fGUCd~n0e3re8L@hW=@&TX?gAnLu0XzXaLx@%#9^=D;chTGC|zCq zpo=#~pyMZNN{%iDyr(?dTlOZ5jhAqq4cYMvb_}ZKNoDj znB-~&R5{=x&9j{j;anWv{T}Y-0?xCKbSm0@ocdFG>tdi^O}XQapEYV`RSt+1&jz}w zb8*|0xO2ONDav2N73Tv@8UXP_2LEGV09i~ zl7XQUNQ3ksjP?(44f5Tjzng`VAq6O5f>WUM*`2*efvse=c!ho@_hW012)Sljv6QOw>NOh!D3&GwF=|Kv6*@6CZgL6$000000Ji}E0000006+l% z000000D1xd000000C)lHl+8-RKoo^j74!uPLYFSx_*1pGiZf4SF#?@8sCEt+;d%$VsY%F;QM=XLi!fM6GtPboZ%UA zjz-QnOUSv9p^yt9mqMp%X(VhE5Ef7&5i z^kV46(2HSVhV1M+cA9%ym|@dvBW9QoGfapXCd3RAVulGZ!-jC=KM6-hJ17Tp>mw(omUjP6A|NnRa5@2Hh0uUh&#Lg~G@xktnA+GU3 zuFn2`!68AR&LQyu{y`z}zK#JFW(B7iLCP6qz$60$6OiTyVuZfnaL0g^imz%xG7Jm? zU=pHE3dlyNbN2TQfEeuR7ZMcd9F@KR>=L*ZHK1}-qy79{Tqmqvynr2Ss0WhKAZrjt z`-iv&`EJtR&BDsS0hBO-D&z#xs0uwn3T?J7GG<|r21+VG6@qLAc~b<45vGD1?C%G3 UY^u(y*UVrA6MzZ;00030|Bed|KmY&$ literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.sgdiff.cdb b/shifter_8b/db/shifter_8b.sgdiff.cdb new file mode 100644 index 0000000000000000000000000000000000000000..1963fd7d8434473572003a53a581e2149328ef90 GIT binary patch literal 1561 zcmV+!2Ilz>000233jqLb0B!(300011WpZ@6CZf_$1000000RIF4000000PO() z0000002u}V000000C)lHS;>kNK@hEO_Z<~M!3{x}Vc~gE_VZOnaSE~M6)oByE)TMj@oYbX! z=JY*r`W`ubGfv+Fr%&eUtbouC{v@#m;b~RAphPoYfPW_iFetkj`*n)A7Do=(w++|g z$XTR`s7Lc!9BFBXDSpJYI8un!DdJijIaWu+wK#GSs#dYGxN&lA{35*;N1tu{BCf@a z%C)hN=CwX|ve|46Cs!%ru2vYSS{)JB;>fps6K;84*|>}vtHl^VKZX2k;yG#0O3r)Q zJg@frYvNk0Z4blcAy3_sIF|VA`K|HPu~LXt*)+F@)$}GVZ8~{v@tc0h=Mw7{%X@+z zYc9Ef5%Y8E+&alho z+;7snwG7MPKlh1FOLH#>zOLCqCb=SiganHQX=zXOTJXh57|RTA=e-%nu_I zW|G`w3Au-n_-bk(Y|0A~VaJg_`!Koh5_YA8Dzcxxiu5Ev7FGGv|G(i7&Dq9z~m#6BR!9OliMv}+ee;s3_6ibzMHM%rw<-}GC$DrZF1zlyL?Qsxq z+7|jNnf*^TY^_}M>XI6`*Kqmq?6Q;j^?GeTk|$Y@SJjj42r!odp2J~R;vGVH&Ec&@ ze-m$58~x|+Lm!cyv6C-cdwVk$-7GyGV~yK&Enf7Ec>JE$--l9OKa|fo-WF0`hf?(? z-UidB?8#5n*45BPU!KC356E^t3^4G;(~kRepZYu=;}vPgXF-a|o2pcf@+YwLoY{EN zPrd;ttgeRo019m!lg~TX-P3@tqLY44JD-C{JJ&DL&X_UQR-g8hzjbPH^m8+^?o;(p zA26YfW6ofnr6@h^OVCdpo_4NZq@6K~v@>S!k-ho4;8ByOeOqOt-K0<-aG{Ob?>A^? zOd{=kZX@lCS)`pYi?qv`>}lVDF^y(vhWh5xez!q8U!NoGc-IQGGiH%?#w^kN}UVY^t?LkQ%V zkaeG`|M9w0JIA?Y=0jJ`=a#mEW?uU8slwlMc(QlxH(KQFF=KK3D%CU~?qQ;h`4lE{ z6Er10zs=0f>MCejp7}4^`^v8k`fYTmVs^09C^T zq+#ZIc)A8T2042~!gNH=)mAWM2CL*iQZEE#W4L2S&r>guVGIl`V3L6W#Dggfc6SVM zjrVg6@$n1}seGf}!U|T@gQOJZTBuTg7uN}^7cbxjtMGuTvj)-vKn$`SqRv0WHOP09 z{%#gd21}rX2~LHcAcZzt7a4OfqyQBuKoxcZX^i`w-qA2q8ztz3>4jY3QP&5XJQ9bGG@9Q+fWf2QIgECOg L2Z#Xx00960$a3_H literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.sgdiff.hdb b/shifter_8b/db/shifter_8b.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..e422a537a1a75181e91433cab07c3badd8379ccf GIT binary patch literal 7751 zcmZvBRZtwzvMm9E1a}B70fI{y7+eP@3GNbHhQVEfJA(`k0fJj_3GPmCcNsJUcetE) zeqPgv^9wR`>Sje~%IP=WCV!TvSGUeB85PR`a4dm11I7mcK=wVfFa4=*1L z7l4zSpOc4+3rM48?&M}|YEJXT#MG9Chel0-M$7ygjf|PK%WIhd_M2A?1O!a-{|5*L zDE~!Ss{f+Lo8v6cObS`o0Za2c1iOD9M{YKR782YowaSvYx!P)Lr=)9Zj}~>(_y)YR ze%BBlN$Lj5A@cBd0R~Y6eZB*u-w@Dn%Zmn6FrfGZz7e`(@DD~d9YDdbi$(~H=~DIU zIIK*24KIQo-wa%AY7axZstJQ7Eqb> zep$HQ&1Ux!B^GDYdI#FXo(}0Dbuc?*=HvK6(!<+n^xYRcGg-n!GgYhxpK_z*ast~X zNr2tRMtARo-7ATMKNULX`@q051JGL`Rb9jPt$EV1;}W;7U)*>ISUw~#h140DY>O^h ztfQ8PrWD%C#PEdbDiwUG?+^zc_xAhNjJ4xvW68@2$9vG6iEjn=B1NF$<1kSDf5gKQ zl2$=ww;)0an30J?r`B`#u*7v6JUbhhW2zBAJi3?NlE8i@F@=%mZO^=O;hSP?Ey>m*Oa_neGyO&5T-R`*zPG}K#iHUxP;Xhsqrse0e zz|#1g&;RE@{}oJqixXYY|IbU}SHLenpH=mYd;8wU&``y9ki}mI@5_m_+hZn?J480m zyVtTO62yquH1>DjwFP$xqfp&+(bXrtX?r9Nb^M#Qj+4&c>;tDld_KJ@izc+Djq@GwlwgesiYaz)b_vl)%4$lh73g2|GlQqs* zsj*+xOZ$THUcTUOe_9y5>Dc|)v=E}Qs1|_>8UF3!nw7Um?gQt<4;F=k^03sBpin`5 zT-PhW{uEu4R&TLlsAiI*1Ysfp(Qam>-FO-QJi(QRibyG9rv0>x;W0~1C~-5*?wWQ> z%Lnde?Qbm%+}Uc;=P|W~z!>B0V~63%aUHs}Y4Xo$+PlCa3b0C?SuC6|zjgaKS>sed zPbS%ESQR`Vuf7{zpB=>{%hm=@%75IhE3m1qHBtXqt#;Wz%{b@Hkxc;)=$!Ia0S=2* z>w@|ZEKhQxYjH}i2|j0NpEi3q_1Yf-cbQ)Vj#_n{ER|mi0bbkI&Z>2SaE8+B@PeC6 zrzHPx$*t3iJf+tH-nlknXLS)**-;;u=&#i#KFZ$7*2!E~>tPi6n4d|~Y1J~?9k z>kp-P-?&7Euq%YHW6MeB$R){qn^`Q>SdH3VD0bG@;OVs?BPw%q;q>M{Aw71#Q~zw0 z**6(K4S65wLV2n%%7?36*zNOZzP#~n!0yEgA8W%)(T_Rts9AV=E%C@C0sCGv(hzeU zQ8cjo-yCNQ7?p0sN({i0Ir%N6lt*qQeEat=tUy}9rC2dc9fi$PSGxgNzZ+ZZ)v6*B zI`Z2Rv;a0hKi^Cj67pNhkU?xx5#(@J6@#`yjv9%Dh&DP<5b}q7RQOn!jyv61eYmYm z8W&*!vnBHq-o^^IiVRR{GK)){)eG(H*9C$e#txa6HX0>q5!J+>3yndGx083#iKKih z7G(i_fyS0^+1^Qg^*i8mF+kH#@gmz=YFkZ6&7|GS&(*!RDTe*$(rNdwGfRDYn$Bas zPG7Z8X0`UjOI6sVT~#&$|O>a3NT$)6J2yanLKHbID?7Hid?r98Z=l%-5dyGLN_3l_7=i4xW z_3MTD0^H4qW9AmuCZV^xKpT_Ey2Qp&GA zbBowWIr05-0~@U}s$N4LZ{92{)C$-wQq@F@4_vTEG>SYu>ag)5QP)0jU)^v3ka9ag_B~x(Vx{B#zoUa)9u&1lWup%OPa_FG1a=q*xuFm`4tDReXqck`;8)ogJ)D2YAtocR{Jla zYc&)&1JEw>s11!A0?coCn4I&Ain*vR_99ZXke@pVl46aGXKg^oD$89Ykxt5gxwKX$i$8D@9H>aJ>i6QWzcg8I#NSZM5T)@d;AqN9BbXD;62+68!3 z^j(t0wxewzA1em!zNtzb$HK-WiZm=RhQQYoPQ1iH?MT5@`L|x=qwnzlA)%Fic4f0` zq+L&hq6Yp!*Cczv8+3@yj2jt@3uv-^8lZ^{qowb;tK-k)fTzR~wmG#JQ(EVAqU+C~ ztB|tbaIkwLA%#p$U4H2j#6P3u=XB{Is+xf(Kj6k-ibV`P5mJz3gtl&Oc1QYn2^evB z%*Ea^SgDY;>dS=Y*eG01q~krgsO9;u5w_KmN%>7C?Hd7PUP?cDX{lXSNyr-fkpv&` z^PERV3p3GoBtqAbx@`2`QQA8ExBVuGzAFzGIrEA6j79P*ZwfW@8;W@EBq*Ca^Rx#Uz*X2F)-{%t2(ukF!FBmk|<=j#-&4xxu#0v-Sez`ay?I~p{8~V zn}~2yV`Fn7ElkBle;bbEm~uvlJEm-^VtXGwjU+``8_a9AqeSz!=BGKW<;b9n_>4Iz zzY|jGpWQDe{aD47ntwU%Q4A4CUsUhqHMHX+{Slfa8=F)>l_^kivz;KGb6T>^MCDv* z>Ni`J^UG$mIWQ>ao6VhA{{4ez$%3v2iEg7H(<;d4s&zZlXOw7R^bX$m9bNoeC(J!a z7n;hW5ZRqK*GP5E#09V)VBEzsXY=!5x=9?vy;$2R$_u8i%+k zXJ(N1h=FjMQe(s?8hVT&@u||^qu^2w{l>Ui(w}xcg^GjCH9E6VGJ0bv4z*!nJ{*z~ z@+gQ~GpM^`iI{iaU0T_6_^bm>jmkqSIDkQ^$aLuFQ-%jw&3AZcm>cp9IuwY{ZOA-h zqRq^Ek+vEdw1}rrgA=a~?=|Y*%*dSAl#3-nO=x^TZJsAP3y16ku7aguM8-Z8l*Xp_ zYBemlk>$|(c3DsZ5)e^UhzEltizLBb8WN4Piacn*&L8xHK%sSrZ4ZkmH%KpFGv_Gn zVnEuUaadB6gTC=iZeQ{wEr(lh^ryVWs68J>8lL-ElWiX=A58{PRKyp3zq>r^%Y4mCY~`-M*;0PB-~ zqqs8_@60L;fej`jm;LNM!Bk{(G#c@J8sr@77mMptTgIH(w0*&>GCa-nrm=cGWZBD|h8h@Il1S6Jz9mhpTE z&S`M(+j|6&yyO_3MZP3jH@>@DM{s1*dl3iuG1JEl4w{PRWn{PwZ`Z_AFXFN9qSJ(# zImrNFe#L@Vz!J=@xt;+_d{wf5oAR?x35uWI^P z`xTbs^qzU>ak>jlWDk>Oa&0bhf-s`ijL({;&h6{(HoSIW+{Eh7HxtBQplrkLN++>a zUk)cri#P*ElJXtW*Fjb@T9To`KAswJ5b^Nf2l1bpi`f0EJA!61Sv*r84s{stSLv#? z0Y90 z2Rx*w>H`?zeLG&JPR*(M)BANG;B0-F7E)Cm8j+##>wQMN06w$w@vBIT4 z(zM(Li}7HhNLw=UkVi<-IW`v;P~b*YT%?&mivu=df@l9g#ihfZFKct9SrPFJuz(WX zM1g1z?-y)kzNs@*5=P8N^uCAQ(nFg-40GS(Ik1nUKaz{1C8o#Z#q$qI0)_^?WkgOB zL-d*G=SK$J$eO=`>=U3FNI!c-p%2KoH`>m>%i*;==RmS%DbTY5s2w?&_xzimOuH2& zcROZepP@$tSo}7!{E#|8&Uc3zi943%mWk{x-EP!Ce1+)FRcDYtK<-0}7O}GtCihK{ zI2Q}gr0@ZO^)DAdsx52Z9Rx|KNmw^Dvh{Hs%4dCpO2RF&JgQFw`i zniT++!l9|?T=u6Ggc0)4R7BdDOBS+bU6AI;;CnJ1jNX$Z*P8gNym)`&2!FhYs)*iG z3AFZgcKVA=9EssO@fE}0a>O;b;jOwZ@@%Cd#Itx&!@YTere6)Ji)E^d|7y=zilf)x z=+WH5Js9pYR+&MX)YJ%dgre^@6z(N47n{92dDWWy&x4faL% zln`H_&T|hGXR29eKSAzv4N#?8XW3Qs4F`qhJ3KjP^+ZW;_0gT-<8lpN{efGN0YNht zs!)$KISN+%fr#ESI>+yFnauc15xv4t0Ud_DsNRn>!Al|0*gQ1xp-^oYy zj>v4;K?P8QH)?nHxqJZaW+ppdoQ%99i~H-q#8l_JzuCwrY1-?P30 zCGV>+REg9;oMrHq)Q*j(;S=QsMRa+Y*t!fOY8us1&t3gLnQgA5KA@o8+g$rQ6z7qX zk@UELEsqZCp3sBpnC*~tV&+)Q-$dnKHoorr`P8n;d-@OtWA{Cfn*ciE`2{ z82Lq-AsacDN&(dL7JshciiQxpyr_|Ze{bB|lhn+^F7i2&h0%fEHeRNQ?cFK~2#*jYJs%RWj@kjA z?n6e)Ks|PRllVYfo!Wzm%kZj!R%?vVPWFuX^2H;h7hMS^)uc1JFK(zywsFDO ztu0&>aTRAI*pu=VmRrGW0PH234%;420^vepx1n^uXO%7M5`c@K&1PYbPPkI#;lc5t z;Y>3_t&@|J@bs)mb(8IE?~ccO!f3+vxW;=CuZJ6m4B0Kv;gBI4;3zNGGaONY(O9YH zoo?jftI}(zC(nKHix0NgcwKDZeI3kRz}(IQ5ak0lJAFIzKt0w6@-4R8IJH`8kVG4; z%+5N13latvCmj#Xb6_VNw*SA12KWvZt^(rK_8>I`IhO~3LgM@5Z6Kehrm-?D>;d{1WNP?1Hnzd1^72l zWy~(ywJjaj-OcnTKU?PHHPutC&bF-NEQ)&^EG&mMNOd*-pc@J+Gtp#E;GU$$T(a8d~Z zm;hKb9WH8$HC!@h=wGdui`liZuU6%FdjG8~vlPq9{&chs`A3%8DO6qfYhK{6R+A{^kwgQvQRWTQ>h5~-Cm1$@;v zRd=S{LixXKL%K~SG4be_$R!FoBuMI+h3x^NlA|vf%okftV<29=JqpgK5+yRby zTqGFe$8iNE>hRsw^G52>KQ}wn$e0Mqq11@IROO|vb};Id=^MBbX6DOr#p#i`Gj=~0 zxv4PR1JkD(3>nFtsN%$d$6~g+Su_4^H-z~aeZLrJ;<@G@;Q7_2q#PTkTu!+zEYe`J zJ-aPuJb2h>Dxfz9n@dUOwGB=4_#-x*llBmmUFNoq+7@$A*Qr!xg!w_7MIKT?eMp>t zV6hAL&9W~Wu((>ogEa(l`7nM<2EEA`QreswLr;O@SAI* zOGnOef)v}8PXl3p=jSs+`S`?E_Zs_M44v~1-7TE#&bNyiOZCT=IM0|RH2JcBJjn_F z3a--2Fl7~JdIZex8#x=l;QTRaI(retX+-C(a#Yb4Iwi~0vnAj2JY4Ot`OSUd1{~k^ zW+-9vTlls-?_L%+=;6cFevze}HIsQRKIL@~YUdH|8A$PKaI&ufJ_;*4CZ2&8FKtt! zfi44Fh&4|Eng-wu_d#L9sddQp#YBjhn@x66>@bTwFPNR9)m<17Z)p`mj#bjcX3(Mf;lA@&eYn?lF)5 z!0Wx@CJxnsdh9piup1O%{poAp(u(?Bea0e;hABZTLU&O?!jvEbw0GJKs! zOX$PTN)EKkM|ZjBZ$CwF1?Qf()-Z0X==~^`_H8>i^N+w+%fOATYZbTbNLpf_ih9AgV&N}CxD+6W9_2$KGE&omr*v_c;ZkqQ&(w@$FeSUTAK2?lR zf~?YQQR!i~>^+B$U|delp~sWxExKTl@)f*SSW~csT+9y-2zdF=&)3U~#~UAYWjUg+ zgzf1|(@I7KCDs;VRfgY+p-`Ir)-=xxl(k1LXC}h$5pL#qGUU#ag~TWNgtIKH?{edr z5$S_ztTZx++4bt7%*Y?{KMJmAHAB`24!W}*)-oaEPvgLKAiHbyoXV0x9fmH4y1Kof z+y42VI^W8LQmS;A-lsJsf2(gA$oG-5txNla)OVrEx2**CD;RtstOg|ar(lxeeo{SU zrZt395{kI6hHnSCun`X7oFzJOKJ!0?r0M)oy#e~Xb3KBKj9%;};_d@n>*&IHrGl`d zg_f}cE$&g^Qt!f6)x}2%ALLt)f&)V7ya|V)$;p(@HX)vjD4RLRHZ2g<=s@r}WN|hQ z6?sRS>{ps!PyTk~W7f=U^JT#UnxND7+N_3XMdY}q`_WSz_fD2k0m#(f9|oXbbd_BN zYoiu;s-BFxTbzW{O6)rbf3vFT8ylhM2T^mDEI-UL0;Zo&hu&`zDs&=Z_liqN1QJc4 zZOj{Gjy^AZ;PTxfyOY97#9Z-w_%w>fsv3S3n?QTY$9HbJr@UI$_UM5;lURdDKmGRz zN>P%||J{|d@Ar|itGvHS4lABC^C88xZ zV&8|=2>VosVX!GiSTZ1+A#E_2`d3nqZ%ru_S+Ot1Hf-x8OTT+^c8+0Vz~p(zF+@Eo zJtHZppc|(`oSz2a&wM{Xp)4BE3o(bSxhX{L+H(O=KyWn;JecaozQCG`2+kasHl>tv zW**kZO_UyIME*@m^FG%wqoRl2z<-9?sQc&EwopH%*pHATl$4Au1__ zED7I?mFh68em76q@!T#LLd$~8Fo)b=*A@%aY`lxe?}kU`jz3(Zsw0lF6>BGyOXT(R qWCb*UDTm^8__Jus5@X(53?**nsXz5s_*9s4x=S+X4!i%g&i?@71|8!7 literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.sld_design_entry.sci b/shifter_8b/db/shifter_8b.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..904d0039f59656ccad3da4a679aaaea101b107b5 GIT binary patch literal 168 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXAn*h)R5U7Pv3`S6T1(dE}{Pq9;|9UnR5dZ)G t3dTw4$qY(QU>l_cxJE*v>PVwWsy1akCa-#P&h8P)q OXz*lXQ`6uDYX<<%9y(|M literal 0 HcmV?d00001 diff --git a/shifter_8b/incremental_db/README b/shifter_8b/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/shifter_8b/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.atm b/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.atm new file mode 100644 index 0000000000000000000000000000000000000000..c2b74b6423cd3ab4770963fae8a0728fdef19f00 GIT binary patch literal 7523 zcmYk91x%bxw1$BKr8pGpVnr4!?nR4jafdF&y|}v-mg4SOe1V1HP~6>FWGNIVP>Q=Q z*319jKR5SFCYi~Z_c`x5llhXVBtS*^=kv}vG}L!n7Oo5Q48&04VlE$5_3l#64ajF* z`V&elz_=B2{bg&>wa|@f1US-`E#7#(tS0151eD@c(PU~LTu>z@dX36I^ANCNkCG?x zV)A}{+wAu6PSN|=pOA4Z>5)FV5V&|xU?0?>$tm6XwH)43?15%%~;d0 zy+>#^=dzcsS@qgVbQuSd9Br>bg?d*u4=|(C(Ihr}J>QIfSYyU9ecPq~u<6S~mtH4& zk~cfAS@XOo@x-|UJdtm9OVQrqt^M)b_ev{6vWkZ0L+{E(U>(U3**Wd*yeR4t;nAPy zk?%A& zD57HIo3m(_&pl7(H~6UYzB{JFgM83N2Gd`EuyWeiU0(0m>Hc43N8R5qD&FprVI2)6 ziQQUBwBVJO_|@C52? z8QIi$-yO$u$J3^VY+tT++}&B!%39ubc`^IJa(;@ht}*M0v$u~w+C0!>m&R))gjaqF zDGN%fF`b_ORQI~x$35V@D}-IP>xD6sF@{hs_@w(lZ{*-~2=U?-kr;MW&_H-<6&V57 zsB<&8&av{MdH2BcOpPVgy-(XhF0EicU!bJw&GF;I(aOqYJZl-M*Z|VUO)^hKQ|hOG zoc2qtNs^1*1g5fU?=&&h!5dKEy0hi$6XuZ zSn8qJ!unccHsSM)2Mp`g>1fWM5?e@hV!x*2hlxYx(ho1PfUeb|R0K&{qOSFwPVrpd zxZ{4Fn0!g39t3a1Sq$A9lBo=_lLKpoPQtdq&lnSp&cf2C^8&f{SyCV={&#GO2kYDs zhr+b)Ny44Eh9`p+w+pBt=*f%tQ-)tZghTO=&({!l$IY<&@d8k!MrztfCLhPmm@ANE1` zfE;LCXhG2%q?#hU_L0`1c|l67&w?2riS2#iDxgDbM? zLuc{f#B_mN1WQ+g$&T@sK(2}RpWOI*J-1z9qlBBIlKtfGB5{yHt|L7(OCKfhf$^Zr zX`RchPfxk{eT)`o3$A~L%;Lq}*Cdt^%j2S&Yuf!tJ+VFB&?}H<*G0Y;vk6EQMC?<$ zVM!3gqPrVz^k|wEB%k#0v4m~Q_;!#>>rLQ;-*UQHNygbZ8t%3hYQVv=e4Z2(JS63}c*=EEz4}(AVG+;_3gvQj%~RuJ^&Ox+s?F?Y>32lyb) z3lpj|N7}`pg9=mIh~o1Ud69?N{y2z~>$+6zbW1Za4Pz+$*M$%wGCBIO9HAD*ecLY+ zaL-9DcYr$K^9C=8MjpbT5RJTL+ z#ZST7Se>{pDVhEd+G{uH<3h(o&o#xGsJ*_2?0*)&J%~*j(`eR@%EIP59(RMkO3>@| z;P5BUms~~R*!>dEXXT6?6cai8NKZ=oLI%|i+tw6&b zjrymWm4qpSUQbtKV~%5tYgQbb^3P@;&u;?7Wu=V@A_>ZILa;{0H!ymXD0(d)ttJX@sTJx#p=BChq5N)fP!@3^)q2fTUjX?^=uC^9FSq$gQSVoF`e*#oos4 z-&yhjfQeple^6duk5WNltF~QH(%m$phCBF ziUUSd(kyHE3 zpJ9+W{3}KKL7F?4sO=_6CMp{&6D(2?YNF-HLDD3Vo!8z#sA6fr-J1UNoT=dJG@F@jZmuAGV9M9;6ys5U1v|(pEm~QEq}fjA;Z9i z=XjX>#%Ke&pR%gc_>FBUkxWHd)vgoUS!+pul;#E2EZ&`4s@tbRkslS#=LfT#cwk5X z!oLd1Vbf_~c+T-8Mjcpq5~uSU+rf}&!)1T=|AiML6)h*;d+ZpT>!{o3LXjYa^UJ|3 zt|vL>fAWup=fEfVvjM?RSx#yEYo98Sm4WkoEq~l5oD|L*_p+wCKZwha`}Vw2Isex9 z$NWoJRy8*aN%o)I$ncyLlYfoSS5Q3py+K81hw4^K+t&%&4@%w_{1|7gJd(P|AVEh* z+-9Cnj<_*SJC$J83x61p1$c?nmdWSmL9c9mMm%-48J5o>qb@!+ zRe4CzV1>m#eU3U$oRV~3gE>G_rlO2m@fh4c``FC-X^cdS;jp?1GM&cCEmwdj%?{CA zFwS$tZeW7Gvz#Y65qsx|{gD>~3SJ>8%gshp*!eLx9#o!!`AJDzD_@8QgT4a#nHken z>q+koGRt3VVNLjAByWvT(%zjgi5>GqF@K^cOF3dM@nTF3lgx<-VPKm`c<3Z(E0Q!= z!dST}GteOOIi``N`2SMMzKVRBQw2Cqkd)=`%vnlnvbs&{mO;C1&9K3S!i=W|(*L67 z-Co2ly$S!whXG&_@mP7H0ULC(SQGjm_!>euOgxY^{q;C49G}L>w5K@vZL4V=C&+g4 zcjr=NHCbDx7j~N7*_z>iS7c>3kYPW`8Z2>O+mwJtFCv=>GLt4c4~Im9Nx`|@rhJ9g zf*xDj;PF|!|Anau@wcjRa7)dWSH%9->@0AYc92Srk%7$rM9Z|Ma@C(s zg2hl?+eOrfK26dFWrUHUU!(msGIk zOFDrc5YdKBYM*hw-@IoH|F9LF|LS?nNrd{?`|*S30h4nMmPVBz%Griz>TGVGZ&>pk&~q^XL-^b zy2(TnYLo92X(MO~L1Eh%TafBkMIqo%7v879>|972`Z9oA6+ZyaCW?s+;Pvt^w`X^O zIQI{SFLA&mGtWpM2>azAjhE`O>`TbeB}f`*;H8(Y36X{N`xExN?1~ z?6Q)Mw7@S}bXvyZZ3KQnQ51D{;iZ+Rr}uUrg(B!_Wl5s!m}nKL?IT#&K&#pqe-q-j zvot=M!Ue|a7=RA(c2*8_Q6R4g{#LjS?sZ9YgC06*s?M6S!$x-b$MjCUWG-9SYKfCB z14`S~1g>rXUj^uj>3X773J$S}OJRR+_Ax%Jn8IBJQ%qM* z@5sP9FJzaLQCQQ;t|!no?rxvtSNPGM6F40s@`?&0#@5WsCczi)emhQI$hn9*gi?`F z{cQtbJKfSJtXFJIEU<;s`==f&-#X|ic38|j2+A!NMF|k{l zvkm=*%@6-bKw1|JG8`nLeCv@lTB$W8+1vq05pQ1XE`I9)Co&~_mJVn&0D!mzkn+Mx zHem{-ljEo<*!5?E1`Nz7e$?^U0HE8!nZ#qkh&;P1oUvhz+|HN z(R5~8t1dLqXDW?^fO43phv9d(VKER`oDMunLBasd*<;X?#lwD98SxFobt za#9k^^52?O(G8G@JoY-X<-YkvkCpi6jG8ACG=xh|-B&0AlJ(e>f35t~;&io%nbfGB zTS3gHa+vgG?JAGF3;6)Ka~2hmW7h8JC@=i$AK?N!KKrP{qv9V104$^HP|9Wvf>!?& z!XnT9Lv>u-hgVosw?uA2tlzkI&n)-RQ^c1GSoEKUUy}?^R&lDu`&{k_;jr5Jwn8890tzRAa)X9TIl@n4X04@A>{I=pK zdMn$rb_q0bLMy)}Ryk5RZU+r-iN5RcaIe6-Z}JLgXSS9dz9^(mi8-Uindu0l4%s$b z1M54#4&cJ=XAVI77s^k6GX!4=Cj_d3GMA#~u{{pJVHR%pd{!M!t~#yCE({e4r&E0y z!13}Fo)U*%lVU}2_Q!;5Qd?oiP=lcy#u9TNF-NzRa{jfP4dm#9rh%tRu8^VVWi76x zn2WV*CvoKS`>w2?!HeQY_Mw4n;`3O!<@7K|AB#+6fd^aT$A+Zt5~IhBrM%=B3f~47 zq&pRmJlOb1|F2iVicX5~V_d(N`R-XyFSI!z^0Wi=lG|yLg6>NJ1*Sxs~@%fFO zVP36dNre?u>38& zBMFny2>JQk&t0vl3bAEGPY`cc#ThROnJb>PeHx35&W6<2Km256$xspG7{QPhYl@U# z`b&*n7j8G)4J<=7H=%or$PbI!S~BRfxJ1#{I!v(J0pU$Wy5UU<2qPBP0cV^D^;f?E z(8(6eZGcm_9ZNURf(?;?Q$?p0FH|)p0G^N$Y{YK~E1Sp4cB|_7XP2mf%#U?I(l|YW zTNv@nVDr!!kF*(GKok`$KPgZa`QoAj%I1QXtW#C+pP>4yU0Is}WWb39I`UtdPu)Om zHiSA(Re`43o3f`gE1F~cBEJEyx!(xiK0zUFvD8%sPvlqWWA*O^*;NIFe|U>p$UNtj z5>FubB(Ex1_WpE64Fr=GgzE&eC*jqPb%$w~D_}5F=GZ&e)yfE7taOL)G?+Pn$ELtw>+DhWER%&s ze9=oQH#&9fWHuzrc|F3h8~iXs0I=`oxi(Eniuc@Sn(W@9X%dV_br;G2()e#PCs|fo z%ofQEv&t>G!=#jJQ}6f(qK=YG_FOmx!(`VD*tQLF+*~Fvv)&?(Fq^Y_=(o)7>|U1| zgh}FiquM>Jz79$2DNRF;oZU;U}8gc&iNMVGcIo@=2z)VT_jW^r)%+@l8CY5sWpj zt$!xBF(lCoy-O~H0KvC-zbI| zYFeT=<fh|F#XcyzaA*x|;v|n9V^0 zpYfWSfN~T3~2v8HC-a z9_Hw{=w8C~NG(e}$*ya8ZzOb|$^_~HaXJzdVTzf1bYHYoVs$6mcorAkPzLoGOT+P= zE)hfF3`-|cq*NmZ)L{!~Y(uuv*U1;%2x332fq)Y14#~qZ+Ixj9%@Xv>_63P3sdY7y zB)Uj@`!^`&Z_cLj0D7cn{iDso`=3%h?>w_;~7Ge`Ni@?^z+D)$<7+^7JovaLwNkH#`x63q8Y6#9x(= z`nV7e^`;n$#HYA5^%I_Mq2SrxZTf?}7Olhtl^4NX4R+`%Y#Fy4sGH!sy&uJNaY!-I zAM{u5MzGED?;E8hLsjt`Ycf^wrm~@kO3}^DLT43k(&6C zcW~2bmf`DN3zv>&&X|jaxQjQ5)nwtjA&qPPS z1AgA&CUWM-aG+E1wZ_iderYt8q%rTU`u#Xx+PcLNXheT(@)G!E;_&APTs!lZ&V#Zk zj$Esa5#zBO;(9L=M?xZEV)0s^HuQuMJAVO{HY_1{En5WQ%!U z(`&5=pMT{c%4CliMk7+O9@EiLmm#-Pk}uty?kg<99y5~yGflhZ{n%x!e@$1jEYSuS z3R!6)xd6V2V(}tdP9oB21Sdolq#_u&1)boj_=Xi}O)e)ub9!Dq!bH?7B+QoTH&A=b zq?ZZO=At8TaZ0Soqj$ose4*M9@|j&!^q@O0ZFw88JJ6dF8rlSv9kSPb@@$tA7^YjAdR z+hoYyux`Jw;Nyzx`@%05fi_Nq%5)HaCMqc`VjNpKg$-4+V;p6aa!Q#Of8z&B2THZ3 zfPMFIZIVVY2RCSem+x@DpvZsx5}l`CKde>aJSQb%D*D?_0vVMZDn&@k3lM!qv#3gu z6C1uOb0(D+-s7!(KZ@CoeEWgaw_@O8Jur!#`8qj!UhHpWbisE>p64Zk=&NY=4sa<{ zQ(qg}-WB9_hr$nHs*&6c{AD8-Of_A3%cG3lXRK81Fq`zEkZs3Pz^(Y1;}nh>HD5AC zv{v{AW@}O)5HnHY>nbe2m_Y#C2zUw3ATlD5GSL#^C3V|F`G;$-d8uLO2wpT3A z(bl*`{Z4*WD_h~J((bH$MJ6lOY$1yjGuhb(x8r@gzj*H=wT5FxkTOKt3Ly?tdE+Ew zmC06@pJ^ZA=kF&i2Y=repxY@D+xt9zdgYd;S2_vcn|mcxHDU2j1SLQrgky?U+6GiQ4;zxRtza>&5H0$wN1iKq=L5Pt(a&-BfiyxWGqJO!2bZD Cx^&3^ literal 0 HcmV?d00001 diff --git a/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.dfp b/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.dfp new file mode 100644 index 0000000000000000000000000000000000000000..b1c67d625638bb473b681fa4acb00be38889ece3 GIT binary patch literal 33 mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.hdbx b/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.hdbx new file mode 100644 index 0000000000000000000000000000000000000000..439ee3a092be7321f1b60ac1557b7236ef46ec32 GIT binary patch literal 2104 zcmV-82*>xV4*>uG0001Zob8)glj1lMfS-$Bq2Oh^BiO?C(H-+Zfvd+mT+2}#H7^ch z%itMfJA70((^2)?HzglX##O@*>`p-QPzREn%KVg)QYqYSqizsxB6qn;(1-3v#HuR$ zPmW4a}Vr1jkUHT{q0xxbMFIc)3a#G(S z)iEL>Oy5R9vceIH11I@vMwk}mPmq7zBu1UVc#J|bN_=RTi6IY{qOz8zzd=EoglU2t z*TXS#qF|ksItcneP7vW`;QCAY^at0sywoNiZT#d~7==-=jLh|#6a}D>yG}i7N+$2) zwi~K?90!(bCfG)HV5Mv9Cs|{7)b*jSb@q|igujImj$?0w;&kyFwh}5K38-2CZXHw6 zR^Z!i1}9fJ$2!h5Lw!)w2jJxCX}XB5$PH;Hon?eEQK3~lGQdj{Mgyb!oYJd@ciMxx z*$9|n=((1;@G$c7rslR;NvlN#e07r*z=oD&W1D_g&3_(KXptiNh3hJ*c1j4Kp=<~Q4_LaLK|GfOhKQ>{ez5#8z+&wNRuFn zZxI@M*o1B)?0}6qayounb4xR+#!ebh9yU%)*Nbl(r1cZ_ZK(SRHM4%3q|k=ZH>?O# zC-@1WCd0QGxy>;ghgjwlFMkraX5$G*F_|&n8#lSph{*YO3J#sdNY|U68#hksc}Cun z)IQ|@s<#ZfOIM#(fBtjhnQ|jPD;I!87W~O3 zeWNJYpcRLjO+v;u*BGr77WgvF#toF*B%6>ZF&s?>oj!DN<9OyWhLR86(O|6iwTaQw zr`^$mHqm-5qz}jQSqt4yMgwCynoqh~3ymk5F?}4)e%7Y?6h4loGvi*L&Gg}y7V3Ak zem^hVY4o5^^%)U3?e~mXXFTrQ^|gC$*J+O^qQKi0yXYRt&SSY71TJTLQMf`{FPb_ zHBJ(8YMjDUsL4Q0xKgX5#!3Y>o~uxkfSP!vRzr=Iirs)!D!YNq12ySNt&SR3>;^1f z-32 zKT!sDq6l6m5tI^B*-RBUGxV=sSLbzH5rGIo6&mac{p(zQ`{SsM z=ChMx`+Gr23P2J`)S-~2P27t*MAV#I-K)mE%AKIP5hw)NbxPJw$ui~;szHYgtN-JX zDWXf8l1hFMP{_%1?;xPS@#*o%w9qAzA`wMKN~#93a6ErJG8J@*q95+wW=z*jJ4EnHUI5=8NB*Ud+J7>B88(CI%TRfz;)Ob;gE1 zoX()2>|;I!jkV#dOp6_qD|>e;ce*ouG&IH|V(DZwn!P)%_lzENx|7lUe3(fo4F572 z&Bx`Z(rD}_Zn81xfWDfzWQvmfpy^w!@C3<%tuXm>3u?+Pd=pdbP z#mVhIa##JqTuJ4W+>_Mmw+>V#2YE)0#L6f1Bu>l#ut#PlelS;(6(M&erFuQCss+h2 z@+D3@p)c`#PTZM)tL@(CP6K3X+=S( zI#Y@AjGRe00l}>^JWJ)tv+dGO6$qwhnUiQXGh zXX2{&`7Fa1D9^~5#8bxKQXYTv*ZBLem}#36sQOazMXqy-zopZ}-&Lo1-)9c-mYu}f z+lj^lQ*Li1+4cvzHrYnAXr#A4`Jw{dxj6b|82FX>$8)36Fk|xC8-1VXu6GY(+Skzc zC(}#uzLe%?`f{1!k{5_#HviMr1`+unys`y;EfRrnmV6 z7q9bpDJuz*#4(b@R9Xa)OV3)_rin~EcV zGsuF#yhA3-R~t4Cv2SDFqHlZc%mWsleco>)?6%=)n?@shlhjCk7clon-CtmR>3o@V zU|H$@tPMIdy-V%_^w}f&UYAlwp1X+5XhX`s2GQ?Of*l9O#C^isN%pSb+|qsN`H1eW i6iqPy7?tZ5sMD+drc+i;DBs}QI(hyE-+uw?Hro|rAqejP literal 0 HcmV?d00001 diff --git a/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.kpt b/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.kpt new file mode 100644 index 0000000..c1e72d7 --- /dev/null +++ b/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.logdb b/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.rcf b/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.rcf new file mode 100644 index 0000000000000000000000000000000000000000..25b6235f9a4788608074ca6f6c9373795da76ded GIT binary patch literal 1336 zcmV-81;_fV4*>uG0001ZoW)vQZ<|OIeU5*{sCn6ys&qcUfTKP*#F5x_?8Zsk_z7W$ zq-b4;FxjfwZGU@b0LQ?Yk?7sw$i66V><}8k)#C`VSBuIiNJllSKK8v@Hqf`3*&vB3>eh8v)azcNuqYzws zF@Od@a6*iUh5?2cmp;4so__X|AMfdMz&eBA;w9KU08DfM$M2Bw4nt^xTR;9c*!tju zxBUlTaQhed>~FyAA+;i1@zc#Uc-%$bMx(vIWwYRE7j3+q``{%W_-}MV&2+zIu(4(z_xjVp=q1vqaSqj;3=f5hTd29G>L=fo$v1b z9R-aTLz95A_dokZenN&jU)s~TJ9ied$vs*l?G`!+rwIFmuJDI=jkn-(dN;MfpKn1- z{o}jOQOv%U&N71(>`ASexfdU=u4b!OW3WMuv9-xPTq8`!M0*{N*<*5k*n_jUo2~5S zVllJt*khAzg?-yuy3C&C$9x(&u(-iB%y!`{YPaDm)b?RC@;a8K)yNFGthMJ-(VTi( z38P?@(aOfAUZxqr63s|&q}gCz&^VgebeU$Q@hgyL2dM=GJmCa{AqjFqFWi>2Gw^Uka$SZ}E_dF>-_a%J z?62D(%xPIigIoAmI;eiamZ%z?Y+^6mexcK}7n3tix&ZDSz0kc#SATQPf3L}q#g>*y z6vD?b&J*oQEB7-3Gc9EgNel4&y0QUO4nUL!Agl%;Q3*h72?G#IZx6rjudxhLj{yaE zV^Dab)wzA5v)*b*czsa#{sXTdKEv#AVE84RI|baX%j(=J!1tjX^6*FHXw0g;!s~;=lR?pqVfQ|;=dD(Ft{zWY9ee)wYcm1Y z#d?m)2;^0TD9H-z&4iB?Z`wOdN%GizltS|8M@f-xa93%zC_Aa@XXMaTYbM1_IZX^Gw#$}Y!L6RbW!~xaxxu!MyiaUA zWLROp!xm%MOQEzGi7Xqu8*V6j?AzZ){T@23>rOZCyt$-|>oc+Rz?&b6fK+Hu9T zSg9@%yOt>Iulv$K9^C5Vp71w9Oa9nuTpx?r)i~muXCJTNsOlF-<@?0+x>2+pUA=Qv zWwTytY#O1*)^&_tHVPKTio8+B{{0)Zq>K{p8zT;jRNon{)kuwN5 zZg1f^UygZSYPm1vohLpCNd6G_@#;h#p0qb>bzC*Qk1M`2QL3ZlPoh!hy*fb_*(W}F uSpKbBd$sTzN~Un~dj^-e$>5rK&Ov7BlExDJBT)YGT7m!8!~YA(GSjCc`m-be literal 0 HcmV?d00001 diff --git a/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.map.atm b/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.map.atm new file mode 100644 index 0000000000000000000000000000000000000000..43cec4d85e05d6c534afbc5c0b6ab9119f82fa45 GIT binary patch literal 4955 zcmYk4bx;&++r{ZF=@1s_uBD_yx{**onq>h6c9-t%2I*Q>>Jg-6VM*x{77zs2pi5G^ ze0kpQ{o}i5?m5?7XRetuXMS~**jWF*fa3jEFJTC6>adUD`0%{_v?F~OfToF(=t+?q z9vOSEf@sY0@EgB3V{j+GAQ`{#8xTD^XNU9Lg1HYBdf(HM&X?=T1r+Lgv_s=+P}*bA z(r^Jgh(61tAydE9Sr3c`0ycMi@RsJP$9yEGdDiBP%8+|wj^n~0ewhwL&gHihl!5Eg zqPR?%J{!mtGqCRAH}G9}SSDX_tuYCGnOih@IL9wjgqiv)RanJU8-Vk-Xv($tDG| zlq?OE;2pe{5Cn_PI|7l}E-! z3JhN4L?x@lD%>;aS+zvtHh3#%@cj3umsg|gcXCnfnb12mT|r9AadrPcGGakNL8G3U zc5J2xa6Y*pk&ihGOtru4mTuW%$hiS6AsE-06?E~9g@A^%dStfAB#-gd9F7^MC4F7F z!0+3)n_dpXifO~iDD+f_I6gY;%7TIjACE4ROCm;rsghhk!b&B)YN_}5jdRPD3ag|u06#( zl&g7Jl8Q0HY;0K-k3qKdf2G1UI}SK-0~4}79q;mDvk=s2?&N@BVx3pKyijxl#{4?A z1vV5#lH8efyn3vstBGf>dd*N*m(^JpQV>5dRJ=7T@Wo~A+o|X%otZ)2GaXv^UPPhp zV&&Z5($XKPY`_TYdB;#xV1CM+4VBEr5{<6Ib^v5nhA=I-#AexH{gN$bBRQosbmzsn zyXNA>_rVsY#l+Qh%ti3mc#e+6eIE2o^KZUg%I~_GKNBs1mk)AWy#49GWH-L}tN5!e zN>rXt1C6BKyNrEuLY>8w-xY^@YZIhoobn-UD)w>Wn_nZrJH3fro;zRaxcmsx{L{Eq zr~|XsUa5r#l~f=yC{3YLdwk-G)9F*l$6B=UM?#~Yqtv$GOwoARU&*H5@R@2$M^yln zL?+Z=ezeiYL-hFO7Ur3j=JRiVyak+R**X1DXu2&;6}~l3dO{Rh6BeOpKoAJxO&Es+ zM8matly=kM7$neQdP`56@mgo1Y;O`DT2GjcmO|?TkjZgEcT{XJNLz#n) zJ_qUi3Sg0odD}C~cB=Vq>--h4Q^jqjSlC>7Te38Y|SR#Qk{eeI2d7RLj}J| z$2XP5)NJF0xIn=uX`JP#a23HDLq_u!2xBgbg{fl=j>@7Q$HeJ^?zJEH6YuZN^^{=C zEHQ-S!c1QWd6IiW^qZ$>;gu;9%Xf<)~HzmV3D+ zl#!Q+R`!psey`-ii9wb3?m_KYhIMQ`$)k_IhGO+}pBVq7?00-V|Ie(WYg}>THXs&g)*R$7`BUJ(}}G9aTZ0anPVXJx*StNc0*U#%KX0+&nDO zi)biZhZla?;{pAq%pxTuiCGwuy5K5rIYIRyFqs#^zw81NiFJ1( zbrLM(GHz&lw@yniYX!M?%@J>~Pn6|Vp-eHNut!zpzM&)IKf@dXO7&LWWBr!Jm9$|H zai1tBRgQb+tgPstJBVf9g+LqvfTv@Q1p$@Ir&i@A$F z!Q~_;6~L~bpcrXq$b%EFxeOQ%jHJm5ilU61nHi6iP#e;CGKu>w&^BRv9nUsoqs@b( zYABz8b8@cn4==A_PBF^<4s)Wa&2Hf;C3V`cFcHZ9)C{-vn5b6*_n2UsrjqxdXY}%r z|9;FuvtR?^(*+HYjccyx>gMX9X!LAUu~$`nDNZW37dfx`d4}2zFUQ->%>ERV!(ygu z+h)XTVs8!hnFMFZHnCFVBFc#iPk{hJld|`FqaB?}4UBZNH6BZzQr@_knxCIq;Up+` z8pGE@ZNmw=WD{WAjik4NL_GZ-7IQ+p{QERI2{r-1ObH zR{B6AqD_0C+pNDEDRm(AV7L9BoqPsqU8 zk&Ef+!A$nTbKVVR-lATq!O2*STuUO>9~ zvKo0oey)beAQyMnN+W$0O7<>8nGaH3F;0=f5K-}@V8s898`6V!E|D_GG@YOA1IoBR zLw+D(GR#Kwf0!1EiEo+mh?#GVzodU_44a(9v65_x<3T7{pC4>r%{IG7C8oIlv1KgX zLz)ShOZRus^0`Dcl859IS6N>+5&$9_(#!m{;PM0MSVxS;*k(%xaopg~+IY%b6B9J^gZL-S+v;uTfY`5-r8XPSS>=&GSg-cUJUAA2&`lOg{a?OCNIlCg z=Ui}(DTq)$vSfl+v!BvB3FeOpPg>=_iCFS~ou5TX0o+@LIzTsN%URbm@Kp>}<(2}Z z^X|3Ez+;bdIRmWe5ito8bVfr6uVazA1B4K$00azR00Ibn(2OZy5b&4uVU z9!vWe&a*=FzAY>?eusJ_(iPVvX~nmVS`uN8VC87tCH6Lj3LkcRss>I8Cp2`%Uf=Ab z$eg1+#ctNWWJgEE3K4)#pEBiRU+Bj+JundgnmpRFy2c!T15gItrWyF(qN_n9i^Mz6TgIzU-UIVwOB9*j zPl))5!{0(WtIAi5iC(6|qI?JOnvJ@7v;cQ8uaj$; z-t$`HcN74Dl@Gry64=61_*2sevg^eivKDi61CnKwSx0wXJAP_L5r3Yr*#5(!I(s4A z3lAg~_QzT#pf|ERqhT%?qG^ZF5xlSFz^^apQS+*55M$mJG?d8ndfBxw)V;CVT%i7Tt}Kt_ndmoYaD3}fkSqV5%$$aE;kmCs?#Q(_nPK2Z{sifmU;(Ox{;uGPN*kDC>tO}e!X}#cH10rHHf%T|lkObNh zSO9KH)R*+J_E?HtnCM>Fc-EtYIvU1X4Yf5CN#{*)F0lQUU4)Q?`S@Xnycl^W(v=$Sgt$~O1o+)1w?5wU@C8Hx*K1r+;&au2-wMy=;nGigK-2lKlMU8{5^w?~Lp zjXpk!7GkiX{d^IV7;`EaBX>_EwiAu8H-fX_I^J(kMmn}z^kgsRcDIi2fA|;Vq!d zp(dO}C-|aT$!}bY&qYC7<83FK)U4z1o3whpNzBJ)HgSQe6vi(g%@iwK&S4&_Uz+i@ zXXzz6UYMRgJ)?U3>DF1sjz(m-m2}dKajRq{_OI<37t+uh8+<<;-;;YO02y>X+&r{B zYYJp?>qty_XdBEFw=mVcvAJ7@&9&)$5oTy-TQ{1`|EKgZYh}S2kc@dzd0S~gXbR)OJ`-CGyCW7u1!rB^%1%#&6Byy@EXVQI; zFF7@Z(WSQF5dzbf(3D7zM8hrb)?81NI7D9x9YQ(agQbiFx`MC8$cA3ZK?bD$nO%lF zsNz4(O>_f&ldo>}?kfsXS~2>XR-PQ3?$P@6 z8)u47<#d5su8e)jzWTfVmX+f&&iZd3ibRI0_B+&mvLM3+PJBqky{DWO(+&xZTR8%B zlneDAe4uZ)$!ZDgJXmw|kem)4`RHFZveiXv?;lA90=AyJI0c%t zHfqJKxCrWtk(okD4KdzBh*rOf2OU8)r=q9=Kv4}+;c&_9OpC%XZ9xO)ckpkz6Jo8N z2f{Lzf5q6v#b!Ja(T`Tn@#*3# zcdfeZkBhXASXzfd- z=-vgWK0k*{BPw$3;QznsKsmPo#q`@0 zx42Vx-Bmj9GlRr(|E+0jRyK^BC1EL!x*uOm=0*%JCbvJOa%ddqu9;dd{Q`ncyVG>I zZhu5WiR*)dC%V5VpS%8!)-}$Yw2*4vOjAx#ypquc5>`?lwD`ys{=kH<9cX+2qNWcv zcO=ohK4Gd$*h(Xa5ue;5|69?ms}(HFaCe$rZouZ)MBXK~!i!%>B6Z&85N`p$!xT;{ z$ncr^w!=E5`h?ONjyE5=jlu3~CQS(hPc>s#3nFzjo2ZgvjQ=^r2}m>}lW9Qp%(Api zg&{ZbC_t@HK&t-8TMUM0-K+q~MrrMRV$0WYh*t86qViGj6M5qpE$3=8BEi{8X!|Tn ze52(JA)mM7XN%Y;VLRy9tnlBbU)9i-N+@Uud|QY}!@7h2{8A15 zf-0#K+A(z;ThYu;>$air<2aV1iJdmkg>>a%dbnqenPq9@q%FaRGoHu+k2`HLa$nHs z`7_Gpw>cd%3MFX88-I1tc6mncj6=WZLaZh9!Ui{H^}>UMyX^kJQh zZC9Zr9b(KQ)E|!z2iv_Lg*BhrUsDq|!5c8Vl=6V+}DJ-d~gByZSjCL+uvABWM8l6+GoNLMWU`~x)7QJoh*f0CD@t;M)pwj0*6AnLIcEC4=Q_O$ zKw!Kx-9i&^=Sc4EXC?&s;{(~Q2kft`#RtEf0SfjetC!}~3nypePeDrji;)@p0lvc8 zE^iG2xV;~NbvX~IbX~&#HU>vjLtR(?Zm)RyBZzXT71|Y97(#-*5@SgKx{W77a8?#d zIOFSAHxSuSD%->*iaM$x0*?I`B&vyX0vyXtnnKEM7XDT+*|$`71w?=s+$pBoBeTT1 zIaZnIG+(tW+mOCAx{?fA2QQU4Fm5sB zRtusW${*Jr#dmBjCYmX-&%UtD{RgUxiXW+&S>f z&;}$zAwL}2qE-zBO_!T2YQ~e-UeuG0001ZoaI_ubD}U5e($fy_`KU7QZF4!$13jF(dt^;txrsVP_vC- zLvYub{qdWkMNwdBAG*8X3*=mK@}2XM1fqKRb3wrhGoN_wMWuQwRRDG^&nE8UMdfbV z``oNtYA5OvF;2L!@wF2memlXY9m*c5XCk^2gu-V+jBWqg{pJc3q!zfvY(*>##>jdCGPu14->?aEJB*~l{l4N+i0gQfsyprjfkcHdY23k|dyE_N zCE|1KmkRfraYF|!2wiKPS!Xc1(ffTBZY6QEmwaf$FY@?nZ!AmAPE&=U=%6z~u^*R3 z@?&wR@)&s}E}}*_Hh)eWj+xOkPfgoVVO*Qs179z(Hqi&|Y5!hVVc1NzWSAmORya9U zT$>}7F`kUB_0BXiCNh1G27KFV_Z%*n_Z?f@htGjXVBo{uIN;%s;?BtdC@~pgYwd@} zo>chS${7Asf5;xPHOJPIA1pN6Y1WkbS*;~owvA+^ZZ;Ihw2{)1TT)GoFS1Mt#}MJZ zc6X~!K7r0?IPMSht_ZymAJYUT^CUlgI3r7n4IfjHVeeyTlosA{PQPWWb%NmLzB3oTh*~o&N8WUn`&3xo(f-Xj@2PvF5(3YYl0yA&137Z$Z55HEl@^b zHZ`ao6(cebOAA_H!Q#ZSW@?ur!^vJL3W1c`47*7_$vTn5WFqVc0*G%!TZoGaX92GK zfizjGbZETg!0!1jCM~3oq(!7lR)uO;^s3Fou)>>kJDV`g3-~e+5Bke}h9!1TK)JC( zG{D+}Fpmk+9b*gX)#&b~+n&sf@n|wlPiyE1(=q0P->&C^Puw@7ul^6{8)Zg6DKq%? zS*g)0rAA*XHF~+!=&PkhpKrHQQ1pXRgCCX|eYe!;C#6O|%Qxj0^%ZZHZ@n)p{Cu0e z!ottD(=ROie6M+hg`e;9PGRBa`@U0D_@iDC;lJmL|4yBLhO<#8gnPqb!A=+*GHuS& Pw|_auG0001Zob8)ibK*D_$DhNeQ1PrMu>V5U0m8+?GpkWnWyz zmO(qlc3F11nXb%dpCkDKG0@w~vQ-(1hg^{4Bb}fAIvh*(-5u)1$tESsRfgX6-Xqa8 z#lK5}V4`lA;naodG>KC;BXQKayF*WLo}!#$6bA=d{EZ?XQ?iUu7RMp&pfFw%4+VMT zv1*E#2{-aloUL$*XdGl;+!XVo@(T7Z$tda$#$%MYX%<1l!VJ5(HdVBAqYaAlEXgwz zkPuT8r182a4G{E!f;h#?m_$o{^*a)IVeYe!K7J-1MoAhkQ+K^)MKO$stn-k&QrLUH z?S>DX(%2(zhJED6UcSarRy2l7Nd$eZi;v7F{5452rQrtAeDQDWW!yp*^I-wFHRYzg zIPysWryO#LbzF=L^7X%E9K zX24C7ka+GQ#3(GAy4z+otqwQvg=8y$4K3HC@Vf&8b~Ci8L_sJ6&b^>9*i3SeMj;kv zU>9~VrNt~zw05IBaJ?)~0qtT_Im7^gAzs8?D2f?vkcgYZcvA8^n+-y~(DWDdPrN`@p&w?kH0DJ4dV%@K!4|7n zFmpS_wfSu&bBtAO``fihA|HQ+YEjb-S&}7*jUQIX&iLhztryOX{j3N8OFj5gEc#Ye zut6&cwcCtL+T6iVLpMuV~4wz$!OqANApR~>Y(w&a;8ti+0WM0o_0{bXZ8C~I-1UQi$B{_d&W#m z`wz~nJ05rM`__Bb(pavk0JHoMc^l%}FGN2aMG`wI48Xd<3=Nj z8$jt{aHUjaPihsN6ul9hOyEQ!nw|KC2Zi?yQup(T&8q&g#8e$ij@yCI~u2@uw5FS1xVY8D(N_u)Dpz#N2=zn5Ppr zST2zi%M1!qS~KZ{TjHk^w^%Nbl&n>x)Mk`2fYgaO=OvP2w|fPt=Ix$g0;vW&?IIGsU3J+S!{NLj;Ky?^jSn+gqb-QLU|4W03b0hx?Ov!fK* zVD{Jyy1mKhaXu`pRD3>7M)Ps~sb+(tjAR>!OX3w7#(-^|xRHnJ>NY>@+d0%zP&-RW z5@Ltq5PMn7F8#o++d1pg{#u(b;{QQ$`;dw(z6knkjq)uSaX;+qCA>cx*?i^TzpRHQ z!~3IzYQUeYp*87pD&MDefRp_I{b>I?q}oTZ^zp=CbnyZ)C8N}b**x|%jS}4(lZU9E zQ6G|8I4PcZn|AR6(G8^(h|xTsGtG+K8&im+o>3vPTzYw8f_(7`;d}kXu75KR6a}Gz z_r@e5%V(5`B9>m7SBV^yh+s)r@Qk0ZE5q~=keD99DOHzpDFoY|^YW~=fwTRoPGZ0~lP z3aOsgcx&0JcAjjtDTVJV;YT#EGw$R2XFs?_bZk>-e=-kl4)I+d*4uwLx#Zs@5CdlB>Qb^5S&@fBl^zH*&+x@3?w4KFmc;(KJcWG@;QVPJRAly;_#9ebw>F64Q+J z?gxgfXsT>Vp6|PoqAheKSop4DN~R#!KDg^7fnlVjH^Rr4T@=OqH);5byB#3j;_L0# zb@&>JbPURl#p()goj6Mz;k10e;V6k?0JMVue$2xIlYKaRy!KE2Fa7fg_2OrozH;y^ zv#%d?-o?J}Ep%6edH1j{1ioto3Wz~h6yZB$iv3l`#|e&n9C>_~b!Q#OaP7-}8)>(V z$lJ79#cNqk9udIYAN78P_|p9}=|WiP{cH`oGrPxjtL@nn`gUjw7=>h!y6J|Me~HuI zpacg2%n5nM+eP+W!= + + + + + + + + + diff --git a/shifter_8b/shifter_8b.asm.rpt b/shifter_8b/shifter_8b.asm.rpt new file mode 100644 index 0000000..6435cc7 --- /dev/null +++ b/shifter_8b/shifter_8b.asm.rpt @@ -0,0 +1,129 @@ +Assembler report for shifter_8b +Mon Mar 07 10:37:44 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: D:/projects/quartus/shifter_8b/shifter_8b.sof + 6. Assembler Device Options: D:/projects/quartus/shifter_8b/shifter_8b.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Mon Mar 07 10:37:44 2022 ; +; Revision Name ; shifter_8b ; +; Top-level Entity Name ; shifter_8b ; +; Family ; Cyclone II ; +; Device ; EP2C8Q208C8 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Generate compressed bitstreams ; On ; On ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; Off ; Off ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Maintain Compatibility with All Cyclone II M4K Versions ; On ; On ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++-----------------------------------------------+ +; Assembler Generated Files ; ++-----------------------------------------------+ +; File Name ; ++-----------------------------------------------+ +; D:/projects/quartus/shifter_8b/shifter_8b.sof ; +; D:/projects/quartus/shifter_8b/shifter_8b.pof ; ++-----------------------------------------------+ + + ++-------------------------------------------------------------------------+ +; Assembler Device Options: D:/projects/quartus/shifter_8b/shifter_8b.sof ; ++----------------+--------------------------------------------------------+ +; Option ; Setting ; ++----------------+--------------------------------------------------------+ +; Device ; EP2C8Q208C8 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x000C7CD6 ; ++----------------+--------------------------------------------------------+ + + ++-------------------------------------------------------------------------+ +; Assembler Device Options: D:/projects/quartus/shifter_8b/shifter_8b.pof ; ++--------------------+----------------------------------------------------+ +; Option ; Setting ; ++--------------------+----------------------------------------------------+ +; Device ; EPCS4 ; +; JTAG usercode ; 0x00000000 ; +; Checksum ; 0x06F093B0 ; +; Compression Ratio ; 3 ; ++--------------------+----------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II Assembler + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 10:37:43 2022 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b +Info: Writing out detailed assembly data for power analysis +Info: Assembler is generating device programming files +Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled +Info: Quartus II Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 241 megabytes + Info: Processing ended: Mon Mar 07 10:37:44 2022 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/shifter_8b/shifter_8b.bdf b/shifter_8b/shifter_8b.bdf new file mode 100644 index 0000000..836a242 --- /dev/null +++ b/shifter_8b/shifter_8b.bdf @@ -0,0 +1,1081 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +//#pragma file_not_in_maxplusii_format +(header "graphic" (version "1.3")) +(pin + (input) + (rect 40 408 208 424) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A0" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 40 424 208 440) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "L" (rect 5 0 10 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 40 360 208 376) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A1" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 40 312 208 328) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A2" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 40 264 208 280) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A3" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 40 216 208 232) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A4" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 40 56 208 72) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "R" (rect 5 0 13 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 40 440 208 456) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "LM" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 40 456 208 472) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "DM" (rect 5 0 21 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 40 472 208 488) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "RM" (rect 5 0 21 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 40 168 208 184) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A5" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 40 120 208 136) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A6" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 40 72 208 88) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A7" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 688 168 864 184) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y0" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 688 152 864 168) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y1" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 688 136 864 152) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y2" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 688 120 864 136) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y3" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 688 104 864 120) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y4" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 688 88 864 104) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y5" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 688 72 864 88) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y6" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 688 56 864 72) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y7" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 680 568 856 584) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OF" (rect 90 0 105 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(symbol + (rect 464 576 528 624) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 464 528 528 576) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 576 552 640 600) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 36)(pt 25 36)(line_width 1)) + (line (pt 14 13)(pt 25 13)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) + ) +) +(symbol + (rect 488 32 584 512) + (text "triple_selector_8b" (rect 5 0 106 14)(font "Arial" (font_size 8))) + (text "inst" (rect 8 464 25 476)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "A7" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A7" (rect 21 27 37 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "B7" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B7" (rect 21 43 36 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "C7" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C7" (rect 21 59 36 73)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 0 80) + (input) + (text "A6" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A6" (rect 21 75 37 89)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)(line_width 1)) + ) + (port + (pt 0 96) + (input) + (text "B6" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B6" (rect 21 91 36 105)(font "Arial" (font_size 8))) + (line (pt 0 96)(pt 16 96)(line_width 1)) + ) + (port + (pt 0 112) + (input) + (text "C6" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C6" (rect 21 107 36 121)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 16 112)(line_width 1)) + ) + (port + (pt 0 128) + (input) + (text "A5" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A5" (rect 21 123 37 137)(font "Arial" (font_size 8))) + (line (pt 0 128)(pt 16 128)(line_width 1)) + ) + (port + (pt 0 144) + (input) + (text "B5" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B5" (rect 21 139 36 153)(font "Arial" (font_size 8))) + (line (pt 0 144)(pt 16 144)(line_width 1)) + ) + (port + (pt 0 160) + (input) + (text "C5" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C5" (rect 21 155 36 169)(font "Arial" (font_size 8))) + (line (pt 0 160)(pt 16 160)(line_width 1)) + ) + (port + (pt 0 176) + (input) + (text "A4" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A4" (rect 21 171 37 185)(font "Arial" (font_size 8))) + (line (pt 0 176)(pt 16 176)(line_width 1)) + ) + (port + (pt 0 192) + (input) + (text "B4" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B4" (rect 21 187 36 201)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 16 192)(line_width 1)) + ) + (port + (pt 0 208) + (input) + (text "C4" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C4" (rect 21 203 36 217)(font "Arial" (font_size 8))) + (line (pt 0 208)(pt 16 208)(line_width 1)) + ) + (port + (pt 0 224) + (input) + (text "A3" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A3" (rect 21 219 37 233)(font "Arial" (font_size 8))) + (line (pt 0 224)(pt 16 224)(line_width 1)) + ) + (port + (pt 0 240) + (input) + (text "B3" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B3" (rect 21 235 36 249)(font "Arial" (font_size 8))) + (line (pt 0 240)(pt 16 240)(line_width 1)) + ) + (port + (pt 0 256) + (input) + (text "C3" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C3" (rect 21 251 36 265)(font "Arial" (font_size 8))) + (line (pt 0 256)(pt 16 256)(line_width 1)) + ) + (port + (pt 0 272) + (input) + (text "A2" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A2" (rect 21 267 37 281)(font "Arial" (font_size 8))) + (line (pt 0 272)(pt 16 272)(line_width 1)) + ) + (port + (pt 0 288) + (input) + (text "B2" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B2" (rect 21 283 36 297)(font "Arial" (font_size 8))) + (line (pt 0 288)(pt 16 288)(line_width 1)) + ) + (port + (pt 0 304) + (input) + (text "C2" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C2" (rect 21 299 36 313)(font "Arial" (font_size 8))) + (line (pt 0 304)(pt 16 304)(line_width 1)) + ) + (port + (pt 0 320) + (input) + (text "A1" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A1" (rect 21 315 37 329)(font "Arial" (font_size 8))) + (line (pt 0 320)(pt 16 320)(line_width 1)) + ) + (port + (pt 0 336) + (input) + (text "B1" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B1" (rect 21 331 36 345)(font "Arial" (font_size 8))) + (line (pt 0 336)(pt 16 336)(line_width 1)) + ) + (port + (pt 0 352) + (input) + (text "C1" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C1" (rect 21 347 36 361)(font "Arial" (font_size 8))) + (line (pt 0 352)(pt 16 352)(line_width 1)) + ) + (port + (pt 0 368) + (input) + (text "A0" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A0" (rect 21 363 37 377)(font "Arial" (font_size 8))) + (line (pt 0 368)(pt 16 368)(line_width 1)) + ) + (port + (pt 0 384) + (input) + (text "B0" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B0" (rect 21 379 36 393)(font "Arial" (font_size 8))) + (line (pt 0 384)(pt 16 384)(line_width 1)) + ) + (port + (pt 0 400) + (input) + (text "C0" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C0" (rect 21 395 36 409)(font "Arial" (font_size 8))) + (line (pt 0 400)(pt 16 400)(line_width 1)) + ) + (port + (pt 0 416) + (input) + (text "AY" (rect 0 0 18 14)(font "Arial" (font_size 8))) + (text "AY" (rect 21 411 39 425)(font "Arial" (font_size 8))) + (line (pt 0 416)(pt 16 416)(line_width 1)) + ) + (port + (pt 0 432) + (input) + (text "BY" (rect 0 0 17 14)(font "Arial" (font_size 8))) + (text "BY" (rect 21 427 38 441)(font "Arial" (font_size 8))) + (line (pt 0 432)(pt 16 432)(line_width 1)) + ) + (port + (pt 0 448) + (input) + (text "CY" (rect 0 0 17 14)(font "Arial" (font_size 8))) + (text "CY" (rect 21 443 38 457)(font "Arial" (font_size 8))) + (line (pt 0 448)(pt 16 448)(line_width 1)) + ) + (port + (pt 96 32) + (output) + (text "Y7" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y7" (rect 59 27 75 41)(font "Arial" (font_size 8))) + (line (pt 96 32)(pt 80 32)(line_width 1)) + ) + (port + (pt 96 48) + (output) + (text "Y6" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y6" (rect 59 43 75 57)(font "Arial" (font_size 8))) + (line (pt 96 48)(pt 80 48)(line_width 1)) + ) + (port + (pt 96 64) + (output) + (text "Y5" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y5" (rect 59 59 75 73)(font "Arial" (font_size 8))) + (line (pt 96 64)(pt 80 64)(line_width 1)) + ) + (port + (pt 96 80) + (output) + (text "Y4" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y4" (rect 59 75 75 89)(font "Arial" (font_size 8))) + (line (pt 96 80)(pt 80 80)(line_width 1)) + ) + (port + (pt 96 96) + (output) + (text "Y3" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y3" (rect 59 91 75 105)(font "Arial" (font_size 8))) + (line (pt 96 96)(pt 80 96)(line_width 1)) + ) + (port + (pt 96 112) + (output) + (text "Y2" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y2" (rect 59 107 75 121)(font "Arial" (font_size 8))) + (line (pt 96 112)(pt 80 112)(line_width 1)) + ) + (port + (pt 96 128) + (output) + (text "Y1" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y1" (rect 59 123 75 137)(font "Arial" (font_size 8))) + (line (pt 96 128)(pt 80 128)(line_width 1)) + ) + (port + (pt 96 144) + (output) + (text "Y0" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y0" (rect 59 139 75 153)(font "Arial" (font_size 8))) + (line (pt 96 144)(pt 80 144)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 80 464)(line_width 1)) + ) +) +(connector + (pt 584 176) + (pt 688 176) +) +(connector + (pt 584 160) + (pt 688 160) +) +(connector + (pt 584 144) + (pt 688 144) +) +(connector + (pt 584 128) + (pt 688 128) +) +(connector + (pt 584 112) + (pt 688 112) +) +(connector + (pt 584 96) + (pt 688 96) +) +(connector + (pt 584 80) + (pt 688 80) +) +(connector + (pt 584 64) + (pt 688 64) +) +(connector + (pt 208 464) + (pt 488 464) +) +(connector + (pt 488 64) + (pt 472 64) +) +(connector + (pt 472 64) + (pt 472 128) +) +(connector + (pt 488 112) + (pt 456 112) +) +(connector + (pt 456 112) + (pt 456 176) +) +(connector + (pt 488 160) + (pt 440 160) +) +(connector + (pt 440 160) + (pt 440 224) +) +(connector + (pt 488 208) + (pt 424 208) +) +(connector + (pt 424 208) + (pt 424 272) +) +(connector + (pt 488 256) + (pt 408 256) +) +(connector + (pt 408 256) + (pt 408 320) +) +(connector + (pt 488 304) + (pt 392 304) +) +(connector + (pt 392 304) + (pt 392 368) +) +(connector + (pt 488 352) + (pt 376 352) +) +(connector + (pt 488 96) + (pt 456 96) +) +(connector + (pt 208 64) + (pt 456 64) +) +(connector + (pt 456 64) + (pt 456 96) +) +(connector + (pt 488 144) + (pt 432 144) +) +(connector + (pt 432 80) + (pt 432 144) +) +(connector + (pt 488 192) + (pt 416 192) +) +(connector + (pt 416 192) + (pt 416 128) +) +(connector + (pt 488 240) + (pt 400 240) +) +(connector + (pt 400 240) + (pt 400 176) +) +(connector + (pt 488 288) + (pt 384 288) +) +(connector + (pt 384 288) + (pt 384 224) +) +(connector + (pt 488 336) + (pt 368 336) +) +(connector + (pt 368 336) + (pt 368 272) +) +(connector + (pt 488 384) + (pt 352 384) +) +(connector + (pt 352 384) + (pt 352 320) +) +(connector + (pt 488 400) + (pt 304 400) +) +(connector + (pt 304 400) + (pt 304 432) +) +(connector + (pt 208 432) + (pt 304 432) +) +(connector + (pt 488 432) + (pt 336 432) +) +(connector + (pt 336 432) + (pt 336 368) +) +(connector + (pt 352 480) + (pt 352 560) +) +(connector + (pt 232 448) + (pt 232 592) +) +(connector + (pt 256 80) + (pt 256 608) +) +(connector + (pt 552 552) + (pt 552 568) +) +(connector + (pt 576 568) + (pt 552 568) +) +(connector + (pt 552 600) + (pt 552 584) +) +(connector + (pt 576 584) + (pt 552 584) +) +(connector + (pt 232 592) + (pt 464 592) +) +(connector + (pt 256 608) + (pt 464 608) +) +(connector + (pt 376 544) + (pt 464 544) +) +(connector + (pt 352 560) + (pt 464 560) +) +(connector + (pt 528 600) + (pt 552 600) +) +(connector + (pt 528 552) + (pt 552 552) +) +(connector + (pt 640 576) + (pt 680 576) +) +(connector + (pt 472 128) + (pt 488 128) +) +(connector + (pt 208 128) + (pt 416 128) +) +(connector + (pt 416 128) + (pt 472 128) +) +(connector + (pt 456 176) + (pt 488 176) +) +(connector + (pt 208 176) + (pt 400 176) +) +(connector + (pt 400 176) + (pt 456 176) +) +(connector + (pt 440 224) + (pt 488 224) +) +(connector + (pt 208 224) + (pt 384 224) +) +(connector + (pt 384 224) + (pt 440 224) +) +(connector + (pt 424 272) + (pt 488 272) +) +(connector + (pt 208 272) + (pt 368 272) +) +(connector + (pt 368 272) + (pt 424 272) +) +(connector + (pt 408 320) + (pt 488 320) +) +(connector + (pt 208 320) + (pt 352 320) +) +(connector + (pt 352 320) + (pt 408 320) +) +(connector + (pt 392 368) + (pt 488 368) +) +(connector + (pt 208 368) + (pt 336 368) +) +(connector + (pt 336 368) + (pt 392 368) +) +(connector + (pt 208 480) + (pt 352 480) +) +(connector + (pt 352 480) + (pt 488 480) +) +(connector + (pt 208 448) + (pt 232 448) +) +(connector + (pt 232 448) + (pt 488 448) +) +(connector + (pt 208 80) + (pt 256 80) +) +(connector + (pt 256 80) + (pt 432 80) +) +(connector + (pt 432 80) + (pt 488 80) +) +(connector + (pt 208 416) + (pt 376 416) +) +(connector + (pt 376 416) + (pt 488 416) +) +(connector + (pt 376 352) + (pt 376 416) +) +(connector + (pt 376 416) + (pt 376 544) +) +(junction (pt 472 128)) +(junction (pt 456 176)) +(junction (pt 440 224)) +(junction (pt 424 272)) +(junction (pt 408 320)) +(junction (pt 392 368)) +(junction (pt 432 80)) +(junction (pt 416 128)) +(junction (pt 400 176)) +(junction (pt 384 224)) +(junction (pt 368 272)) +(junction (pt 352 320)) +(junction (pt 336 368)) +(junction (pt 352 480)) +(junction (pt 232 448)) +(junction (pt 256 80)) +(junction (pt 376 416)) diff --git a/shifter_8b/shifter_8b.done b/shifter_8b/shifter_8b.done new file mode 100644 index 0000000..142ff21 --- /dev/null +++ b/shifter_8b/shifter_8b.done @@ -0,0 +1 @@ +Mon Mar 07 10:37:45 2022 diff --git a/shifter_8b/shifter_8b.fit.rpt b/shifter_8b/shifter_8b.fit.rpt new file mode 100644 index 0000000..eff54a9 --- /dev/null +++ b/shifter_8b/shifter_8b.fit.rpt @@ -0,0 +1,1006 @@ +Fitter report for shifter_8b +Mon Mar 07 10:37:42 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Input Pins + 11. Output Pins + 12. I/O Bank Usage + 13. All Package Pins + 14. Output Pin Default Load For Reported TCO + 15. Fitter Resource Utilization by Entity + 16. Delay Chain Summary + 17. Pad To Core Delay Chain Fanout + 18. Non-Global High Fan-Out Signals + 19. Interconnect Usage Summary + 20. LAB Logic Elements + 21. LAB Signals Sourced + 22. LAB Signals Sourced Out + 23. LAB Distinct Inputs + 24. Fitter Device Options + 25. Operating Settings and Conditions + 26. Estimated Delay Added for Hold Timing + 27. Advanced Data - General + 28. Advanced Data - Placement Preparation + 29. Advanced Data - Placement + 30. Advanced Data - Routing + 31. Fitter Messages + 32. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+----------------------------------------------+ +; Fitter Status ; Successful - Mon Mar 07 10:37:42 2022 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; shifter_8b ; +; Top-level Entity Name ; shifter_8b ; +; Family ; Cyclone II ; +; Device ; EP2C8Q208C8 ; +; Timing Models ; Final ; +; Total logic elements ; 17 / 8,256 ( < 1 % ) ; +; Total combinational functions ; 17 / 8,256 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 8,256 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 22 / 138 ( 16 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; Total PLLs ; 0 / 2 ( 0 % ) ; ++------------------------------------+----------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP2C8Q208C8 ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Use TimeQuest Timing Analyzer ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Always Enable Input Buffers ; Off ; Off ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; Off ; Off ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; On ; On ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Merge PLLs ; On ; On ; +; Ignore PLL Mode When Merging PLLs ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Stop After Congestion Map Generation ; Off ; Off ; +; Save Intermediate Fitting Results ; Off ; Off ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2-4 processors ; < 0.1% ; ++----------------------------+-------------+ + + ++----------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++-------------------------+--------------------+ +; Type ; Value ; ++-------------------------+--------------------+ +; Placement ; ; +; -- Requested ; 0 / 39 ( 0.00 % ) ; +; -- Achieved ; 0 / 39 ( 0.00 % ) ; +; ; ; +; Routing (by Connection) ; ; +; -- Requested ; 0 / 0 ( 0.00 % ) ; +; -- Achieved ; 0 / 0 ( 0.00 % ) ; ++-------------------------+--------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ + + ++--------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Top ; 39 ; 0 ; N/A ; Source File ; ++----------------+---------+-------------------+-------------------------+-------------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in D:/projects/quartus/shifter_8b/shifter_8b.pin. + + ++--------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+----------------------+ +; Resource ; Usage ; ++---------------------------------------------+----------------------+ +; Total logic elements ; 17 / 8,256 ( < 1 % ) ; +; -- Combinational with no register ; 17 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 9 ; +; -- 3 input functions ; 8 ; +; -- <=2 input functions ; 0 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 17 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 8,646 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 8,256 ( 0 % ) ; +; -- I/O registers ; 0 / 390 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 2 / 516 ( < 1 % ) ; +; User inserted logic elements ; 0 ; +; Virtual pins ; 0 ; +; I/O pins ; 22 / 138 ( 16 % ) ; +; -- Clock pins ; 2 / 4 ( 50 % ) ; +; Global signals ; 0 ; +; M4Ks ; 0 / 36 ( 0 % ) ; +; Total block memory bits ; 0 / 165,888 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; PLLs ; 0 / 2 ( 0 % ) ; +; Global clocks ; 0 / 8 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; ASMI blocks ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Maximum fan-out node ; LM ; +; Maximum fan-out ; 9 ; +; Highest non-global fan-out signal ; LM ; +; Highest non-global fan-out ; 9 ; +; Total fan-out ; 69 ; +; Average fan-out ; 1.64 ; ++---------------------------------------------+----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; A0 ; 60 ; 4 ; 3 ; 0 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A1 ; 23 ; 1 ; 0 ; 9 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A2 ; 27 ; 1 ; 0 ; 9 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A3 ; 28 ; 1 ; 0 ; 9 ; 3 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A4 ; 4 ; 1 ; 0 ; 18 ; 3 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A5 ; 8 ; 1 ; 0 ; 17 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A6 ; 14 ; 1 ; 0 ; 14 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A7 ; 15 ; 1 ; 0 ; 14 ; 3 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; DM ; 35 ; 1 ; 0 ; 7 ; 1 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; L ; 199 ; 2 ; 3 ; 19 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; LM ; 57 ; 4 ; 1 ; 0 ; 2 ; 9 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; R ; 30 ; 1 ; 0 ; 8 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; RM ; 24 ; 1 ; 0 ; 9 ; 1 ; 9 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; ++------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; ++------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ +; OF ; 40 ; 1 ; 0 ; 5 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y0 ; 31 ; 1 ; 0 ; 8 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y1 ; 34 ; 1 ; 0 ; 7 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y2 ; 12 ; 1 ; 0 ; 16 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y3 ; 11 ; 1 ; 0 ; 16 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y4 ; 208 ; 2 ; 1 ; 19 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y5 ; 13 ; 1 ; 0 ; 16 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y6 ; 5 ; 1 ; 0 ; 17 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y7 ; 33 ; 1 ; 0 ; 8 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; ++------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1 ; 20 / 32 ( 63 % ) ; 3.3V ; -- ; +; 2 ; 2 / 35 ( 6 % ) ; 3.3V ; -- ; +; 3 ; 1 / 35 ( 3 % ) ; 3.3V ; -- ; +; 4 ; 2 / 36 ( 6 % ) ; 3.3V ; -- ; ++----------+------------------+---------------+--------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; 1 ; 0 ; 1 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; 2 ; 1 ; 1 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; 3 ; 2 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 4 ; 3 ; 1 ; A4 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 5 ; 4 ; 1 ; Y6 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 6 ; 5 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 7 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 8 ; 6 ; 1 ; A5 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 10 ; 7 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 11 ; 8 ; 1 ; Y3 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 12 ; 9 ; 1 ; Y2 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 13 ; 10 ; 1 ; Y5 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 14 ; 18 ; 1 ; A6 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 15 ; 19 ; 1 ; A7 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 16 ; 20 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; 17 ; 21 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; 18 ; 22 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; 19 ; 23 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; 20 ; 24 ; 1 ; ^DATA0 ; input ; ; ; -- ; ; -- ; -- ; +; 21 ; 25 ; 1 ; ^DCLK ; ; ; ; -- ; ; -- ; -- ; +; 22 ; 26 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; +; 23 ; 27 ; 1 ; A1 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 24 ; 28 ; 1 ; RM ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 25 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 26 ; 29 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; +; 27 ; 30 ; 1 ; A2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 28 ; 31 ; 1 ; A3 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 29 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 30 ; 32 ; 1 ; R ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 31 ; 33 ; 1 ; Y0 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 32 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 33 ; 35 ; 1 ; Y7 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 34 ; 36 ; 1 ; Y1 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 35 ; 37 ; 1 ; DM ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 36 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 37 ; 39 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 38 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 39 ; 43 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 40 ; 44 ; 1 ; OF ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 41 ; 45 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 42 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 43 ; 48 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 44 ; 49 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 45 ; 50 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 46 ; 51 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 47 ; 52 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 48 ; 53 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 49 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 50 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 51 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 52 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 53 ; ; ; VCCA_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 54 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 55 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 56 ; 54 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 57 ; 55 ; 4 ; LM ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 58 ; 56 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 59 ; 57 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 60 ; 58 ; 4 ; A0 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 61 ; 59 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 62 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 63 ; 60 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 64 ; 61 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 65 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 66 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 67 ; 69 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 68 ; 70 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 69 ; 71 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 70 ; 74 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 71 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 72 ; 75 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 73 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 74 ; 76 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 75 ; 77 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 76 ; 78 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 77 ; 79 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 78 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 79 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 80 ; 82 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 81 ; 83 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 82 ; 84 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 83 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 84 ; 85 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 85 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 86 ; 86 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 87 ; 87 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 88 ; 88 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 89 ; 89 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 90 ; 90 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 91 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 92 ; 91 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 93 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 94 ; 92 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 95 ; 93 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 96 ; 94 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 97 ; 95 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 98 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 99 ; 96 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 100 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 101 ; 97 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 102 ; 98 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 103 ; 99 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 104 ; 100 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 105 ; 101 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 106 ; 102 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 107 ; 105 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 108 ; 106 ; 3 ; ~LVDS54p/nCEO~ ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 109 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 110 ; 107 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 111 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 112 ; 108 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 113 ; 109 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 114 ; 110 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 115 ; 112 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 116 ; 113 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 117 ; 114 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 118 ; 117 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 119 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 120 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 121 ; 121 ; 3 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; +; 122 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 123 ; 122 ; 3 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; +; 124 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 125 ; 123 ; 3 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; +; 126 ; 124 ; 3 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; +; 127 ; 125 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 128 ; 126 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 129 ; 127 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 130 ; 128 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 131 ; 129 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 132 ; 130 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 133 ; 131 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 134 ; 132 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 135 ; 133 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 136 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 137 ; 134 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 138 ; 135 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 139 ; 136 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 141 ; 137 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 142 ; 138 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 143 ; 141 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 144 ; 142 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 145 ; 143 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 146 ; 149 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 147 ; 150 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 148 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 149 ; 151 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 150 ; 152 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 151 ; 153 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 152 ; 154 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 153 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 154 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 155 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 156 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 157 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 158 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 159 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 160 ; 155 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 161 ; 156 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 162 ; 157 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 163 ; 158 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 164 ; 159 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 165 ; 160 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 166 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 167 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 168 ; 161 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 169 ; 162 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 170 ; 163 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 171 ; 164 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 172 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 173 ; 165 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 174 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 175 ; 168 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 176 ; 169 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 177 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 178 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 179 ; 173 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 180 ; 174 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 181 ; 175 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 182 ; 176 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 183 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 184 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 185 ; 180 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 186 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 187 ; 181 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 188 ; 182 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 189 ; 183 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 190 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 191 ; 184 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 192 ; 185 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 193 ; 186 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 194 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 195 ; 187 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 196 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 197 ; 191 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 198 ; 192 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 199 ; 195 ; 2 ; L ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 200 ; 196 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 201 ; 197 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 202 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 203 ; 198 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 204 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 205 ; 199 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 206 ; 200 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 207 ; 201 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 208 ; 202 ; 2 ; Y4 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 0 pF ; Not Available ; +; 3.3-V LVCMOS ; 0 pF ; Not Available ; +; 2.5 V ; 0 pF ; Not Available ; +; 1.8 V ; 0 pF ; Not Available ; +; 1.5 V ; 0 pF ; Not Available ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 10 pF ; 25 Ohm (Parallel) ; +; SSTL-2 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; Differential SSTL-2 ; 0 pF ; (See SSTL-2) ; +; Differential 2.5-V SSTL Class II ; 0 pF ; (See SSTL-2 Class II) ; +; Differential 1.8-V SSTL Class I ; 0 pF ; (See 1.8-V SSTL Class I) ; +; Differential 1.8-V SSTL Class II ; 0 pF ; (See 1.8-V SSTL Class II) ; +; Differential 1.5-V HSTL Class I ; 0 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.5-V HSTL Class II ; 0 pF ; (See 1.5-V HSTL Class II) ; +; Differential 1.8-V HSTL Class I ; 0 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 0 pF ; (See 1.8-V HSTL Class II) ; +; LVDS ; 0 pF ; 100 Ohm (Differential) ; +; mini-LVDS ; 0 pF ; 100 Ohm (Differential) ; +; RSDS ; 0 pF ; 100 Ohm (Differential) ; +; Simple RSDS ; 0 pF ; Not Available ; +; Differential LVPECL ; 0 pF ; 100 Ohm (Differential) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M4Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; ++------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------+--------------+ +; |shifter_8b ; 17 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 ; 0 ; 17 (1) ; 0 (0) ; 0 (0) ; |shifter_8b ; work ; +; |triple_selector_8b:inst| ; 16 (16) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; |shifter_8b|triple_selector_8b:inst ; work ; ++------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++------+----------+---------------+---------------+-----------------------+-----+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; ++------+----------+---------------+---------------+-----------------------+-----+ +; Y0 ; Output ; -- ; -- ; -- ; -- ; +; Y1 ; Output ; -- ; -- ; -- ; -- ; +; Y2 ; Output ; -- ; -- ; -- ; -- ; +; Y3 ; Output ; -- ; -- ; -- ; -- ; +; Y4 ; Output ; -- ; -- ; -- ; -- ; +; Y5 ; Output ; -- ; -- ; -- ; -- ; +; Y6 ; Output ; -- ; -- ; -- ; -- ; +; Y7 ; Output ; -- ; -- ; -- ; -- ; +; OF ; Output ; -- ; -- ; -- ; -- ; +; A0 ; Input ; 6 ; 6 ; -- ; -- ; +; L ; Input ; 6 ; 6 ; -- ; -- ; +; LM ; Input ; 6 ; 6 ; -- ; -- ; +; DM ; Input ; 6 ; 6 ; -- ; -- ; +; A1 ; Input ; 0 ; 0 ; -- ; -- ; +; RM ; Input ; 0 ; 0 ; -- ; -- ; +; A2 ; Input ; 0 ; 0 ; -- ; -- ; +; A3 ; Input ; 0 ; 0 ; -- ; -- ; +; A4 ; Input ; 6 ; 6 ; -- ; -- ; +; A5 ; Input ; 6 ; 6 ; -- ; -- ; +; A6 ; Input ; 6 ; 6 ; -- ; -- ; +; A7 ; Input ; 6 ; 6 ; -- ; -- ; +; R ; Input ; 6 ; 6 ; -- ; -- ; ++------+----------+---------------+---------------+-----------------------+-----+ + + ++-----------------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++-----------------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++-----------------------------------------+-------------------+---------+ +; A0 ; ; ; +; - triple_selector_8b:inst|inst3~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst7~0 ; 1 ; 6 ; +; - inst3 ; 1 ; 6 ; +; L ; ; ; +; - triple_selector_8b:inst|inst3~0 ; 0 ; 6 ; +; LM ; ; ; +; - triple_selector_8b:inst|inst3~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst7~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst11~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst15~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst19~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst23~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst27~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst31~0 ; 1 ; 6 ; +; - inst3 ; 1 ; 6 ; +; DM ; ; ; +; - triple_selector_8b:inst|inst3~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst7~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst11~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst15~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst19~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst23~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst27~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst31~0 ; 1 ; 6 ; +; A1 ; ; ; +; RM ; ; ; +; A2 ; ; ; +; A3 ; ; ; +; A4 ; ; ; +; - triple_selector_8b:inst|inst15 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst19~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst23~0 ; 1 ; 6 ; +; A5 ; ; ; +; - triple_selector_8b:inst|inst19 ; 0 ; 6 ; +; - triple_selector_8b:inst|inst23~0 ; 0 ; 6 ; +; - triple_selector_8b:inst|inst27~0 ; 0 ; 6 ; +; A6 ; ; ; +; - triple_selector_8b:inst|inst23 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst27~0 ; 0 ; 6 ; +; - triple_selector_8b:inst|inst31~0 ; 0 ; 6 ; +; A7 ; ; ; +; - triple_selector_8b:inst|inst27 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst31~0 ; 1 ; 6 ; +; - inst3 ; 1 ; 6 ; +; R ; ; ; +; - triple_selector_8b:inst|inst31 ; 0 ; 6 ; ++-----------------------------------------+-------------------+---------+ + + ++--------------------------------------------+ +; Non-Global High Fan-Out Signals ; ++----------------------------------+---------+ +; Name ; Fan-Out ; ++----------------------------------+---------+ +; RM ; 9 ; +; LM ; 9 ; +; DM ; 8 ; +; A7 ; 3 ; +; A6 ; 3 ; +; A5 ; 3 ; +; A4 ; 3 ; +; A3 ; 3 ; +; A2 ; 3 ; +; A1 ; 3 ; +; A0 ; 3 ; +; R ; 1 ; +; L ; 1 ; +; inst3 ; 1 ; +; triple_selector_8b:inst|inst31 ; 1 ; +; triple_selector_8b:inst|inst31~0 ; 1 ; +; triple_selector_8b:inst|inst27 ; 1 ; +; triple_selector_8b:inst|inst27~0 ; 1 ; +; triple_selector_8b:inst|inst23 ; 1 ; +; triple_selector_8b:inst|inst23~0 ; 1 ; +; triple_selector_8b:inst|inst19 ; 1 ; +; triple_selector_8b:inst|inst19~0 ; 1 ; +; triple_selector_8b:inst|inst15 ; 1 ; +; triple_selector_8b:inst|inst15~0 ; 1 ; +; triple_selector_8b:inst|inst11 ; 1 ; +; triple_selector_8b:inst|inst11~0 ; 1 ; +; triple_selector_8b:inst|inst7 ; 1 ; +; triple_selector_8b:inst|inst7~0 ; 1 ; +; triple_selector_8b:inst|inst3 ; 1 ; +; triple_selector_8b:inst|inst3~0 ; 1 ; ++----------------------------------+---------+ + + ++----------------------------------------------------+ +; Interconnect Usage Summary ; ++----------------------------+-----------------------+ +; Interconnect Resource Type ; Usage ; ++----------------------------+-----------------------+ +; Block interconnects ; 26 / 26,052 ( < 1 % ) ; +; C16 interconnects ; 2 / 1,156 ( < 1 % ) ; +; C4 interconnects ; 30 / 17,952 ( < 1 % ) ; +; Direct links ; 0 / 26,052 ( 0 % ) ; +; Global clocks ; 0 / 8 ( 0 % ) ; +; Local interconnects ; 7 / 8,256 ( < 1 % ) ; +; R24 interconnects ; 0 / 1,020 ( 0 % ) ; +; R4 interconnects ; 6 / 22,440 ( < 1 % ) ; ++----------------------------+-----------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 8.50) ; Number of LABs (Total = 2) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 1 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 8.50) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 5.00) ; Number of LABs (Total = 2) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 7.50) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 1 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Active Serial ; +; Error detection CRC ; Off ; +; nCEO ; As output driving ground ; +; ASDO,nCSO ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing ; ++-----------------+----------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++-----------------+----------------------+-------------------+ + + ++----------------------------+ +; Advanced Data - General ; ++--------------------+-------+ +; Name ; Value ; ++--------------------+-------+ +; Status Code ; 0 ; +; Desired User Slack ; 0 ; +; Fit Attempts ; 1 ; ++--------------------+-------+ + + ++-------------------------------------------------------------------------------+ +; Advanced Data - Placement Preparation ; ++------------------------------------------------------------------+------------+ +; Name ; Value ; ++------------------------------------------------------------------+------------+ +; Auto Fit Point 1 - Fit Attempt 1 ; ff ; +; Mid Wire Use - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Internal Atom Count - Fit Attempt 1 ; 18 ; +; LE/ALM Count - Fit Attempt 1 ; 18 ; +; LAB Count - Fit Attempt 1 ; 3 ; +; Outputs per Lab - Fit Attempt 1 ; 3.333 ; +; Inputs per LAB - Fit Attempt 1 ; 5.000 ; +; Global Inputs per LAB - Fit Attempt 1 ; 0.000 ; +; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'non-global controls' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'non-global + aclr' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'global controls' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'aclr constraint' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'has placement constraint' - Fit Attempt 1 ; 0:3 ; +; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1 ; 0:1;1:2 ; +; LEs in Chains - Fit Attempt 1 ; 0 ; +; LEs in Long Chains - Fit Attempt 1 ; 0 ; +; LABs with Chains - Fit Attempt 1 ; 0 ; +; LABs with Multiple Chains - Fit Attempt 1 ; 0 ; +; Time - Fit Attempt 1 ; 0 ; +; Time in tsm_tan.dll - Fit Attempt 1 ; 0.016 ; ++------------------------------------------------------------------+------------+ + + ++-------------------------------------------------+ +; Advanced Data - Placement ; ++------------------------------------+------------+ +; Name ; Value ; ++------------------------------------+------------+ +; Auto Fit Point 2 - Fit Attempt 1 ; ff ; +; Auto Fit Point 5 - Fit Attempt 1 ; ff ; +; Mid Wire Use - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 5 - Fit Attempt 1 ; ff ; +; Mid Wire Use - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Late Wire Use - Fit Attempt 1 ; 0 ; +; Late Slack - Fit Attempt 1 ; 2147483639 ; +; Peak Regional Wire - Fit Attempt 1 ; 0.000 ; +; Auto Fit Point 7 - Fit Attempt 1 ; ff ; +; Time - Fit Attempt 1 ; 0 ; ++------------------------------------+------------+ + + ++--------------------------------------------------+ +; Advanced Data - Routing ; ++------------------------------------+-------------+ +; Name ; Value ; ++------------------------------------+-------------+ +; Early Slack - Fit Attempt 1 ; 2147483639 ; +; Early Wire Use - Fit Attempt 1 ; 0 ; +; Peak Regional Wire - Fit Attempt 1 ; 1 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Late Slack - Fit Attempt 1 ; -2147483648 ; +; Late Wire Use - Fit Attempt 1 ; 0 ; +; Time - Fit Attempt 1 ; 0 ; ++------------------------------------+-------------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Info: ******************************************************************* +Info: Running Quartus II Fitter + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 10:37:41 2022 +Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b +Info: Parallel compilation is enabled and will use 4 of the 4 processors detected +Info: Selected device EP2C8Q208C8 for design "shifter_8b" +Info: Low junction temperature is 0 degrees C +Info: High junction temperature is 85 degrees C +Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info: Device EP2C5Q208C8 is compatible + Info: Device EP2C5Q208I8 is compatible + Info: Device EP2C8Q208I8 is compatible +Info: Fitter converted 3 user pins into dedicated programming pins + Info: Pin ~ASDO~ is reserved at location 1 + Info: Pin ~nCSO~ is reserved at location 2 + Info: Pin ~LVDS54p/nCEO~ is reserved at location 108 +Warning: No exact pin location assignment(s) for 22 pins of 22 total pins + Info: Pin Y0 not assigned to an exact location on the device + Info: Pin Y1 not assigned to an exact location on the device + Info: Pin Y2 not assigned to an exact location on the device + Info: Pin Y3 not assigned to an exact location on the device + Info: Pin Y4 not assigned to an exact location on the device + Info: Pin Y5 not assigned to an exact location on the device + Info: Pin Y6 not assigned to an exact location on the device + Info: Pin Y7 not assigned to an exact location on the device + Info: Pin OF not assigned to an exact location on the device + Info: Pin A0 not assigned to an exact location on the device + Info: Pin L not assigned to an exact location on the device + Info: Pin LM not assigned to an exact location on the device + Info: Pin DM not assigned to an exact location on the device + Info: Pin A1 not assigned to an exact location on the device + Info: Pin RM not assigned to an exact location on the device + Info: Pin A2 not assigned to an exact location on the device + Info: Pin A3 not assigned to an exact location on the device + Info: Pin A4 not assigned to an exact location on the device + Info: Pin A5 not assigned to an exact location on the device + Info: Pin A6 not assigned to an exact location on the device + Info: Pin A7 not assigned to an exact location on the device + Info: Pin R not assigned to an exact location on the device +Info: Fitter is using the Classic Timing Analyzer +Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time. +Info: Starting register packing +Info: Finished register packing + Extra Info: No registers were packed into other blocks +Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info: Number of I/O pins in group: 22 (unused VREF, 3.3V VCCIO, 13 input, 9 output, 0 bidirectional) + Info: I/O standards used: 3.3-V LVTTL. +Info: I/O bank details before I/O pin placement + Info: Statistics of I/O banks + Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available + Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available + Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available + Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available +Info: Fitter preparation operations ending: elapsed time is 00:00:01 +Info: Fitter placement preparation operations beginning +Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement operations beginning +Info: Fitter placement was successful +Info: Fitter placement operations ending: elapsed time is 00:00:00 +Info: Fitter routing operations beginning +Info: Average interconnect usage is 0% of the available device resources + Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y10 to location X10_Y19 +Info: Fitter routing operations ending: elapsed time is 00:00:00 +Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info: Optimizations that may affect the design's routability were skipped + Info: Optimizations that may affect the design's timing were skipped +Info: Started post-fitting delay annotation +Warning: Found 9 output pins without output pin load capacitance assignment + Info: Pin "Y0" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y1" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y2" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y3" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y4" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y5" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y6" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y7" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "OF" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis +Info: Delay annotation completed successfully +Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info: Generated suppressed messages file D:/projects/quartus/shifter_8b/shifter_8b.fit.smsg +Info: Quartus II Fitter was successful. 0 errors, 3 warnings + Info: Peak virtual memory: 306 megabytes + Info: Processing ended: Mon Mar 07 10:37:42 2022 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in D:/projects/quartus/shifter_8b/shifter_8b.fit.smsg. + + diff --git a/shifter_8b/shifter_8b.fit.smsg b/shifter_8b/shifter_8b.fit.smsg new file mode 100644 index 0000000..14764e7 --- /dev/null +++ b/shifter_8b/shifter_8b.fit.smsg @@ -0,0 +1,6 @@ +Extra Info: Performing register packing on registers with non-logic cell location assignments +Extra Info: Completed register packing on registers with non-logic cell location assignments +Extra Info: Started Fast Input/Output/OE register processing +Extra Info: Finished Fast Input/Output/OE register processing +Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/shifter_8b/shifter_8b.fit.summary b/shifter_8b/shifter_8b.fit.summary new file mode 100644 index 0000000..1faa531 --- /dev/null +++ b/shifter_8b/shifter_8b.fit.summary @@ -0,0 +1,16 @@ +Fitter Status : Successful - Mon Mar 07 10:37:42 2022 +Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition +Revision Name : shifter_8b +Top-level Entity Name : shifter_8b +Family : Cyclone II +Device : EP2C8Q208C8 +Timing Models : Final +Total logic elements : 17 / 8,256 ( < 1 % ) + Total combinational functions : 17 / 8,256 ( < 1 % ) + Dedicated logic registers : 0 / 8,256 ( 0 % ) +Total registers : 0 +Total pins : 22 / 138 ( 16 % ) +Total virtual pins : 0 +Total memory bits : 0 / 165,888 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 36 ( 0 % ) +Total PLLs : 0 / 2 ( 0 % ) diff --git a/shifter_8b/shifter_8b.flow.rpt b/shifter_8b/shifter_8b.flow.rpt new file mode 100644 index 0000000..a6e5837 --- /dev/null +++ b/shifter_8b/shifter_8b.flow.rpt @@ -0,0 +1,120 @@ +Flow report for shifter_8b +Mon Mar 07 10:37:44 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+----------------------------------------------+ +; Flow Status ; Successful - Mon Mar 07 10:37:44 2022 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; shifter_8b ; +; Top-level Entity Name ; shifter_8b ; +; Family ; Cyclone II ; +; Device ; EP2C8Q208C8 ; +; Timing Models ; Final ; +; Met timing requirements ; Yes ; +; Total logic elements ; 17 / 8,256 ( < 1 % ) ; +; Total combinational functions ; 17 / 8,256 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 8,256 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 22 / 138 ( 16 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; Total PLLs ; 0 / 2 ( 0 % ) ; ++------------------------------------+----------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 03/07/2022 10:37:40 ; +; Main task ; Compilation ; +; Revision Name ; shifter_8b ; ++-------------------+---------------------+ + + ++---------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+---------------------------------+---------------+-------------+----------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+---------------------------------+---------------+-------------+----------------+ +; COMPILER_SIGNATURE_ID ; 220283517943889.164662066022984 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+---------------------------------+---------------+-------------+----------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:00 ; 1.0 ; 245 MB ; 00:00:00 ; +; Fitter ; 00:00:01 ; 1.0 ; 306 MB ; 00:00:01 ; +; Assembler ; 00:00:01 ; 1.0 ; 241 MB ; 00:00:00 ; +; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; 198 MB ; 00:00:00 ; +; Total ; 00:00:02 ; -- ; -- ; 00:00:01 ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++------------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++-------------------------+------------------+---------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++-------------------------+------------------+---------------+------------+----------------+ +; Analysis & Synthesis ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Fitter ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Assembler ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Classic Timing Analyzer ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; ++-------------------------+------------------+---------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off shifter_8b -c shifter_8b +quartus_fit --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b +quartus_asm --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b +quartus_tan --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b --timing_analysis_only + + + diff --git a/shifter_8b/shifter_8b.map.rpt b/shifter_8b/shifter_8b.map.rpt new file mode 100644 index 0000000..98de55c --- /dev/null +++ b/shifter_8b/shifter_8b.map.rpt @@ -0,0 +1,223 @@ +Analysis & Synthesis report for shifter_8b +Mon Mar 07 10:37:40 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Analysis & Synthesis Source Files Read + 5. Analysis & Synthesis Resource Usage Summary + 6. Analysis & Synthesis Resource Utilization by Entity + 7. General Register Statistics + 8. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+----------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Mon Mar 07 10:37:40 2022 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; shifter_8b ; +; Top-level Entity Name ; shifter_8b ; +; Family ; Cyclone II ; +; Total logic elements ; 17 ; +; Total combinational functions ; 17 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 22 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; ++------------------------------------+----------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++--------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP2C8Q208C8 ; ; +; Top-level entity name ; shifter_8b ; shifter_8b ; +; Family name ; Cyclone II ; Stratix II ; +; Use Generated Physical Constraints File ; Off ; ; +; Use smart compilation ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL93 ; VHDL93 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Parallel Synthesis ; Off ; Off ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; Off ; Off ; +; Show Parameter Settings Tables in Synthesis Report ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 100 ; 100 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; ++--------------------------------------------------------------+--------------------+--------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------------+-------------------------------------------------------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; ++----------------------------------+-----------------+------------------------------------------+-------------------------------------------------------+ +; shifter_8b.bdf ; yes ; User Block Diagram/Schematic File ; D:/projects/quartus/shifter_8b/shifter_8b.bdf ; +; triple_selector_8b.bdf ; yes ; Auto-Found Block Diagram/Schematic File ; D:/projects/quartus/shifter_8b/triple_selector_8b.bdf ; ++----------------------------------+-----------------+------------------------------------------+-------------------------------------------------------+ + + ++-----------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+-------+ +; Resource ; Usage ; ++---------------------------------------------+-------+ +; Estimated Total logic elements ; 17 ; +; ; ; +; Total combinational functions ; 17 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 9 ; +; -- 3 input functions ; 8 ; +; -- <=2 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 17 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 22 ; +; Maximum fan-out node ; LM ; +; Maximum fan-out ; 9 ; +; Total fan-out ; 69 ; +; Average fan-out ; 1.77 ; ++---------------------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------+--------------+ +; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; ++------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------+--------------+ +; |shifter_8b ; 17 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 22 ; 0 ; |shifter_8b ; work ; +; |triple_selector_8b:inst| ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |shifter_8b|triple_selector_8b:inst ; work ; ++------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Analysis & Synthesis + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 10:37:40 2022 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off shifter_8b -c shifter_8b +Info: Found 1 design units, including 1 entities, in source file shifter_8b.bdf + Info: Found entity 1: shifter_8b +Info: Elaborating entity "shifter_8b" for the top level hierarchy +Warning: Using design file triple_selector_8b.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project + Info: Found entity 1: triple_selector_8b +Info: Elaborating entity "triple_selector_8b" for hierarchy "triple_selector_8b:inst" +Info: Implemented 39 device resources after synthesis - the final resource count might be different + Info: Implemented 13 input pins + Info: Implemented 9 output pins + Info: Implemented 17 logic cells +Info: Quartus II Analysis & Synthesis was successful. 0 errors, 1 warning + Info: Peak virtual memory: 249 megabytes + Info: Processing ended: Mon Mar 07 10:37:40 2022 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/shifter_8b/shifter_8b.map.summary b/shifter_8b/shifter_8b.map.summary new file mode 100644 index 0000000..757ffe6 --- /dev/null +++ b/shifter_8b/shifter_8b.map.summary @@ -0,0 +1,14 @@ +Analysis & Synthesis Status : Successful - Mon Mar 07 10:37:40 2022 +Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition +Revision Name : shifter_8b +Top-level Entity Name : shifter_8b +Family : Cyclone II +Total logic elements : 17 + Total combinational functions : 17 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 22 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 diff --git a/shifter_8b/shifter_8b.pin b/shifter_8b/shifter_8b.pin new file mode 100644 index 0000000..66321e7 --- /dev/null +++ b/shifter_8b/shifter_8b.pin @@ -0,0 +1,278 @@ + -- Copyright (C) 1991-2009 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), + -- connect each pin marked GND* either individually through a 10k Ohm resistor + -- to GND or tie all pins together and connect through a single 10k Ohm resistor + -- to GND. + -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +CHIP "shifter_8b" ASSIGNED TO AN: EP2C8Q208C8 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 1 : input : 3.3-V LVTTL : : 1 : N +~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 2 : input : 3.3-V LVTTL : : 1 : N +GND* : 3 : : : : 1 : +A4 : 4 : input : 3.3-V LVTTL : : 1 : N +Y6 : 5 : output : 3.3-V LVTTL : : 1 : N +GND* : 6 : : : : 1 : +VCCIO1 : 7 : power : : 3.3V : 1 : +A5 : 8 : input : 3.3-V LVTTL : : 1 : N +GND : 9 : gnd : : : : +GND* : 10 : : : : 1 : +Y3 : 11 : output : 3.3-V LVTTL : : 1 : N +Y2 : 12 : output : 3.3-V LVTTL : : 1 : N +Y5 : 13 : output : 3.3-V LVTTL : : 1 : N +A6 : 14 : input : 3.3-V LVTTL : : 1 : N +A7 : 15 : input : 3.3-V LVTTL : : 1 : N +TDO : 16 : output : : : 1 : +TMS : 17 : input : : : 1 : +TCK : 18 : input : : : 1 : +TDI : 19 : input : : : 1 : +DATA0 : 20 : input : : : 1 : +DCLK : 21 : : : : 1 : +nCE : 22 : : : : 1 : +A1 : 23 : input : 3.3-V LVTTL : : 1 : N +RM : 24 : input : 3.3-V LVTTL : : 1 : N +GND : 25 : gnd : : : : +nCONFIG : 26 : : : : 1 : +A2 : 27 : input : 3.3-V LVTTL : : 1 : N +A3 : 28 : input : 3.3-V LVTTL : : 1 : N +VCCIO1 : 29 : power : : 3.3V : 1 : +R : 30 : input : 3.3-V LVTTL : : 1 : N +Y0 : 31 : output : 3.3-V LVTTL : : 1 : N +VCCINT : 32 : power : : 1.2V : : +Y7 : 33 : output : 3.3-V LVTTL : : 1 : N +Y1 : 34 : output : 3.3-V LVTTL : : 1 : N +DM : 35 : input : 3.3-V LVTTL : : 1 : N +GND : 36 : gnd : : : : +GND* : 37 : : : : 1 : +GND : 38 : gnd : : : : +GND* : 39 : : : : 1 : +OF : 40 : output : 3.3-V LVTTL : : 1 : N +GND* : 41 : : : : 1 : +VCCIO1 : 42 : power : : 3.3V : 1 : +GND* : 43 : : : : 1 : +GND* : 44 : : : : 1 : +GND* : 45 : : : : 1 : +GND* : 46 : : : : 1 : +GND* : 47 : : : : 1 : +GND* : 48 : : : : 1 : +GND : 49 : gnd : : : : +GND_PLL1 : 50 : gnd : : : : +VCCD_PLL1 : 51 : power : : 1.2V : : +GND_PLL1 : 52 : gnd : : : : +VCCA_PLL1 : 53 : power : : 1.2V : : +GNDA_PLL1 : 54 : gnd : : : : +GND : 55 : gnd : : : : +GND* : 56 : : : : 4 : +LM : 57 : input : 3.3-V LVTTL : : 4 : N +GND* : 58 : : : : 4 : +GND* : 59 : : : : 4 : +A0 : 60 : input : 3.3-V LVTTL : : 4 : N +GND* : 61 : : : : 4 : +VCCIO4 : 62 : power : : 3.3V : 4 : +GND* : 63 : : : : 4 : +GND* : 64 : : : : 4 : +GND : 65 : gnd : : : : +VCCINT : 66 : power : : 1.2V : : +GND* : 67 : : : : 4 : +GND* : 68 : : : : 4 : +GND* : 69 : : : : 4 : +GND* : 70 : : : : 4 : +VCCIO4 : 71 : power : : 3.3V : 4 : +GND* : 72 : : : : 4 : +GND : 73 : gnd : : : : +GND* : 74 : : : : 4 : +GND* : 75 : : : : 4 : +GND* : 76 : : : : 4 : +GND* : 77 : : : : 4 : +GND : 78 : gnd : : : : +VCCINT : 79 : power : : 1.2V : : +GND* : 80 : : : : 4 : +GND* : 81 : : : : 4 : +GND* : 82 : : : : 4 : +VCCIO4 : 83 : power : : 3.3V : 4 : +GND* : 84 : : : : 4 : +GND : 85 : gnd : : : : +GND* : 86 : : : : 4 : +GND* : 87 : : : : 4 : +GND* : 88 : : : : 4 : +GND* : 89 : : : : 4 : +GND* : 90 : : : : 4 : +VCCIO4 : 91 : power : : 3.3V : 4 : +GND* : 92 : : : : 4 : +GND : 93 : gnd : : : : +GND* : 94 : : : : 4 : +GND* : 95 : : : : 4 : +GND* : 96 : : : : 4 : +GND* : 97 : : : : 4 : +VCCIO4 : 98 : power : : 3.3V : 4 : +GND* : 99 : : : : 4 : +GND : 100 : gnd : : : : +GND* : 101 : : : : 4 : +GND* : 102 : : : : 4 : +GND* : 103 : : : : 4 : +GND* : 104 : : : : 4 : +GND* : 105 : : : : 3 : +GND* : 106 : : : : 3 : +GND* : 107 : : : : 3 : +~LVDS54p/nCEO~ : 108 : output : 3.3-V LVTTL : : 3 : N +VCCIO3 : 109 : power : : 3.3V : 3 : +GND* : 110 : : : : 3 : +GND : 111 : gnd : : : : +GND* : 112 : : : : 3 : +GND* : 113 : : : : 3 : +GND* : 114 : : : : 3 : +GND* : 115 : : : : 3 : +GND* : 116 : : : : 3 : +GND* : 117 : : : : 3 : +GND* : 118 : : : : 3 : +GND : 119 : gnd : : : : +VCCINT : 120 : power : : 1.2V : : +nSTATUS : 121 : : : : 3 : +VCCIO3 : 122 : power : : 3.3V : 3 : +CONF_DONE : 123 : : : : 3 : +GND : 124 : gnd : : : : +MSEL1 : 125 : : : : 3 : +MSEL0 : 126 : : : : 3 : +GND* : 127 : : : : 3 : +GND* : 128 : : : : 3 : +GND+ : 129 : : : : 3 : +GND+ : 130 : : : : 3 : +GND+ : 131 : : : : 3 : +GND+ : 132 : : : : 3 : +GND* : 133 : : : : 3 : +GND* : 134 : : : : 3 : +GND* : 135 : : : : 3 : +VCCIO3 : 136 : power : : 3.3V : 3 : +GND* : 137 : : : : 3 : +GND* : 138 : : : : 3 : +GND* : 139 : : : : 3 : +GND : 140 : gnd : : : : +GND* : 141 : : : : 3 : +GND* : 142 : : : : 3 : +GND* : 143 : : : : 3 : +GND* : 144 : : : : 3 : +GND* : 145 : : : : 3 : +GND* : 146 : : : : 3 : +GND* : 147 : : : : 3 : +VCCIO3 : 148 : power : : 3.3V : 3 : +GND* : 149 : : : : 3 : +GND* : 150 : : : : 3 : +GND* : 151 : : : : 3 : +GND* : 152 : : : : 3 : +GND : 153 : gnd : : : : +GND_PLL2 : 154 : gnd : : : : +VCCD_PLL2 : 155 : power : : 1.2V : : +GND_PLL2 : 156 : gnd : : : : +VCCA_PLL2 : 157 : power : : 1.2V : : +GNDA_PLL2 : 158 : gnd : : : : +GND : 159 : gnd : : : : +GND* : 160 : : : : 2 : +GND* : 161 : : : : 2 : +GND* : 162 : : : : 2 : +GND* : 163 : : : : 2 : +GND* : 164 : : : : 2 : +GND* : 165 : : : : 2 : +VCCIO2 : 166 : power : : 3.3V : 2 : +GND : 167 : gnd : : : : +GND* : 168 : : : : 2 : +GND* : 169 : : : : 2 : +GND* : 170 : : : : 2 : +GND* : 171 : : : : 2 : +VCCIO2 : 172 : power : : 3.3V : 2 : +GND* : 173 : : : : 2 : +GND : 174 : gnd : : : : +GND* : 175 : : : : 2 : +GND* : 176 : : : : 2 : +GND : 177 : gnd : : : : +VCCINT : 178 : power : : 1.2V : : +GND* : 179 : : : : 2 : +GND* : 180 : : : : 2 : +GND* : 181 : : : : 2 : +GND* : 182 : : : : 2 : +VCCIO2 : 183 : power : : 3.3V : 2 : +GND : 184 : gnd : : : : +GND* : 185 : : : : 2 : +GND : 186 : gnd : : : : +GND* : 187 : : : : 2 : +GND* : 188 : : : : 2 : +GND* : 189 : : : : 2 : +VCCINT : 190 : power : : 1.2V : : +GND* : 191 : : : : 2 : +GND* : 192 : : : : 2 : +GND* : 193 : : : : 2 : +VCCIO2 : 194 : power : : 3.3V : 2 : +GND* : 195 : : : : 2 : +GND : 196 : gnd : : : : +GND* : 197 : : : : 2 : +GND* : 198 : : : : 2 : +L : 199 : input : 3.3-V LVTTL : : 2 : N +GND* : 200 : : : : 2 : +GND* : 201 : : : : 2 : +VCCIO2 : 202 : power : : 3.3V : 2 : +GND* : 203 : : : : 2 : +GND : 204 : gnd : : : : +GND* : 205 : : : : 2 : +GND* : 206 : : : : 2 : +GND* : 207 : : : : 2 : +Y4 : 208 : output : 3.3-V LVTTL : : 2 : N diff --git a/shifter_8b/shifter_8b.pof b/shifter_8b/shifter_8b.pof new file mode 100644 index 0000000000000000000000000000000000000000..abb0733c568486d354247c2f1a382eb7c3c321ab GIT binary patch literal 524488 zcmeI*eUKC9o#647BFDpKH!BI@5`$T!rXd3i3^RjmFxW_<;Ur$di?Nf`Ze7gVCS;S? zu^rpFxGs_qtRQ~L2sUf-QZR(A!?mu;8k|kM$;A`wawT4Gf-!&CwcgmAb8+^{4#Uei zW<$dLp4Q7~G&9oEggI;2Z`(*c{rdE$pMGAuTWeM?GYrFHyx;k}RX*2#e#2e&eE#l8 zDiv9C*Bzg@Yr|(gv*oVHZCmcTd+QyajVzcmH6PPIhzaXYbj1 z&!@L+HUh>U8!a-SIK(6@7i)%3{rqCgh$Y4uLq~=zTeE8APy5#O_N}+x^ZAe5r~bd~ z-Yp-wZ_90ex#hO$*RAWi?)auJE?yg$FiQUiJmrIv?{I5xpf#$dl*gR!^2E}T<$0HP zsj6TM+0P}<`^MP?3-(R@zw6dby=urdv<@S;{pCZaTU);!Jsk{A6_}d8A6N5w%OBrT za`|0{^1IqpoO$rVkAM8g;}@QP{(rPj3wnqAt>%2Y^6{-dt_1K>*L&f@3orcatqVW> z>6^v<)%>mIoT_}hF|fbla=-ueuTRZ6W13SXq(i2>rRM&+{PD&IRaiqRN~-8nT>9eg zfB$C3v_e*nz5Q%g*VOk_%{bLjobr||bm~<3Uvsy zw!6E#yzlBM&UodDJFE6RaH?K7w{qpr&i&>~U%GfhdHvcc7u4L}lt0d1t@dk8TW30_ z_R{w61ig5a)gc`^Wtg!4Ve2ls@XRxdfAHJyfB(n_)P~-J;17;H?hpSDU4Pznw{%}O zz8q0rz4*J|y*A}+XXmg}oVl;5MbyVjPPF|)PyOKiI}y8dku-|-r>6WzZ|{^{XXnq~ zCc&4Xl8{~6X|$KFmz?JY2g6BvesJ-(zkRxV9Sxb{%zaudqCSo|7=sVyg^L$1{PW@) zD=EOh-)ihy!h_BUsn4KNwPF}ilOE`@<{n( zTg{#;AyjKRVW~_pMhvqPaQRsD?zU}!li&`_8F}o7;|J#Xt9Bgm4B4>XXFhn-cLo-(Klb`UkKvcB!S6K# zM#3Xb#`&_N2Wf z*iiMijK@k_2^leOEbfbW4coBN-qpXlI#SvGU>d`pkvT#6UrqN1e6nENXkYk@td{mz z>4YcklaFxHGD7K$?TvZDF>jlpK0-z^+?q*v_OHFh+m~sL%G|z;+TVemYh>4L{oh$; zM7*UP2dckiyc~*v=*eD%H*Q2DM{{pDnTrmEoNlo%4yBC`vzj_@hVj6>X@-%O0~{Da zwd+{Ld9uROkNnBNa`ngLWYjEB71svO>3=m>nNSW&xH4t%NZ&txOCt!yW9uLPysRu| zaO9zMXhzg{eE!Li7aKfBqGY=4J9y5JztT4zsnsklQ+s?W#7}==gsl!bgk&_THu1uO zUk%xtnvhnpc3?=zg=iT4|L4DzVFzXn9V>46)tg;i|3f!3?37}B7%>hw>!`F%4S)M) z@w@S7dZ9|%%9qRt%g2k;@BD&xf;lwu)^0j3VO9U++PU+z)IW^rdrlDL**s0wq;C!sKmi$DHT%b4aZUW&!#EG+?&ss3}nd#}QBNp_kgOvY=9sG7k{U?PUB_FfT9o;ePaziKjeb>%=$&x(L zuwscJ@wc3I##M zdz)og>VMf+%}|a!&Q9X8mw3!$FZHZmoe)ziYFYloFkvfhBxZ+v_8R$bsT_V>4&PoA zU-iI|tJTG5MTZgFUrd7J`m$|_8**5-d>VhhswPk){;852IozoF7=Dn`CKs>TszjrE zzI#=B@~BjxO-l{YwB<{L0wR>ph6~nIk3@pBDa9oDD$iLtKew`T&*n{m&^0078auTy zv2>obMnbzP*BgySX9R=6b*3Q^F6k6&(k)WvQ0uFYaI2>{)n3`MDr9d~G%_sBt&_uC z>We=;D|y^ZChjtin^H?H{~wLyeEztcwQN2Zj#{#vNLIGCKM*XU!d|;< z>21Xl_If)$eUBAaimUEhvP`(0Xe8?nH^`YOMk4v{|L#l)ur6qdeK0#aKJzE>IR#m5*-3N8FU$pTwOV0{eKa`5 z-q<$9HqXbcsjtKj7f#5(XRTQ&dsfPvm0EH*_@wpjr@kAS6HLqrj{l|kgtN2uC*m91 zCRwugssB@!-xFP!nkc*KS9_ai{YN2wL$b=Ew~bDU7tw2+7R6 zlv~v@ZNl3B+Cxqv6^>t@sZ6RjqO#hz7XEF$MT+z6;@8JNTda*YUcqQw=BR9>T%WTl zQ-&LHvf93%Z&S%aqrpiO`yV=5TyB`_vUUSMm{ht@YiA7oyByk~b84p)OLMhThyB}C zG>EngyN#g}L#zK$8v6C3df%Iyw9At&3AC;r6?*4Fe*5jT=JEM|^isFev^Vs~hfZ-B z=Aj!HZi=hZ<}qeUg<6K?zT}!f%wz1&@9#NgdQ`NpH)oY4SM?otyuVZnj`*a*M$T$P z<+US~TqF(H(R z|2jpjq%%ka*Na?+5j(y4>!p0=S;^2OkGbT0%~`vgL+zF9P;$jwC4-u0N%msBEIHdx z;;YTnX{)eI{v{-rIbl6!wU;uOPu>0X=&X2KM_`BKy){|zzevWm>|~e9hRb>I^My(C z9u7{iHqMYtabTAlBqOfLg^x=fE^F?VoVbz=KQCGF8*QiK)4zIVa6Y_s<(Yy#{mH^S zYgT+k_SBzpRFjKtKu=CXlBHyv>q*ZMc~YHr-P ztchYw@-JuTd*W`XwdxIxQ-V@%XBgKm{Dj^%9bnx>FClAeyy?s8TG`zg3hLy~b^nQ^ zah0Ig8|i=Zm-S{>&vWZKN*8d=0#Z4Mg2h@)ChyN&v@bbFO|_6eY?o( zB`SWin7#OlwYz%NwEEduy}F^)>e^4otsRo9NDk9gm@M@z^>5|jg8gK{I$X+EzJ2eC zlV>SzZ#CLUB(H1n0WJ4I=y#| zdhcA;-=%D*d2y?ls#!8|s&+2p+O^mB>IS57UQ^l9<;)i=8*PS!pc0t+BLubEWuIMr z&+^>Si&svYnNi!)ruLg2rth6DyPD2Cy6gtuiZZCxa7dkyF1zvtJ?L997*`D@u1crI zd(~xEImFRRyehk>J3{_l^%zB6smt4ETiI1pL;$&8R{|9AWvXxTlqMJz%7njV(47{t z=%>5xt%Se9$QX-XZKl0M9d_EX=O;rwHFtD6{c_TaxmhzMH*2QU&6;Nl5{yF9?${}JnIt6`$raiA z&d42;owD=Jt*gx^Rvouw&((WBzRy1VwG*i`yOWcvc7L#Auj-adNw-|>{-s~;U!`BJ zCIG)nS%07UrnPvd3WY%Il@O%l_RW;szS$$UZx(7KJ}LKat~_p?PfB2t*28}`{)4V# z!yOy79|@AA!(AmXrXtdCQBdOaFtPOqH{8)6Mpb5yvCF&??)oE5kv7*4VtMYE3hG#^ z2D-a$`lsLPt|y{=4jX(oLnr!7uT!Zr_S?tuz6s-MI{BQgJauR1L&-HglFtpgwbNPg zwVpqcKA@4x6_WoAQv|ut`KY9#>ZVLdrf$!z2@k%DQ+4X8RBc(y_Tld1Ec~bjigBl>&}SN#JYC&Re_x zT5{t`G2oyS1*AW4pY#XTlmm83V16Qj*(rg^$U_%@c2dr`yV%e-eUoK`SoTfc{YTEj zA8yL%7c=9eU$AZ!QPS8EYL)E^v@=JSeS_uP$37R7ieF9UeE(GYMsH|?^r>p^+}a;P_@%-txu|;Pe~QDrULA?3c5|Ip#LmY&_^UNkI22D zosv1KM}b_#1}S+qxK1jw<${T5cJ_w)UpnHt8r%29v)Y+sGZbtqF!e_Ws;55Cz+9Kv z$iRyA#$1-s>usuf-soi?ZW{xo`A4^r&Ur$q`Y7s*H$(YvzuCBcSg&H)Klw3fCw13S z-8W_1IHRq86>;QRWyim3-*5IkSAE;^KwdEeI$=GLQhCpy{HMCv^D^Xqjay&0N$YF! zXgTM(Kloay=~rrfL8d@3L}%eagU z?)oiUU5749!AAcVwcA!lFLA1qU{uc{(|gY|J-5vyZsS+lJSuPfkdvNFJQzw&lpZMQ z+nVvCVAPUnZRSd+f|0hKO=k9}PN;nL(O|ptU|DI!{_gKzT2qe860V`@JDP(kfSBfz zpnAbg#G04P^aP|MGn*|0rv}tZS|etcrCu&$Bof;~;feBo(@0y}jLu**D$`0UF8-W) z8rgIfi&&v>SiJ=`n++BhikQyEWdoUv+P178bv9jG<-*MiHG`@iOx9g=w8~Yw`Zh2;Gz7C-X3dqaOOr+-fA<~`ieC(al$@eFI%7Awmz`iS6ty2 z;rla>1-`o0oH}k+X4j*^*3@yc*POmix?GodQwL0Ym9>1cy?uUiLTBnLspa;|`Gff# zhXMuJ#RJ_BZp5EWUOSYx^ab!m5fz0u8^^kv1&#YGFH-6(pAlig>;p4RWquLxpZ|@Z!*wDYliyJ z75;Ff?x|33VdDPQuBN}gRr7on-zn_&J_MePB*W8;VD2(Jo{cQAj=|rx;Mqtycg}BI z@NA^pyJUGbQX@H~MS>OyjcB44X^IvJmv@D`d$?H>qA4VJHnMoO)O%B@J2rpak!K@I zsY^4oJ833#1l861OEgtSG5g@zNaq}=k_yj87Nev5RwvI!t~Pl#QZsdGic-E_SP3dE z#(FWO^y3}$I|`S28tV%0chr5(-1v(d|D3wxl~Z>#I_6*P_60zFw&B5{A1|>!!N&Py108~(AR3NPh|YP z(ZsY+%cMkhXqRW$e!fT>!>g!n4N*;=arsbTk*}1gZR$eWCrfa>CH?l^fw(Xe52pNIC?r!au zU(qc~w`}#5RcE|ee!?=Y!Z^%No5)LihQ5N_MZfIW`w`14`lYqn*X88Tb^jYbcrw-P zt8f3m|KLfTq@{Y6a~ZnrU*es$(i5dQ@RlTPDrxw4^Sct7CxCw0DyUKG`lnyEs7$}C z^OI%t%T`@<^+xIJykeWFU3N=4Z{V&MRjTIEj-Xv%8MPd*>U7i_rTpin{8vx1dLF8% zR>y~W<0=JkTf=qt>y5v@FwRd)H2>|B^-f{+Javt`EN}6v zgw2o~RiDH<<6|nQ+V`o+kB4jDAu@UbF0UK;ZJ0(KqvaFn{2*HSj|Sh(P!ZSz=Axq*uP))eEt8*q|FjaRrqu=#HgbafrNECuuQT{EOe1J`8Tu`v}??YDmR|J|S0J?g5Nqu2E4 zw#~)GkJ|TTyRWV~hPtE8P&>cE`yDk?Q(pR+T2c7MIg6Km*1ce>W{#=UnL26JnX5NC zTK6x}^;lA;>oMIDj(J6+P8sB4W}Fep25t32?ule37YNByefhRbAfZ+?+v2u-MRK8J zI4)OqHXjT}Ej7hirG3FqWn61`eW9yn4c^^C*W>%OB9<)F3}su*uo6`5@`1ZjPn{&r zNc(az+3ldz&~4ZDOz(e5_$86tZ{L}0`5RBbFm|LtU&hEd&t>aum!xX}5iW>hS`F05^3LZ$ub+_TBt zo{%K|`TV2d_P9#@)7hhzt&;y_@r%yo%XR-Y9+Xqro(v<})!U(VYv_V}C?zL$JAliAzbGvT;7uDv(E z<3OM=?QrmbIqih)UFo%Fn(OQpiRJ5a4<$pBQ?bYF#P;tc59N3E_E@tE{Wm<|KV*+z zpU+HqB9K{Y?(hb8&Cj+**P64;wxzbu^gDaBbL;cD2^&JiWxt%CoS75|PutiQ>CI0) z6bMc`Y-ZQlw!}GSXLfRX@}q%ep3HJ zD_PAmpngAGbJ0<33Uk}{>f#pHz@nRu=pJA*v^DaCWbthAgd|T$@`PkFq)3{n=a>qr zt6K>*0YK7C=3jjPJY2?!$bw5&_ABXFoBDX{}xRZ6nn_v41Z@Bnr7dQ7_ z^Ms_u6O!+J;-nV>YI>to)6?U3+1kq!k|kE2kjzFrQH3$`8~)TC!)fny=LY8F?YT8! zxqms`vdeRgbNh1J?apn_Y2UJz?dq=Q{QmIm&P~t7Rr(9sPk=}gqFJip2}z!ilzY;j z{*Cq%AoOq0zoDCx{&2jTQaUeZEx9&B36Phw7EcB*XXWLrhTA9D^wP&>xXkrJI*J`y zngO^{@9fkqrW+ssr>iunjL|EhxqL5`i(j`l+UMV`dwk8%eop6O@odrgNarJ+kIj%G zX{MfIDyVcmmd+}jk90mhYjy0k&lOT;$KH8XN6(Yi%Y`SM%qMl;Dl9u~6}FwT3cF8O zPo<8^&uJVD?z1nDiyLhx1-L?K7@z2|twF?(NIi@=m&R(iclO z({qw;C1WjlStOTE=Hy9KH+Nx+;guyWw*Ei=+$6aG literal 0 HcmV?d00001 diff --git a/shifter_8b/shifter_8b.qpf b/shifter_8b/shifter_8b.qpf new file mode 100644 index 0000000..2f3b44e --- /dev/null +++ b/shifter_8b/shifter_8b.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +# Date created = 10:34:26 March 07, 2022 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "9.0" +DATE = "10:34:26 March 07, 2022" + +# Revisions + +PROJECT_REVISION = "shifter_8b" diff --git a/shifter_8b/shifter_8b.qsf b/shifter_8b/shifter_8b.qsf new file mode 100644 index 0000000..fd6e762 --- /dev/null +++ b/shifter_8b/shifter_8b.qsf @@ -0,0 +1,53 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +# Date created = 10:34:26 March 07, 2022 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# shifter_8b_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone II" +set_global_assignment -name DEVICE EP2C8Q208C8 +set_global_assignment -name TOP_LEVEL_ENTITY shifter_8b +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "9.0 SP2" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "10:34:26 MARCH 07, 2022" +set_global_assignment -name LAST_QUARTUS_VERSION "9.0 SP2" +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name BDF_FILE shifter_8b.bdf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" +set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" \ No newline at end of file diff --git a/shifter_8b/shifter_8b.sof b/shifter_8b/shifter_8b.sof new file mode 100644 index 0000000000000000000000000000000000000000..c92b76cdca89f535f804062ca33fbaef2517ba9e GIT binary patch literal 240789 zcmeHwL60oSabC^5*{9j{1JSfr0uMHjyAbGivc@!QFE2*!awVI(_z8qmM*_Yi4E_KE{sY1@pg-UrK*yIgnbp}58C_LV+0!+h zvD@8MQC~zxMn`^~l|A|Td;jA1JIF0PuDb8h^B?~7kAM7=pZj*cLP{zFW^Ci!~zPyhQfC`rEh?%ySCkX!O> zadhpvVCP_S6EEY+zR2*1xU$H?^`8Bp>8J^ci7v>3<_s?7aMyJoU*B{oq5uJG(~|d* zy0W8mhdzqk65$bPP;@{f`$0q3(>oQh`>*#Y?I`PTkl^$t{Vlf_(T#g&->e;v8TjYp zVLPG>+-)ceZbPv?$xwamUg4v$Ny|XXK+8bOz=ku>h&+4qinmZ1>I_d72X3o$#w_&e zVfUOKnC?2faIV@<1C`3x1AVi>kCrRmVr4LP??!KQsJp03&TJpag8r#+0~8Pr2dqsCEfb2yK`Fyo zqN4pB<-=W3NH55`hxIdq6=F1hD7!0Kkk;VOEtagQE!cacJZe%Chd#URwFb{33Ld$G z;~I;ktS$ygoiFYqviTL|*L;l*{+u4K={D8=9nsT!od&<{cE8aLh+zMgd?Zb3f;)a^ zXikP_5djiqXQ?f`yEjxW#*zAp@_K9NfXm074!@@22#9wLbspuGG_eWp)S1wn49_A8 zkmNxAykD@EKps83!lAqZ=cIuHv;t@Ri;OgB8JLrS9^mQgT{=8f}2{gx~ z4EMlh!aYoYcos`KX;K*j!lVq(A{uVP9W!r=mH{mTJuZqh zCR*w6RFSEqlO{GHdM(2}@Y%o;9W-UV4A2zw`!DOcujbi}p0fhVN^->P9jR~+AQ1AX zS!qTN|4q(21ExxNyMazI#&k86OX((eBBcLkW!vqL<7|1aq9pb17@GPRGUyRXGRRy87vnHF! zK#wTJ8WY3U;i)3a%q)5>!#(iXz!DuiQKifZ5ACdfsDozTGO%6-cI&y?^EB^sZM@?w zQ_-ID@lc0H;^Mnf(g*nHYVRoh?L~Bu;5|?oT|+_NTh$SC?~KG&iN#d$nma9ibC$#A zow<4N5uFuKR%0XP{s>SN-UA54ks1Xo3ZM!+iwJXyB?2ew3p#o+Qiqvqr|+xbA6(Iy znFXQ4V?|aa@_8RwtOreTc^OcdnWsaA_rT92a+uU6a)~%Lm8cJF;=Gvv|VtWYVN2aAjW{K`az9 zJc|gB&Kc-58(hwW8n;l$P0)qZX9E~(6TRR<-VC%N+~G>;~kjYgqm^W3+fR=%rWn+>0l8FwFMDBzA^cq#*Sw#1P zgg)n*vX!j}DI&*@&DaZqtgJO-HYNT_<&H8j*J%w$+GS3ashQDg)xJ=z}9% zI@WY%W?|{@NaQcdQ*7oDiZVRTe@2MtU<1lG3t}`2Y>u1If<|reRT}6QdexaZa|);q zPZjB5IE}~w#iIa^!zMuhohx;w@Kx3%=XR~(CuBfpX68VL$BG}nG&4L zv^fs<$01J$QHPl;C&=`}&4DzY#PQ1zn7gRC$}PQXKAkWIPO40r@C*O=5L z9lnIf(Mpdev82}%xjoqD z!tAFgKAi%Zjrnl4MKDOemGYKOvt1?J-re!Yb?5w_Aq z2W*>3+9nbala1U%o*PR`He3>~pdj-9tO&%o!Spj7wDTbrMJxqX* zhe4=%TxAkRfX9_`6IkVI(lRhN13e7H8WTO~@Hj^5{(Iz3jI1eJ21*$y)23*l!aYnH zk&B7jv=Z~q4NH&M*Cul1mmzwaYjCe$dQi9MD7su>S9;-*c?{MfXd9gO%?8e z2SOeOZD|FmnIlV?OK$^I)TCwLOfs-^3aWT+JQs)s}zsRk|=P- zzpyuwlT~yGzMjmUr`DN**15CF(zeUa);gJ;zx#&Eb4nJOJ_Q9lR^*%?mcZv49-bb_ zfl~E=&6Iv7ei|I%I1^TF>6F#CArP%8D&6cW)`RpKNXQ%7S|>eb#5X<*ZWN*;IV>iME+Qr zZ|$Bc13ELaD0KL#h&;@*FiErs5NA7jIC^vT!IaXy}URev~diMjKCq^mExfVU5b+a_98m)fJJt77}NNqAa(C^lWgyEy6(X$7P$ElY29X1*!(&CxA#$W6 zv2=9c(G|Jsab>2MnfPh&-85K3J$eRoW@h2(@JQquGc%W@@KDMn&8i;IixK2xeL+r} zoMi?aeG&9qXBpYCpl-SsS78hv10G(thl*9s%?vLu_r5~&u#>4s@~FepjG%`tqcYH? zC_S_yJm=s4hp&W47JgY5Y}F^PUR{3i<*OS**0blSRi>bBMnCM=I|M#k=nLKoG9!P^ zx-o$AoN~n6AL&z20;P&9j2iL71_MkUWW4I%VKGfoVC=0gNXJz9`R`0co*C}H+{a_j zEUu&BeB)z4hOy>xDAyRS9Eyjc2(M4%GlueE=#P1Bc5k*BxyPSaZBd-vySbi0Ccn5DHZFxYC=p^@AaI!@9cf% ztIW(}P~p{Qp$G4bVV19I!Smv`i=(2c-;WiHi1jln=fK1p7?5?5^nF z7SQ0&?Xb;-zJHK_^i^)P(lmwJ^AGWRPuK2@deq%b)kfr2rM~;S~twyAfPv!qy`02;wl#_WAer7rzP1 z{=eaQOJcgex6im!v4eJ>Y3zefzo! zBcqMF@}rl&ZUeQv|22J9)bd@`uX%Ir(15{HDxgE!#6>PD+yf6prGJn7msgm(IJB}c z`M_;-bpEF>k=R(a5$?_P4b6uJ>G4uz<^i+!+~c?iuS?|iXMcHr`?D9&HV*G%iEnMr z^5)jj?(*-y+(w%BqU^uAw(rGrm6;=Gd{yBdI3VO<5T@9;%0wJBY)0Pw^6z!;GTTik zevW@^V~g?!yPquy+bl6UYBOivbaOTS9b6C3VvUJS>hMV9m452&^?$_u^tR*`!nLoy z7^0pTz8kDoezr6G^*77zmr+rxOPM5jQ}!$OCTUe>PN;AIs0#Oh%_VZALmXu2(tB~( z1jsML(h3@xCo@ZHqVqTbnF9Z-trEG#8s9c$c-Ikrj}C}6h6_x3Xo~Puk#~gRla1n+ zw`I6Tdud?(<@H8C^Iv_5e$19R%r)J)pAPN%n~g55^k3cB8U1X~N6WyRDd_Ydmwv95 zsYq;FhezT%vU8PrPJerm956_xSoURI=0ZL}_s&Rcl~_y_uesAIpIa_(WBQr+0WN>o z0II@!Gz*0MdGB%gPyprdL)630F?7}!bo64R4l|!9eL6F_45-Y^U8?XN_?biwlQaT)oINH%K4#nK`?-oc*T5#lr86^gp~D-IvxGIp8Dv0Z zW*(mk?|}z`Gjp1c0CFfCeuy|YpR>w)VERN)>)doak!0!2%sQ#V8&wBzsuMmIRXdKH#XEj_q=a16d98wWd!CoE4UO=<#H_QeszLXpAu5%RHx zI)Sr%dwxR487G0Yq7ihhfK0vCFIy)u)two-ez*xJ{9=wluazT9liAqZ-4q)IAgmUJDln8 zI|2oS2RhCGavNMZ6c0rPKSZ?imVxCNNOx6PjiKsA(cy876h;l25QPmg5!I9}1G6)L zNm0(Su}FQ%mky6a?t?uWHGRn|hnJ8|-!d>i13EJ^e>yylkw)Y!af8AZ^i5qe13ELa zmUVa}^3KDs5jjiW#V9aGSYK`yy40DOIo08j$RGSuZ>-(RRG2E=rUi}K;;S^!FZ8N2 zbLJFK9lnIfJ+*;H&ihcTCs=e{U#hH0t{-Oe$p3&Ym9dzdX++*;EBo0NQw%^w zOygdQ);ci@9eLQX8tb7w6i*5~%J4KJ=mCqjavmqK2^?qA(-|H)9E#N>jJ?&o zMMpj!T*PY~W7)WV5F#GxJ`l@J8f3VNLV+8Sn_9$jmH09eyez4+~%h!GY0nX6b>yVweAI zDoYRpL=ldagMh$_oufyWG|>jiObkIdJcEcQ+@{zB0@mTF&nycLjiVP#9BCMwp}69i z+52UZ=XP&b3CGg!d#muY%_Z$qNt*QgD9o$7r7x{1A1@s4;;3(EVrBVsWq*Ua50`m; zP4oL~n@Re86z=a$*C}w06&08r`a!)WV=c@dUuomkjWw3 zII@AmUtomG8(+BB+v~KgB{|@zOk?S+hXzSXTo%a@7nt2KG!i zoI#qRWnggzVoCFo6kV!t4-+8dVGx?YRVHx+cw7k%7^Ep$29{)iJ|?;zhOEZGtfKVL z7U7Y$AO7!=i;XvB%fO-xsLaegs&Ef{BXXX#q}ipTc5u23^k}Nc%q$Ka9*JD}?Kb8S ziZ&w`)3RYD<_PNx?(vq0%FNuM3irSR@!+3&gQF&4t!(`?(1J#7Db98u)G$^?mPiky zX+#bv9%c9vB1bwZ6S+gstBYOTV{=$311dA~HdVL>9te3Dw51iKW{xanF1-yym~BkQfrQ)WPCW)_JKKNXQ%7T2XK`b$rM+W$u6YI@qmCy)W1nOO&Q_^F6I zEP$~M77o#hD{eb7(BW7)2(wPu8+vq6V{sy`xZM(j!GS&^%*Mh%YywwQxFf*OXV~xP z;pmauK#_@|GX~EP;)zFwo?EQ1T_0={VR(Df>F~4%rAM&OjIi;AIql4K$Kf3IAPw!S zSzlbJt@(c6l8O9VC*0S|u2bM<+~$(@sia*gsR{1&{c&4Mf^*cN#$xzn+f34~l0zsJ z>y-{qzozm{N5t#B4rW=(eC(l3?B5yPDlfRGW)u5{qj$P=r3Xyw{XxfZ?;d?TgU5|$=9$|s8vefy* z>Eg1*k>1Q8azJ%>ob1dYa-^g3(uz5fgJqYoFx6xW8K^?!ok!Ojkw3TqmNJ*#FsP_W z%fNYLz*Y&vEcEJO_YmevpV#Ck%?NsUjWYm`lh_1~ne@XuT`szEGqhWC7vg1^w2LFDM83Lkk`9tI+j!z+VB`54m3 z`7yFyyG@StJ#rf;GWmqg7(7GB zC(I`Gg5hyRg&UXv;c$ReJA;GF28v9me-4Tao*~2&zANRK!J07|JCxm(u!&_K{@f1R zT!Ov4ljYDS{r1PuTn6W;2Wc#lvbvb-MK05CrI@dgefC=?+~0eh2EQG*xuk6-X;;dx z=q(IQaIf!-+gcKwqYgDYixQ(wr(G#=SIHrg&Oh#Sc-rRjuEDsZSd%6;!M!@urEM({ z&QV+=f8I}DOX%V99`11rhxvCSSrfR*1ommd5F9W_rT}4WQZ_sPG@DHKeb7B#S&iM@ zUu7>nG(~ut5y0Nzvmj}r4eXh4ID<4r%fR9cWGtJNr07zGdzb*x{ylPJ;wg#QYG-;1 z9FHnWGJ0OgNlDGKI^|+DXfRo`G1Sd~PAysc;VyAmm{X zn!r^iaRhi=2@V*fDYldWQzh8lLa#mSSdD>MMd_g}!XuHlpL!FMM@rnKOcysDIs<8E zU=tMY`3#u}pXRwtl8QZ6)l_EAZ2?u`9{9k+;xLe71eC$yXg#U35>sVOa=lJBPbmXE zj#!O7kjRhxd+Vw3crbTH9_^m`tIP@y?X`cXgJ$0{uwDk1PC@kumVT~rDvIPNwx`46 zj3EDRB(o8{W?!Xb@E-UWk;e&S*_U;Lt@@0%E6HwwU%SBOxQRIq*+(pz+svE5?>DC$ zJLQ^sDJ!5@r1FOipenpaOF#tscq^CN0J1NDD)1~Kcw8c3uD!%iwLwQOMwSfGM^27O z?U!jYLG}-Hc&x~JM85R%YQGQdcy0zZn{|{ciOS3aWdB)(_dw1ha+u5`as!h}QjeUg ze0*2RLgqG5xXV;qJJFe$^+t!+Cvp~0Q?v{m&49|x+**bAz*i@7YGcuz5Q2NMQs<`Q ziKI(sX4Xj^-iVwftSQbQ11dA~_*8fgd|e`cCxzs21V%SFz=Rh!N0uI3KA>4Yv_<%i zE(V09gRnfAG|2{6_QeszLV?vx4$mUmU+`3H;4Kqs+(IEYK^Ib=4PdNIgvEut8E8eg z!(17TkTj}Rm&;*wcHF)|xmgte&Ptef5 znhNrInNPke5u@wI-oCCc^KT1n;v2IpffY;few!qS!qW8*S~+g(Zc%AVZ#o~<}pQo46x`r=9E)qV8W^B zbHcqmr(jwvy;|~q_r=_|e*P46O7L~=tg@DEcDB}uS?I{aE*4NK(jMAF@ua|`3{NwH9zZ40wdFH6jlSU|9W(my7(l-M zn&$U>4+yr2aCt*NE>niP@yA!jeJXKRN@{|8ebp&&zRx8(h`*I$QAydZl86{zcQ;{& z3EMK_!tkMS=?DvlzkovC_%eEnN4M9(UWR880TRU0bB`lRBmZ|@aDhn=O%a}E1b2jT zc-N99+Q6Pk8Sa72=$bOZ?3*#l&q{`DXkV9k$ht z`+04Ts72ZoyQ9M+kw5(3BNroTL@vH3=cuGx$qFLgnNMg(WoB-#!aeXnJou;H%J-pY z;$j4AW$VicZrkzxtuIUO$a;h#(k6=$P&~@;B}9&NluO#9y=-7XF;m=3{4}_o25YFt z&p=i{sYp@vqNs2W6CmVaP@ZgvgDk+ah?WjyM6^k%(_!SaNz1^QWMJtOR1M#$SCxxp zDiV!Ucn=dGWGeHxEQtbV{0n;{Iax)A;Lk|vOhN11S!HS4WoK)hES=|<=aeimeF_SA ztVsR^WGZ9k6`yN(cwC7N61;~t8(2`xlzt|D8XW1k9I3+0wbOU|Z-y4K0?KMkj6j9= zFacs_jVkagqBbK#f}70EzziaXYaJddQekE>zRE-{wkay>k@HS$Zv#pfVq7{;c;pV6 zp?#H^d59{!2fi|q+Y`(udAFNp%Nfv_ne|MEpNhyWi)#~#-+r%Jn0+2uk@Jw6re#3SfX>X!R)?R8$U|6|Bw8F{`m&>kqlf&r+B<^iaI74JStnNP3_ZH2 zu{aTDNVfzj!?TDCoD2bKHUYK&Wa*^b1YLCW2ne19gG=ZI6GIx7G$?te(TgY^8G3GU zTXszg3v}s)>$-kuK7#IF?M+@TEFS3aSds1D zBaiuNuV;_}otasHI=m4%OW5`m*IVtvc;l%vGwYuYk3>GspQFaZQ*pA9rrQjjhE0O7 zkecHBGT^F&WEXnXnVC7&;jtpUhhdwMv-HhX)FDxEX>M+4Iv$j?z7$FFNK-m9XU2f) z@W{h+h#cuiEFB$qG(3Hf0Ii5ot}VYbei$zI(JW7q0iBsy1UfttdFNp`y+#!{t9p38 z7+K0(dV-MCCM^T!kpWvJ471Ryhutd7MSjwZpodpRWuQw@dT2#B=U+e#ot-9x)E!G8Z6y9w}#klFdW_gkJ*vWE33D8W-j7DkQuVS@oC4>GapSyU4g z7<=mr(s40jx7pKSta$`4*O=5T9eyezmm+JrmVr_RW)L}CsPIKZ4zKbcTaC!k#5B1m za-Now0kw3VFsF?QSQmVHJj*o}t{*&koEPDZ$Pd!hPR}9(Dl_v4Rrtw>e50$pRc<1O z_o&WI2Y>%Jsqu)q$jq!uI=m4%OITB!K?YQ2=JBcUlM#7{3zI}Jy2Banp$!g1viy=R zsBjC@FzbXpmM1MeavLZz`Gn5O@GPQX!P5-pWt+@BPS8IUZh!*9;efSip=CnRI4ET} zOH{PyyHcK+VOL2+jIX;ZVH3+f{J9;rxzL*PRVVLc8NJ1$*F$qMobPjqW^-OGlCruO zBz3;HkI07Y0SVhgxU=^<4SqXrb5XXLaIf!-+gi%IQj*7Lzq2SY>NNZDl8D%Q{kYTN zX;aC&2IG>dJ!xVSd*1F3o#`g*N=cC9K>oZJ7lmP?!hJ+2-;DsmL|lt-80L(Bk&z}X z19LLa11#2<=tYO8io~~rr5Y9dmf;@gY+woIP1Q1>W*{q|RHP3i^7ikMt2u8MPn&@r z%{3xFZPHt7r_DeWB9})^n7)X;69?Ih*^cP6DIYHbrb^RTuUR5JjKmrfz3K2c*=axZ zCMIvT(#^J*Vqm9$9*8N2X?wM8vfSu#^Oa%nCAgy4FvJHe|~{?F=lP zg6eTC{ahM(Ql z^bKQ8XJ!_J4v!UCo5)#MP0=!-WI$zRZl}U~;Aav!OllK3+L$I6Mb6Vw5>Rdi_MD(5 z1fw%Ei&uv?B4-I}iZjT7%FH}I72X4X3L@X;Dz8O)DoLH2j!MCv+O5vaEE*l&h@2&? zDb64RDl_x=RCo{kDTo~HI6Q&T4GwS*jU`k|4=x`ZgYU@3!Or3d%aciyn!uHPaRjkY z$nY#8K%!V2$Tks@Ua4`5lOjCz*#O4cL@&6IHv_E*ces)|4M>z1uIu<7kmojD-+%p- z{*qpUr=L3MU@vcc+4nabe@I_R7vXH53%8%3p?x(Kqem!n; zDQ`33UhUPmtwr7^^OlT~$LN6VN=ds)B4T{CJHCl!AMQ~7aM-h5DaMhT?8i@%TXV}d zu_Sofl@dKBGl#c7eJz}^UBc`g=yCiYc_$BHbBnxRGozw#hs5%q#;Q?(2n%RtVuu}FQ%OovAz_rZR8jVkag zqT(Pah1>Kk1C#-snOTZ-c&x}q(dA zv{Y3=s;o&?i1Tt?#ydEjnOS~xc&x}aBWH;+92UEHciVwmI045xyCZw{fWnG5x#`T;jqV(Skh_OBnY6@#8>mY zamQw(@edO-m6>_ZRCub$!Z2t=&J)@+^)uknM3I?U`#St&M83^c-a0pt;XSHz)4|^U zjVe@T=6zD(jmUYzn&$B{;1R;sh&;@*3?c`k}%xjoqD!tAFgKAi%Zjrnl4MKE|x^ZWG6Bxw%_ zwux|g({&2mjoVzteJX6@3-|h}^y@8e37bU}_Qpg9*fPTQfP{S}5i!2*Zo&?eBHTE_ z!r?D4p5%=$qqlf;dmWmS;c-_=f&?o|&pnRB#Q|Jk(nC{(ry0Q=p&Z_|q=`1LXHtfH zU^BWN1}$^Z6fFbA3}gkAm858*!aYoYkcUC2dR%1^M}Wtbauc{YY|=6?Hv>Hk#2OPl z>F_v4+P_CGCa)9wv>*#l$t`<7J>n(`ysC@(+xQJ)Jir7hN>vS!IB3 zB`b({XFj1Fm6^G{3irSR(SGU;nRvK_<;I7*Iq*OW8np$iwZ1I9BkK{$G$IESk1{;Y z$mb9_(ovbn9fDq6?CKty!%7)YnVGk#!aeXn$itv5tspgXWGQp$ZGeiJv<#d{29{1i z)$pBqRkv_C|8DiVnfoliBmsI#bX(cUD>2cG=ll zC$sZ+-*9Ngl^5Yg;7p z>bYqRJgE%KAae9uhc6*=c&$w2XkwaN7CBGLNkA=~C(LM~3Urv5H6pK)+~)L*GN3av z3si@nipVXCYZHn(H<6+1{U#FdSV1--f2_>6c2AW7otaq_I{Z{b9_Cq?Bw7TBvmHGg zJvxyrcLdSlSUCu@PS_iIbWvk*5*oz8zT6U|49_Am!OjiVWt-vp-m|4+7485Af@i@% zi(W7>q+v;el6M-th~kl<=k{O^NIx_mLHDorhSth7c-n&!?4KE7TqLxwW_@v?w&weNOD22|2zHfldD(Re+>F~?m(&1^}$~R#zZhXo74JTi8p*a!mQ2yD*m0*KnFE0)VZ5)Hg z2?XDj;^7T+DN6d=i|D`u7TMKdP$$SRXmPzs%fO@zU}~XJ!_g4sS%x64n%Fkbx>hKF*(~O*YbW zo59ntNsu$hSqtG<2HY{gqU&~%)%^rI6;M`VlE-O8?mcYfDYoQQfoBn2+>D$S3o3}X zG`DU$sHpX2>H9x}$N|;iu_EUXInp5yvgw25NIK1t>&q@wykWQwtBn z={2gr*>9`pA-`nizFxEVRmupPwmJUA^kxP*^UCTfz12c#mE>!p;B8OLbkgZ1K zXkwaN6gf{z$$(lqPngq21*{7`J)Y$n3)c^xJkE>oM&t+SYNuzB0hO6~gev@GM845g z-YPed!+TWcrh~u#o78wjU1Vm~B^};~oF%L&&L9ISGxPXV_^F88g}5+D1fz#D+(R3B zbRt=PNf%VOg=v^|!XA4GEIo1?C^Gqk&dTsCqG5M}8O+NznR}d|e=6Jn1%$%^Ytur@ zgrad!%5avbXn#lf;Cn!@&xFhFivDc@4gTB?+gxbP`Kpt5vT(1ju|!>hr(G!t!W|sf zSR`e2F`>3*yA4}L@LMU_9+0q2g!_80)8Mz`HWy`^3HSQWxUEIrCzJhROSIovlo)k7 z+XI4qCW3t2>F~78nQy6n?#hMHD;+2YBn{&wFuE7&a>0M}+d-2p~+v zwFrlC#`qT*Y0@$8iEd9#&nw#5_!I|cMWOmVjR5Wi)TJmPf*kprs2J=y|79yM}|NQXGc z)+6#^p%-zjDOJ`a*9@@vy3at5SFy(K0r^Xh(;_?)`H_F7H+M;AZE1z2Bq(H7kg?OX zemb-vTLx-pVCfW8k8A1YTA7N(_H=lh5sdp(q9%QSi(b>;UNCqMe8#^}Ec>z!mPZ8L zJ0r1GVlh>`=1%cd*y`ezddvzat1;#gP!-<81c+49Nt4PLkbMDEfoBn6PO(JbWPL$L zFGlJx^NG@@GcyZ9hsTPnP2?=Brf3;ZGN3Xuw^QLg@H2@VCbfwiZA_DkBIju-2`D!M zdwx)znOVF#yb(D|SW}!q22^I|@u~10_)`#hTjf1`XX@N^9JaF2bDf!4r*wEDa+a{B zID-tR%*^9c;XUvtAaa9t9G<}F1_xNL!V;>b2bT|y!FOciU}y1!<;kQ;P2kGDID%Lx zWOx=4AW9k5_VP}aeSgF8hxC?+}6cYG5|*fMetcc^|i?Afjq})Gw&QIagWr)!aOhzhX8?H+o2Sg;7(iQF)NDhD>X%*=rfk3`;n>Ww9Ck-{vpI%Y%*8nwk&X`o-|RcGeRDWE!h36Xnh1CIjl$6=Eo zfX)?Ks;VGW)+8&$*<9+(%<`kdV@0+ZIZNCkMZd`Em=UO`$wM<>8pk~}h0VTYpk<(C z;BhmsI+5d^+B|NbTI($XPa^}r^EA3qrx)}mBb~)xeqB5K0oYCFKs+~HVQP8+rzMMh zp1QL4LBgKg&>yPU_%~|W+K&v!x&k8dpHMJ>{Et_4RxlCh31#Rlb!AA-UjD@(4&dGJ zcHKkA^bhaf{TniTerVZ};ds~a7Q;SN#MN-v{Z{v1(=yNN_bK%5?#2J@*#EdMWXcn1 z5V9oS_?vE>|HF5Ww0eR6gU|ieCO6S@@6CZX7890000000spB000000A&FH z000000Nw=v000000C)lHTFGt{F%;}fSjB-wV&92`goFf{Vdvr`Uy)DX!UgX0Uq~R1 zAaUmeVc!wE*wa*b`n|Xvw|lyKCK{nOa=biOy~S?Fc1Y7ST}GZslH@PSNy#ur(-dk` z__rzRVfznkW%(N=yQldBiRI#HTg4BK5Q*CtL(eNZO*)oAkSxn|&o8>8J8okL^n&Up zkh850<+q}1`JF>o_WOJzzZG4}Z$;PgJBKC%4jA@?A@zr8+GkzTE&qTq5FliR#|*TL z3=NbrEE^gaVY_4)8m4Fr`eoRfvqfwYk=TZ|k-k7V`e6Yje%I)g4mtI{A%S*ln->%Y z#On$*+qijAnUuKQoL;%va5I%FTxPCrwlRArKn4>AiMFhP53N3gdxZ1e#&b;Z{Do7` zeeaNzeJU)B%%OisA&zptpCHNv$F`RyinCMj`zhu_nXKjXW*p73m=&!T;zk|MH(2jQ zoa`^MB_<>05qSAK(*Gv54%V3cRvviY+ULv`a>sD~MP^vsQa~T{^mhgHZFcPZ>fwH5 zcG6GZ&T-*rC-rR{*rkgKcQ}KF!P4mCcX{rDw~V&T)3|WAp_scLVq(uf(3fxzrLD;N z%*{H}2IMPOuU*&I$IG^R1f7PE4_wLn z3kcK%eVq-N@B$16$`~|`A%^E*I9SG@A4JHDXJ9A|WOOma0;zG(NQS%^Dw6sR7V?6T z+*T5YuI^1C8$N+ydl`d9GQ{u^3_HpgbV-K1_y7h(EVv{klHKTfz)_-)ze>g#qOAaPF_VK=ZRT+GHc9YPbH06?6spQOIL&2Bre@(bmfUh=9!UZHSRT?ij4|Bhp0#7#Z<2LJ&7|9AlsU}FFR z5FrG_!R~(Xey$-tp1~pUu6`jwkqzO0G8jQB7#zSP0|OI~1}SxRaf%OicMNfbX{dao z-og%6)PtmyA62Qpi|d5dix+T!Rd_(vMFD966m|X~u0g(=^mntcGB^VzOmHgn1Sz!H zy2zM?AseVj0jjVQNOJ?Rv%6!wzmu1%bI7`+&!(JUSr(`okVlw-SOka>p7HQ>4RQ=} z_K18sckgB<29V!%fS4CWk*EKy?!9-I8I*ydF+hy!NoRjwrx`AbSlGbM@d3&M00030 E{{_Iovj6}9 literal 0 HcmV?d00001 diff --git a/triple_selector_8b/db/triple_selector_8b.(0).cnf.hdb b/triple_selector_8b/db/triple_selector_8b.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..43a3f607a704f36b9b5bdae0bf70ddf5c89ac3e7 GIT binary patch literal 1208 zcmV;p1V{T1000233jqLb0B!(300011WpZ@6CZp#n=000000Nn!s0000007?M> z000000JsDI000000C)lHmOE}7F${(`Zr?Gq%bq1bhapv-DyM=vGe6g@y8kNu0m`WJ) z&m@dZClWytmhfU5wXx-;Z0;Aj^g&}UWVcjSTH8G}tCj8jie$_ETy{oLm5J?InkB`i zens--emLzcW2z*U`K`)~eyh2dBBDYH zwm1eMiQfEHXGXt(72ZZQf+9e+AgrX-aIRo=X7n4jVwFWyNCjGFuu~?{o8NY4^jp=% zP7*=ULa#&LUdY>8of-VWxJB{*q$~f3Zs-2f(P;H}G};rNi(qNkv1+di`Fy99LZv&=7k;f%LuD2xDQy-A6cxU&8$q?r2pi9?-E=`7E*0eCD zR(_+w7fenLeO!_Jn*Og@(_otwaVOCa+J8=i6X~y611iqSH}mf8T=D`v)n+Cr=Pn#e z1}*m>XNXgyv*@bn%5mwyJLl5bN#xRjTOkAnbnIA=%{x{CMHLTp-HVeU&RslK51h^A z1DRYtJDFTQa51WU?tLi|)KldHeRugloGKsqL2&s%-(5aCnLIuLDAxD{cn7_6C8(#y zCqUo5I2q#9_yl-OT|UrJm(NZnmk)HJ$_H3`=Somdl@F-AI2jaGK5#ad51h;8vy;i= z)56WD@`1O|J6D2wYJ6JgyB8-zoGPDt-_s~@UeSTBE>6$SPL3{5&Q9K6eZDwa9KSpM z@bMo200960cmWb%V*mmWAq2$1?tbw;{?3jep8i0FXK={r-d#r+K`Iy=z$60$6Ofhx zVvy1R*PvjaB3HkV_~4KrPe1o~ATua3Inua^8LXTIswoOc!_4<}4Gwm6cZDc*jbF1I zWFi9t2bg4F04bFMVkgI7*NAuzPuC#FAZL%r_yGT)ka$l&H-B#KbqWx31)y3$28aN$ zZ?HSU^})^_uD*^REbH~z!OD=$=Z7lxbOE~8(+z0H-xf^`a7Zw~oD4D)nOdn)PbCb~nwXxg#k`J99yVJ^F2 zzxaB?9LUtuz-!0KA;20SP>aIi0pziC0Ld#ZodkoY*biww&1!$d9xH2fzqudkRx*a; z>DU&4s@+ay-uog9F%|wn+_N#nudw3oEis8uUc>54O z|2*XMbo+b$%UdHh3?9ZVJ`DKPG7ZjsFXU1Ro~%=N44#d!w$+9yCa-RhcnubULY6MA zhphMK{3vgI6WB%xxL`3~lKsjtX~SJp1<^#*?z9QN^odz-cZJX6%|fhOK6iT^{}awr zF;-B~_wwA_e3k$&vOTL9S-8h=(*DN4foBXlqi0KYI~_h%7=hYNFlp-gsq? zp)iOHFYmz_ma%~yu4r#H?m&k-QTY@Q3*Aa>DETHV6uNDCkrN5w>h1)q#6sm%VKQA7 zY&i<1G#ke&*`d1qDwStW$@ns5j|;^HJ=dpbN9zjPDj3fV3tb}m(Yc@l5eoX-u(LP) z)!$9@WBso2pR$;9@pS!K+?g1HWTk=w8FJOngR<-EjSShC1l{EEJ|7DNot$fdm=1WC zAS)FIu?KI%tJCgSvemk{wK$BGhe^>8<{EA>$9D~IN-F9pYjtIRha`cK?kbt(<0x3$ z%9_&bF~ITQ6zz6z%-Uqs&rLZSQhMi~0B>|!Qp)J@Gs4xQV^LHS4Vw$DPX@!)oio1= zNH8$heiW+CPg_PMCQ;8O&YfURdha9;hwXi2_;pt-8i`-0Lb?>Ovo#sS*v*{MJeYy& zU#GH>(b`htb0FgKZ4}&IKjrDXW@4F|+WIEl=A^+X%11MMOKeb5>TN!6@EEvhur4Hk zSC%psCKvxoDTkVjgg2;yqps-%2yfin0URCw5o_HzF8jXB8X+-2V{5hc1 zX!As@Kb|>Bn04IN@gb^Fa~-2U8PUN4Ze$Bm`A0IZq7pBjz_+kow-;ujK8P0Th#>NY z@x~z`oN_;ZIn^_Wt$g zu#`aPeHkkj_C3w)F(O#e;y2!%I?c1PO{u(R0U1Swj zoquDw*GcFnlE$j8%|MqvUb=d>X3v(5+GFwr(iTGhTT-4~WY=$vh?E%n^k%M>xS9?P zzbyNZDw_ zfKRtp!c={&fvuB^I8_+-&}H9LT9v_bVZs1NmJl>Oir) zbWeDzpNG7am0%gj6a6ixl^ zWPowxh%u7PhR575_YRgQ_u{dVCoiIjFfIwP`>w9j6t~ZS1YkWhjTy8ut9WWNcSRq{ z$|+K>mm{QI-TJ}s_a?5^KN0?^(qCAbrAzD>8XkVXz13?R8{eMhxG{zqq&Kx~h;GvzF-`w3hjXmPqVHCB+(068ov; zS4Qx0G4_%6Xv|-f4?N?_2pU|`sXm*TJJHJ|+283u(6aXaY6}5R>k&#UgA8TM9%@=*hGv*U;%HJe> zfU2{#MV4v4iBAMAxeuG{*A?HA$PD-%d{6X=vKvyR^Zc^ctA+R z0-EZYm&z6s=YI=XNkYyRZ4#?LuF8+4CJ&{fnXxmf`*|_@Lq! zI5z#lbJLnW&)>RZaUpRKZdv-s(5jT8LN5{%mwT%M0y&;>{Y!qvswVS1YdpDZ&c(~P z^nJZW?CLhwnD%b|XlY=-$p6J&#qi?^jS#?Lcv0{X*iG%*o(xbii+b^QxCRXlQ2Uml zc?7)SKi*KWLh9_7cFf0R(ZI;ZEAo0#JaHVAxq-=f-RF4RA}^^CV}h zq9LUMGo(xkR#(g?3Z8j=D`NQgJWb6We?;k#9dYdcxxRXds&zPHdPKQHk7_S88y zQF>o&h_3NuO%xji8r%tEoS3hEh8ekso$Nm_Z{|43&OGPDQ&p5`GFNdAfFni8-Ls~{ z?R_6S^FMV~n^LGX_v)NFi6NDl4)?!~nTBLvA_vX-1=TD}82=a-gwMS4bH|0Jo22z6 za2JHmw_G>PntGT*KR7?!CknN4HtqJU84hecZOH#N?YU59Ui^Bp!~clmw=qGu2i*4> z<=uxXBjKn$qWUfDXVe9O!9?bWyGLoa&M&i+^fvCFAKpx`hfO`&yXQUA3p#3h-UsTW z?IFtJ9hT`B;KoV8`T?J(AdYI9=ot@aASTyN10Li>MpgxC?@8dy%GZCh#4TLMJV# zgFqrtFc|6W1A^$oppxFrq>*49$HHKP&g5X{9heJgx2tt8!=&V_Nh-Oi>Mc0py1Jga XZXGg2B1(=)CRxgjA;q7kBGJDAYGb`4 literal 0 HcmV?d00001 diff --git a/triple_selector_8b/db/triple_selector_8b.cbx.xml b/triple_selector_8b/db/triple_selector_8b.cbx.xml new file mode 100644 index 0000000..82f3638 --- /dev/null +++ b/triple_selector_8b/db/triple_selector_8b.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/triple_selector_8b/db/triple_selector_8b.cmp.bpm b/triple_selector_8b/db/triple_selector_8b.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..b5858515b906b2d8fad5198ab62568dea56c7ad6 GIT binary patch literal 714 zcmV;*0yX^)000233jqLb0B!(300011WpZ@6CZk!7M0000008jz|000000HXi^ z000000LlUY000000C)lHRk=>XKoAW#0)!9}6(t1?!hvAN&>^Lvf`Z=4;lhx_#&Q0R z58wy*3aF5fxXnDrZ`cHsP$5NRr1k8+yg7I5q-mP&6bgk8(c`qHBf;7G$QU zR8Rl$896~Fy4QzIQA@JQ2j1Cn<>b^WZV)LG!JTCsmk7F-Aw?oja?cr3B0?+ICW09N z$I)1Kxs|(5&N@9iL|({I&kH%nRX@zfQ4T$q59w!((KVk3=B&}_+1aDjBROid$_$nB zmUA4<`IU%v40Dd!UFEP+S9_?Hs%O^a>RrsU3PtW2ODkn8N9%eP&SGw9tK~Kk&64qK z%@W@my6&1!7GK9jO458o! z8R3Q2)LGR2$1eim8X-fxV4z1(Xic5D?0?`zf()Td0`*!n_?)zEup_-QM~PZcPP}Pw zE%S>{ukjnU#!=b-mZlvrHs+szH0u)p0RR7Z0s6?w00hiHtPI3X0lx80{-J&@jzN*} z0scWD@xG3Jj_$5O@h*-bj!urjuAcKVR2V^87!trF0|OI~<^y7o-T=p-5YG@#e?OOa wqb!i23=Az`5~55J$Ob9%@N{u;^+UDk@6CZu^P=000000J{_b000000Mr8j z000000Nxe=000000C)lHT?epTMHxQtK?*5!0t7+|CIbRu07F8e*#J@`Bhpk*APFK( zB8motA(!3+u?#9B>V+^mQUnKvIz~ikBSkU+mBAE11CbgKNWFgF?*E^=XW!X-&b#s5 zl*`WBvwL>`{rB&?r`=bp)oL9{lB|+)B}+D}Kqcu!MN_Y2neAg|N5@zk2S`_clpmKm z3$_zV(?C@G^NrcC!uvDl!H-s8lx62roP?hf%vVI;W~(tD`SbCY;qPsa zzV7nJ`FwiI%(rkg=Nn!^KEA$v`L7H7`SJ|urG3)2eEu52$NWLPc>sGKN`4PnOV-28KJC{sgz?XN z3cd{9GB7@^tT!{JJL7!(Iyc+2?w7H4g^Bg&wTErtIdS@Jem(5VJ6D<-^@TWfOxPZt z=iw{^Q)XO<$3OS$FRIdLA$H>N)CpkKDHCj(mjW`385+V_tt%@W=Ykl>X(Q zB5(Xnr#Sp%-|ttxe<2Ia5_EFiKr;4N;^5YgETGDrt-X@o$*U`p=6g0ec(;2Y>(oVeiOs1<6}A-B05_-(Lw$Yg)cME zXwYQtKE(Bd#N7UlO)DF0Q{Pbw0I8onK#|^AUm0!HFR^MSiXe zT&VM*;^&)LEb-WU^)ES#OL;o07U=Bq0-fD@qQf22*-Tm9ds1gJn$+1v1v=X}(3$EA z_X#R0(@s?U^@8miNoS}t_0vJd0mRnRpB1d9>Sr-Onpv=3?$Cnu@{%TXaz=qpmd?tq zml`i=+gi`lNz9M77e5*(I%zg`o*}U_ww_Mf#_2q^p8iyH5?fE_-`F^|UW*L@XW%i< z&*#l@^T+&j!?3zNeX=3=^iTC(a{!LPtVKoQF_7YU@1@Uf_=wXZHF4imx`qlTIzS8xFa=b`aOHeRp;Y|o%*Ep0~u@pK9?UyE_dwj`a?Uq1N_ei4ZF0!j;?Vebg?6t2X$^<6FZ8dHv} zBXVCUw8gK9o(8tS7DqWXbV;dDyK^#ytAtzbblu{CPgV@JN4jAiWdlNqIO1iv;^)JkFNul9-H+xGUcdR0a!M zUOZX`1lxd<*o1%SUT#;o9;A;bEYxEwO8}M%N`UvGlqmw8i6u z$Du8VDY!kA%i}S^9^G}HbFA<F5uzq2oJ{!53jT!CcG8#mlK4CzQRMeuh-c7 zdJFdT|B2A}6`}Ei0-vrn>C$lTH2AyJyvGwT_dpjBO2ys@#J(DjB56`xM2kcF43gg=8!oxDrW9Uy`6dK=b zfj`B3e!9?@6vVCP#MWZAbXLTcjuswUaeZ}__)|~rd*v2ly0_Nna%y*t%04-rdCvrJ zEs|Wbs#f_1WOp6%a4SP>Hanh0tE?1)i}cKb4)M6eND~US{v55Rq4mZT16$_+14@G` za$iCk-*1wHccj>QHZq><%j}&P@C?R&3oWQhB1TBv!7;HJr z?Z|Vj4KBsJgHZc6S_I6EOTLR%Qj=}P8y%IY(t4FGNzE2$mAL@lnZqBSyAxu%j6FXc zyE(?5Bdu;OV!SkikuodGAJ-DnJ>23Xe*=IkUxMda7&oia-z9*>tC{A46a%T*C__yi ze~g5HG}DkNOE){Y?u)S%wCF7EE%ysD7`wyYp}=)hijVva>@ovs<_!7#9gNYpr5Fg? zFGEcpe`=qf$G98Pv7H!8*<6^mkV|5mnZei{`}{O;@j2RJV8Gk>;P11j&FnJ6g=m%M zZJZQ|^PY#+iGZbTIt*+*1g$6ys>pf#ss1Jd;(TN`miqe)#$Ia2VYE%ecuoeRedRdt zXn3Tx2segD=YJD`3(KSDe|*YtS(h2UgVuch#sKzDDF#xrXNH8s`@u&7lIr2{bzQ|60yJGC+_6&$@BF3{b81wuuW}g^sfB?BO$*xhWYS6i9)X!C@ zQ9q-2qyD1ty$Z$`(N{DcmeG*DBK$@D*o!p8U&Mb=f6@F!{IBl#)x}>le^Gyt{)*^Z zUHO)oAHF52lckXp6mET}pBMEzNcd9*3)dn$(sqO6gkM7XnH_}Cs}r6x)oKH9JP?`R zio65FZ(`(*h$LPd7zT${HYsr^eTq_)b7R1_ruefaFd1iyELZ=fka80~`TH!Do%1xKvT60dC}JX;^m+ z5IC$MvMfq@Iu6G)Z-5KI%058HuW;5915~3^4z7j9iWzghO-2n3+TZ#I#?MA7Wgq&Hw+M?D$IG_(b@?3GRP}!6cvL4tRG^aMKC&7ktqg$Uy7!rO~k+-dpy~*20r`(_sll6i5NP;EWfX-BDb+M z&?)!{o{FJYds+hnFrNIkdx+#yuTdXb17ic%yecwd19!2gGTYQ9AyRjeRWRMg`vyA2 zeB?G4L+BL#qg{GM8#x6pg4G&I?;@8iqmcMaUc?6Ql$3vXM-gm-%#V1hD2ujFnXLgYw27cur^p}!o}$#9 zeFJfo7lZD4SXPlK2Gk9z%r-T=?b2#7_>bY0z*tE!gem?gmm)Y3xr!W$f!_=G9~Pu9 z`J>$?6@z|Qz(yi0lgjKO|ANX49+gj9+5n6&hkYci=m<9^>rW*QmVEHX6GhN z5@&y??(YWNF|nW@-BX_(Ee2WBgC0({0Wd)RQNc-Li0Eu z2JbmI`DOucf7OXI^=eW;=TrIeq)hqwUp<+6wFoC@skrZ9S1v9wKK7T`DM##K6KgxueRFaQn zTiO!?v>ALMebdmudGTFw299Bp85<-!?|JyC1;XK9hZ_7kSp`?nhQ0iKnWdIKH}8X6 zur<9Nu_ru)^H#tV;go&_%)sGfmdF{85i9<@88~3N7J@~aSrqU=yWs_!@^PieZzy1~ z?XSR;4d<@ob9@ZtyO8L^QfX{W4s|#*0P8Kl&3Ru4<_+a{1AY>YaD$Oijmqx`-kJam zwz|gFeRZo=V)N?d*b_#^fjq>ubkE~?+U{Q(F7w?UZ17uqT4 zNSLjh0kH@_aD)?sAL=k{)l}yLaz^8FT%~c|e-y}XDi|Ofz#H1%k?z{i!2FHQ$+A8# z>=~5Z_ll#hp?_f9yN)UIT2y|HkG`0f^x@;cia&2%e$J16CH9AZ#cObrER{2-aZ@?_ zQ~$+hY{thI^6?n%fpK2ky2#91w?8-fIsg%la%p6L2T0t&1%AVMReqp30_XVnox}s$ zOC$fC3^GZd+MoJmU49N=w%A{sKENS;9mtT(oIoyYc3sX6rb8c`mze`J(QTaTxR$XH zC}E)HhVnaG;>NH5ALnGY3HCQw;#x!d!+>#agjpc+!*>gYO(DK1XuzM9pD*W7eh-WM zLOX>X6K3__zlr~jj`HE`{?D)%&imIu&UO29cE1w>IN?ZtBl~-lA7%iCa`E}VSj)5R zh5VKYAMyBNA(Q82ohg#@brSz5XWuU~@&n3#PDnPMx*6QDPM@=@IU>Kkz>5!8m$UPa z!7yaXMeZh~pc(mr-+2%Q;jmY+@>9TY{r!XcRat}Ej+uGu^tq8|V9`dc@%{h+$8sRx z=0Yx<-=5)+!3RulqJjPNU)|#nHX}a(+$VbbsE3PiA-(}@0Lg|Ca`EGtlD(qV(ZSnu zdd?EpM*O_e#!2$js?3LlylYN6V;PTP41RmmUiXqNtV5Q*?v~sK$L3&rt9TCQ0c(=b z1HD+q{0{&C|NnRaWRpE;R6!8OM=y!_xa5-4_<C)HY6>it6F}`+qO>!anq`(~>>~+=)+7B^%Af$=hw9lrsBq z_jzkd#z8D(LOOyotDkSc6H4Gg7umwSDB04}1VgyvhC0!oa3xjQik&(U=X o&CfoK4|S4%zb7*rxnY(-gOov703$nFtz&rz&`llx2LJ&7|FyhQumAu6 literal 0 HcmV?d00001 diff --git a/triple_selector_8b/db/triple_selector_8b.cmp.ecobp b/triple_selector_8b/db/triple_selector_8b.cmp.ecobp new file mode 100644 index 0000000000000000000000000000000000000000..e05efff040e83d1723ed53a45face13651ff8ac2 GIT binary patch literal 28 hcmZ3(&&U7*6?2TAJTiJ>^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/triple_selector_8b/db/triple_selector_8b.cmp.hdb b/triple_selector_8b/db/triple_selector_8b.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..7f833d15c44049b02193cc7ff8c5e3477fb364c3 GIT binary patch literal 7396 zcmZ8`bx<5k^Cpr65&|p)*Tvo42@XL+V6nv|cyJ9K!s70(!QI_=(H96#Ai&}dL3Xjr z_j~WI?z@`m>ZhLReyXddX8xGQML|KS#zIAL`n#n5VqGg&H(O^Xpa>^7P{za7!4k;J zCjjIYv^V5{$Jz)LF}Uy^V6 zWJs^RN8pA*3s`t+n1I&CKcjfUz!R(L;Og6Vb8hir$oY*;wTryUo|zF>oG!*k?aw z*Qbh{U|W+R4|4=Mpqr6nuJ*+)ykZT+!DR^lZ#7n%U{K|0ZRErrAB!I4{>GPe(PMa;K zam2z{m`BJ(oYiTfyWYIPl5f*-HB!`68<8_z!`iClR^QX=yZY!D)2INY7HiAi+rg56 zqe+aBPKtN8=5Nt^U$(4TV7inIU2qI;DcSQBvtA}9NhCc)DGdL_iWo*Cxe2s_!la&* zX}_^2zxu-!J6T!!o0zE)q{7yz>S01z=Fc#FRO!C+x5Ygwpq|KEBr6!P?%rHGn^L>g z{U1sQeDZg{(!2H9WpyEHRiE;Um|?Hu0$1SAguFWQpT;x+{Z$d5vmBO3isu7JO;G`L z=FAZK5^Vwf>Ow4K-Kt!Fs-n+qHTWf-_OEO1;=1x% z-Ck1xA&+dkryEHfaHG?pcQrZdPeLB5*)6=H2D1*?KPtS5h0(>#bTng15xl+@jA|*$ zWNPtN_;KkUlj!AXY4E!R7$t-&O&^EFUHw`Ap&L~+Oi+rDtF5O|vomyNO|mkKqo8*D zpFj^r3C3neL9NslDiO(*NI4mL-xyu(l?88=lR5mq*-eCyFr`0&=~aT|)obJbmms^= zTv-_Fp7>Xqnf3Y;ws-c%4cL&Gj0!eb>}T%~6`uRK(80+NTPp z1~IZla5ElrG&kmQJk*=XtgIzB?BLAcoy#U@{o_PN0T$!-?Qa`{ z-4M5Xax|>ux!X(g;${DY8?*9}X1~q#WB&{>Kud!4Sr#&WISqzcnl_XOem;ftS@Lpc z{J_fe`(VmTn(k1Jo9Rc(o$*$+h5yBr#J}Lxe*k}C*Uw9nuUfJb@706sS@|AGnXD&y z*yh1W4x-(q9W2X3%(dG=pZI~Jd5Q%j#ENiI;Udny>`HR8TqD1EeQxy-lfb2Djz~x6 zW4CVuqWS}6jlf*8xX=PYi|q!y;ik6Xc#k#mbB@AANS)=7OExVDV}d)(TZ>`PW{K8_ zHz4eRaEKcc_Tkl)uKGa&b3*-F3{MN~zb87yqmaZ;B-_L20@3olU=FX|YKw3t$_O*7 z6z1IW9AdR1Wt=gTg?#B5tFW(6{}5Z-!YR_T(t&B>2o9@lX>Mr-}5&mNG=GD(z5`VJC-c4%UXXQJ^fj}Hd z;`2K_IO;hfhA+47EPVPM^J@1-i`14#1zMJPv+1Jff%+mW7x^&NQ#-^X9{Vd25pR_< z=%aXCxXOsLBa(YMTzGjx6?Gi0(N5d$@*Ylmc}T)W=9l(6$)iNDAbER5L0#B~c+LYg! zYRL-j#r?I{NWBqjjN}*rsfm~;hj?x059Te7FbE^BU7{0%@h5(R?vpsZ?5nHT=m(}` za|N)Y_$s2KQR@{>6j(eD(SaU6fmJN0(KAjg4VU4TQ5Z$zv2o94hm-SOs*$#9DL5r2 zIHUIG^}B{DUDuCw6`pd#(h}Y%J+a#>L*yD2?Qb}-hXZg3I;3qGa`!@8!mZD! zaj+?*%RD|jR`;U6w0*?9$gf(I<-Ozv)cjaQr2g2E6W_v& z^Cpx#I(vEy>LxwW{3IUnwLnROlTZy_xctdaLy?wT&0cYZ_zMbGWHx$7Blu)l&c;S` zj_O3+e)OE!h2U%xehE>vr(KQAuk~XA-Wq=Cs-4M!?#!}%oH1g}QvWQrHJhrph~~;MK^d%{PnCrg%u}v}1hP?Y9pkq0cFh zxLp2Y9{n1Tyg($Dk~R8pd9~EOCho~16$c)lv_QpS4$S}cPVu#bB{eWlhM~B#@E7Ev z!H7WCl>ptlqp^%H^USH)0=11I&6lLUHoHGSdcr2>@x78Z5}krE16pd7NpQmcV(_}W zrN#k&uQoRJv*fRYF?mqw)p(&J#2w?_K+-WUr>bGMvv7R&WW2EbG`VMz60@GaaFZes z0B|G4eWkU|zxz)^obZI!Pwo$T^mO0RdD0kIu|#AP)KScH!d++NZy)QFzo#{moihoqeJ-7<7l%^;@(N3!O<)!X&RN2f(d+ogNF38ol;1EJ@5b zUvYI7fqx{1xAAh+*n|??`hL_OH(LDla}OP?iT*qkWnv=)FLU^BvvIB9PNWDHfTV4< z8iVMp$RC^>NC4wFQi!x2q;Q&)aBl@iCH2u%2;ii{g+T{-FC(HKWE7}U5=Mj4{q`yw z;ukI9=)lo@4#Y(J@fCdRlete5`4LH!Ux$ZdtQY6R7!^DI_lO}{fQ*;;8_Y9!la+Sa z?Yxc`=eDDuNvknY%ln_7jfh-P0kHuGs*)*_`&=?o7_oGQRibwPAg}T@&5(S{3MhAc zRmiWXun@v$IfBbFVAXZC;N$E`Ua%P~SP$pD$?b5^T4@l)GsC+l+L#sjohURFq}!K} z@*a9R;&CX0^!c8)y`Ax+75xY8t=bo+oY;L2@+iBNsOjTq+-Vr5HJE)yuNjpr?hT}! z+@wz{g4X7Lhm|(H#C-B63qBNFgv#`A(gm{6EsjA=P&bu|!G#a@D0fl&(`1V@2w_0U z5j8}$i7C{+&a#6e$1Y}f_9Q&v$~OW})i1OtDj??0S|8dO8}I9wu;CVAz;V%|G@+;! zHp>rHN{Z$mhbr^BHbiHmy%-j3I?}eHDT6_6Ea^GvUIVQi4J~P^rG@qLjCy637E3Jk z1DWYWfc~-8gHX+lL#$W+ZZeisB+eXSdeqb`^Y!*{qh5Bptl;Aic5{Ibhj9y59y)-1 zJLz4!Wwa0l=s9g8UCH~~Yb2Xm<4OMx+oBGJ}L?oITAnS#&hH-4pz-;(O$ z8z;!0K<1r|ndy7Eg?T%igfrDG%6^|yG_EY;(i0!5P+w_>?OYk{w>AFGT0L9_<`==1 z{HZjgk-=Y*N!Nu1I|90#l~yk@fKY=SsDZ@N_gmkQuG$;myo>#BtcEt>jn?B%wOfG_;~ z4HZuDt`Nlf&~9GWylC-!#h=5_BI(cf>7Kb)=}HfsNos1%y3ltx=6XF(&C%ivbQB-~@wRDSN??ru^yeu<=zxelQi&sl1uf=LuiRobcK{CO%)Ke3* zE#aR?MX)jVAn88zyvmO;Ytt{_Td3`F(lrdgw71aakZr5fiy9Bs{5ruex;FhejLr;| zk2fe24JZ??+`HCPs$KoVcJ8LkJ%9^v6znrOQf_jZ1^^jL;AG!3iMA(^i#wTJisi>teV-1AdI`5 z^xe0IdUnh1Xn*;My^s1(D_+<3Xou8GBqk=TYvITzbM{a?(|@bqwmr>)ILZ zuc&Z}HbCm5XeVDGD`x(HLJ-w$S?(m=W;k_79i;RXPEE-Z&U#lLy++4&RVzI~h>EyRp3OUv_C=Es2!uWN2}Rce9&FE84VLvnLN zyhmYtAU-6J2;wKVMF$8u`CIh+y6?ap5}`utgDec8; zfF{&^&IXrsS$kqHiMBpX>6iomK4PecwmwhkmA?o zi1B1>fLYBPj=U=pm=`$R?qeCvIu*T$4DL0^1xdY)4o&d9-ovAJjkld$FQyLWQ2Ac4 z)hR^&UN6lb4BJ6kO_sfP=`xWL6NC%L_h8lTIOE#U z!!t(sa&qMYF`>ds4Y{Da2wx)dyhKx>Jt?3~4DWGuv<5u_i?l-crRb-DHP zc+E}xhbIQ4UC!6(#4Lcnhnu4uTf=$M(-ro86d|i9(ji$Bm1jWt<@9)Jk^Q^dnQ51k z!_4r}<@~bR=>70?=xj8XP|UTa(^Cg>X88WVvf|nGdgh|TyEA}D{Ws~MaHO-yp~dK2 zV*l2OYszJZcaA@$iBlJN{o_~ni=DCCbC(r}XXEx+1yW!o&q9oC-Qrm}7gR-o8giNI zDZny1l}4s_EkIg34kE5iDvG*=)~_R==3w?rO37(`0z=4n)pj`v1>4X)q3MWQJ}fSl z2a{L|RZ6a%Hk@~Ob0%^Xer0zSQphIB0BFFP-8+xfdHW&I*{3wIuyyKFvCa)ix6^8N z1DIgmrektvx!~pgNvXzi0rnQF(P#9zx|-Hl$ziK?(&f#n36V3cYLNyvqN#>PCHo)d zYPD@jwF5?7AhUH8O|x|hO*3Qma&BjpAKcE|e+}oaQT(-#AKYoZI_XhotP|ukZ?~<# z2}qffWCoC>7Z*3mEM-dl`rM+r^sa`O6mGXH|rA*_=)_4j^SAtMT&8R4V3Z>yhbn0 z8eLmODIPV>-)OaW5W6^U(T+HTAOE-zyOOB0LL_|9ekO`bUACXJ0RrYtn7`UQyh>iwC>J&yx zntx8t333HyAkb88v8o7)^L!X5%RM4Gy;$BoVM{hgv{0&R3bj=im;W4pH#O<(+#@P> z0Y*5fXJ*62gBO~{4IE1fd$~DfMY>-uA8+R z_Tm((TY}haB1jvxVCjTR=0V$R6JR7YnPnoh;?$)GXhZs+cL5a9dYUm(t;DpR zde$RJ?`+b01V6gV`tYZ9$7q0k`=NjjwzwmVW!*@u^VL<%o(d?P$vq_@dHGIU%J8wIGs{R%yI21)L+ZLKiPDbB^ zs#sS?Iblp*=k#!-n8&N_QL)&Ug%)r0TC zI;{dNj6V3MnS#<;@vHISenD(yQygfZ%2n6k)FIUi$H|oC(W$D!0ip5j*pDVj^6E}s z8WGk1j9+!>wYWM9jalVx_Y-c$+gC{M0QQ3b@Q8!kCh!UJbIzc29H{ubq_YA7TtpmaIA_v>lvq_?(Gu1Ho-)qU&b?x7la@djSt~dq1X39KIKr4RHa6_9+$x>*COhhkwAq zmiP)igupPvOx~mm`X}+jR!bi;^#bN#@q>7Pi-oxtMcWPQqi?@fY(6+1htcb0*;aJv zvBT#rIM?lmIGrsdRQS{z>HBniuDRU3oPH;(q@ZA^f0m?e&PUzP*$iEz5rbomVz9PF zwqQD)2gd5~uw;j#Qm9ZRe!R>~n+#pdqyFH(I-E}R^hQgT%}(#r?~y3Q{($vwVI*A2 zZ#`-=7^(Oy$c(SQVT7*wm@uGoGITnJ-IUlFPikn?Sme`&WvlUzH>47j(Zo!3HrJH_ zDF1e2XGm?BL>Xx7O{ykjtXOEU+5=hhO?y=cLv5d?pJ^E?$a{$35r8*LirjMI@ZP> S5hgJ%!K0|4C-nbZr~d`eP + + + + + + + + + diff --git a/triple_selector_8b/db/triple_selector_8b.cmp.logdb b/triple_selector_8b/db/triple_selector_8b.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/triple_selector_8b/db/triple_selector_8b.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/triple_selector_8b/db/triple_selector_8b.cmp.rdb b/triple_selector_8b/db/triple_selector_8b.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..689a39cdd404a03d3c19cd8e8503bf9b754746bf GIT binary patch literal 16485 zcmch81CKAv5AN<++qP|+XKmZId46l#wr$(CZQI6u|Mz}}JCn)NG}AWIN!nyOMF0W< zs)hjuviole{70*qIyqa~+YxfnGZG5BTH2TpGPAG|GO{x;aWF75GI9|rn>x8!8k-U- z7#dp>G7~CG5vrRS5&ku?boozg#R~X89Y8>h{Qnn#?*9BgG!^`R=mC5M_)n*#lU}o{ zhLz;X)r^(tIJc9Vo0*qe#&*@g{E>xQwNX6Zpr+oWk-I(42y*POc!S%;hPvwZw0~V= z1F*;(!7pQ;066R#qXA|J*lz+XIeRkM2u9#}iF zI9mm9i)OsJ-Qd_;&CRxI#>a+|IRM zHt&8Y7v;HQv&PDUpNL(XD(mUd&#IW2r^+_7-GR(5bpV$k|EU$Yf(K!_`YiEIdi*Hv z;d=U8$>dUA(~Oz&Wv4v#j$3IB#F#X58!zA;|Q4vwB>C_3V?t%;ZiB?W%CN zyUEPLfa3vC$6OL6WGW3@W@X$s%;CUKDK;LzPAksY9>V3|W2qR#bol#j*}BC{KjTcx z6|(Uj-HQAowHbH`y>i}d*z#Wlq?mbIcnijL<1RaHU%qxmmvG!6OdGZ`*?eaEzo1R9 z@st%;x7tMf7Gb=7`&=$Pg+k=M;(#~<=FqJA#@zHF?Ok|6WsgIOml{09Etzo$>p?;_dS)l=xqIvjwCCA++O5}dlB0kFrH)%yFPk&qZu5?Dbc(?l2C$sY zO4@(gxHy5WfmiITwCxMvcKWh9X6ysD1!dS@I|bKpgoRZoYeqFIbxV0~Gh0@%@I9mZ z8G#rO#$KGX(cd>*-DWj{# zvap%tLHKYW@$DkMdu-a@+N)GQyOkS`t;+zLi$S+d7`be|V#|E5uURYWIHqZYoB-Zw&y7qZfj_=r^`s#@FYL3#j{@yh-VKgh0WF zxnTh5inxP#O9X60wQl)(s_H|0r;d%WwzUHSbL@iT;%l+$(fTe{dFAc-`~A;Q1YSWl zl21)@mma~2UG4e|?6N*g-m5!H&pMlNC;vo2gYV9wSe}pJ`|3V1?d}==WaFB^>d$<$ z(m9OC8^Pd@&*o)%dV1e0{OhOM!^^Pb8|hbbdito4^Pc$*Za+l-*!Dpt`j?+wU9XFQ z88(-EZD&MGMxY`Zux>n?=l2WRGV9$~q0R}~BDn!UpX@pAtv6n+>xTWyYiIUKInJIy zfL`?m#>ro0f$Kg5pg51U=TPl>IR@QA;Cy#kdF&nMH-TGUh~HoP`?B&7_e>B@mw=<2 z54}H7cy`2&kUIN{65($GFh(&$%RamIgMXE`mOsq?a8$+|y162b)z%;dO#fWl7_+Xvwg*E&@{CZJx>F;*4eipb-ZmM*mi{ zPo_6dEi!E)WI)9^T|LUyS|qOwr>%}qop{9VagCVedm7gU|&TQhOaP=f@}g$?4zz)I6sb-gL>5ce#Hu`vWi7Y${CCW`^?v*J zO$YMNvP;*sLF!gh& zrZ-aj>K-qgNkScum5@q;)_5-+`i2hxMEO@5Evl4w}T`7Ol3WltohP2hag1 zdks3CQ!t&CI=z5nfwt*NpUJ_9m3<-~XUi)%dJ9WZG3cS6{MJ+~x})yp#*2ND+C?DZ zRi2%`$mG;qW+Iu_$61Nq5%B7u(X@m`B>Y1~XGE{4GAL324}D6)M;!%BR^Byo8J9OW zieHA~E#nc}1OeLabAuWv+C74+(>R}6Dct!)T~0(RfQFC-j|~v#$AVtJGy%wi38_g)M(E&M~xPfS8Nquxp3+0&`@h?)4aRDD=U9q>8t8X{p2{CMS zx9N8jCfM&j^nCXh0!+GCAhP5B5HEut2~>wFPfcRCe-=6H3t0)U)Bnjpw8Jp_hAVa_ zP5DU=cXlg2+plgk&DfvOf=jOuy|bv=W_e63?}+r?*9gi?G4e9$Of5u*{RHovR361~ z$P;Te_#M&mH7iTrnqcr|y9RRG~RV{^7!wqB(6r0wrEA5$5zGg#(r( z2{P@mbeMyqtkm!ik(lIUD-{7Lr{NMO5^H-To;>6ckwDJf0i)8xR;3LiP=iCBj!;@UB4F9wU-) z1EX7I=1JbNZC5a8Y+u!i`&G8j10hWEyh}>=FLJWN`O0yg=)~hx!gO zQlePxyyetl^do;JaYV?9Vprya#YCZEgJaIAGFQgLyyuZA>Xk}NPr-57&^QP#SV;sS zpIKCCpK7yp)=N}EQ6z6JF|YQ=%)w<+XzFRcGRTQ$?d}dcjov98Nn_XA75wdy{K^Ve zp3Lji(XO`)JQbU;P`awxU+(XiRgXx0zoM`AP>*T?;yp0_P6Sq=8vDA)KS>`Yo36l% zlY!)Vy*78t{#*EjjISRUsXcfak4*QH z3$rCUQqH8eJ`=^fHAT6y?MWvRJKssto;s2OnNC#G@m-%(sSj-lKJ3Rz86=*s%Jj#M zWZyOu#jN5FH>Elghf*KwNz#@vN89r4Nu$Y6jZ~=%xZ|C+j z7!eaT2HWo;o5C35u<>0O7hW2EvJ_!n_-jbeZB^QiB2)e{M_48t@SUK00r0tp7vtMB znOmWKjv#>D$x^oC;*%&X932oj7*kwCJNua!1s=3S{;7@9iggy(Z>w+?NXy%48FmyF zNniX-0VK_jAkG$R^%JS82rPW^djGoU_mMqvneVXgGPP&lJn(si-oso!Oq-lE0eEk} zn~CETC@tpRbOY_cHF@q0B(7RlnXnKgz8)RFe51v^q6*wU67*H&xmZmDa=Ks9h@oy^ z5^e)5*ZgssR*#{wdbW+!swZ@77xCboq9$-yssG_~P%lC#`}8Go$EQUMs^IqE4)tRV z+=g%+a>c!TjdD0B)%yb{$*x_dy?R!6eN(pa%&4E`;4PYA)agbh^4}y!vJg9>A|p`m z4A)IAK95|D%*JxJOD@_M{rn;{SfaGjz;k%$56|3~jhykX&~-_E-4D)1x)c-ks|2Ae zxv28o17aNX5^WHZ%g{I)k|)(q+&D1yUyCQ(;1jo}w@-f6*azUH-H}`8yIrOUfIwqB zJtIt;*`_w_HnJscMJnPI>4@lhi2 zbSpy4u3RtjFST-bpu*rG)u*^bUGER3!)N5AX`-3&gQS6DzB5~1PwQgp<7J{CIt;Z= zi(~Lhsx20ii9VVoW6O(`q&_aa|MGU%_zS@{5(^nOeL8Wnj6kA=2xjkIL9VdB6h}}& zDTK~D3I07$-15)5tEd28%fbuC9ph9XA0e$G7fE*M0I-bKoTMu7#2Z85iJU>W=EfPv zSWBi3=dSC(pVSNket$lRfIvaK+_Q4Z`I1=1rds3;kIHVngU;klE|o zCrU8V)_F>JKdnrEmM0p@r_`v)n4WXz7cZtiI@)7VjOs6~%UMBCCtJ;?C+fln%cz}U z%-rtX-e;~SuC5yTXY$aLeHVG}5T-WrZ3eZd`ynW=4`>-vIJEUP)sNN-C#A^n;k#C^ zr<=aSIg#vS<-_sxgCH`upEssgEG6B-E3(-=Drha}li%cKw-xoOu2rn0bIYgbms65x zy*tLq-Qq3%`J%vfZH+E!DJpC}Kv?FSXF+~pL~OK-kF%W1LiwTZnpjkGQe;kuRrfL< z3fgT`?9|ZFr{Nwms4_98Cdm}Z0|n?-&sq=^NZgl#FYw?D?d}%~X2kmt>5-~FyfAO` z=O+ejwN=Z_jgx1smUR*qtc>fLkQyg=0Hx64oqjXjwiC|MguM-UlsRyUq|?E}$eM{k zOb1N?Pe~XUcJy>exy4~0Ea9g6_r{`(;%XZWTPQMAYOcjuNF~Vr3P4X^?Mh!Bwx)-wq)Dt4AyINVn_R@`1MFKg{pUvI8!u4`+Bm4LK_tW!?V zC)`s`Pg7D-?2|E0F)$`4r6XaIi--TZ{HOz4fM;a)EF`a2`MCAAz}eXEY*t)X+uL8? zR@>fCULk8F(jVRwUwx?AY0}WavjWH>-6}f0FO_s{y^X!qXE*2=b~Lkcb=wlFlc}L5 z6{+ht%C1&6c1FAa_P)3KGZ`C!A1~e8*gmC~ut>ZJpsyF!pS88N)z@mTD{8OqJ02SP zwW=I*N!1@acCG;H+L}{ZTLL^&CPlSx5&Pe~<7bFZ@or;zFmN@qwFlc!UlhL;ExlMA zoqp*2UC#|AG%WH4Z?(DN=$iu-yP|@;z+(@bUGK@Q*1)HdsAq1Va^xkq8z^#T5VI5t z?ZY~WNKm!V7s^{+%j3(dtICJmmWqEc#6rvEQw(X-$*f@-I$XW%_a#}>yd0fr^stCA z_51l2#{b19n)3(3NSzA*dO*-j)pLqj_ca8R4UlPUh^&9psX^fe)GM+n%{u>a&=NCL zbRIvsS7PC3$ttI%(Vl1FCV`7d(xHlXryY-u8)KFDA!V`2=yM!yGJj3tSWC9uWt02D zwLxBCxwqfoT4(HMTo}K+lZiEky}ygwY+~{7_ps-8^*ZUqJ4~L0>lzpo)}}4S(72yb zj>M$TD~cBn3<05iD0NK<;dRv9N-_q88z1f)XiNy((QJ@v?zINuC+H_2Lo1+h<0%HD7SOrFdGAO} zE0eRNNDY8?zz`5rx+wbV3;|wtO7kh1BBg^F8Iy;{ps)&5xj3g3zEChn0??w+-~g_O zXS-x1@lF`r=`TNrWtxSRc^$La+5{P`=OTS8cxFtH9d$PwnYyfO8=T?~)+PYSz=0ZS zD<6K^prCm-z!W-|HvT2ExJlHx5jfwYoI|%P#cA4~xzt{@GOD}n25MM&Sucb(24mLS z=gjt^7iKd{np-nLAhNcj!I}a-3 zS0R%W8iPW+LXJ_R#%ifTKLi>U<1VH6_s-^_(W5HVeN~#4Yr7-3)DMGAQEDb_^~<

    Ewx8#SZEB_?h5M7)k%>c9n zTBh{l;^IW^nd-Ux{Yit#pwyO~$LNyu?~N=@5|g)&Haj6cIO9LH>m@fSENg<%r2Gk| ziK^hWYXxy-JXk+W0xZ~$k{`83jDr$w#>sZfK_dqkncnFzu#i=~Mlg53ea?X~TGlV2 zbRtIcDFTG#(s~i2M_6xmLq$wgZ+dCO`ZYG zXAqR(aARdAHA-h@_{Eli@V()6d%hRBPe>Rqh`aTtt+eF*c_iUP&nQA)TN+LpktU_g z68f2s7EZGdq>#(o?rc>6Hx_JnPaU}BEvy&8XlN)~Ff6=p-IUyGJ%OKLshCeXXYDeR z8a+j4#?Rj!=a_sVw3_fjKXE>D-=a87mqN`-+f(LO_C2PajmCxjP(vWz07eL(*lb@; z*tEHTg%&s;`V{qp<{Eo(k(ThGaFsSSMgJC}=Nlbp{*if$?-LSzC(o`xvq~;b*{91Pi)8+_ zARyuxMDDM#Ff7;HdBP3+Afl^a!;tKa4*$)RRXyxj2w1%9yjZiF=RNdirr>jM&pw^< z){kc9tJ$!Xr3@kCHC)g=VannC*i%KnDrWXrxkIJ9G48;;O(&RPPcq5Wq@$GkG~MAY zwhr2V#WATr2b>gp^|yq5$`nvH)bM2I>rWtdA`I5@H^rR`I<*9Yk{Cd=0ehJ>5ET3= z!U|yrg~J14Ap$?V&^K?uq7Alga&kyP!naHEJYR%W>lne3Zw2hWe#ACpEU_rfk;ctK z9@*d_MR8MiqcX6Khqan`M$a|*A=ydU{((j}8&xQT`!%#|c3ylks4>O+#@KJ+m=SSN zx-z~SHFsF7U8o`o*ST)k6hav77XTXlw|_OKt&C>wF^_G6t}PK2#?fM*q8WF*0CNq^ z7Uye5*AWHGi1Ou7Y>Ed>CIGOj#5X$ak(8KY)>H?L^a{jwPC5R(D9{h4F>mV192Wbz zeUi0cd#yL4QbN??$OjUJfX(PUy@4gis@!b;-nQMGf`JMQQW^gRI}-7IpxN!vC@KZB z5<-`sZhT~Td>Z2w6l8~yHuLG~dd|;CYcj|YR6li|t}R?uMR%sW9GhVlQwn~_?SZo9 zOz+l~6Q!cd2AT)KUD?}=tok!vG*#Rv5^tn9GU~pJ0I3#5B7=j>0~{`$id>WL7 z99XjuzCbj2(MY=`c78x@Po$-B*)IVEzP3v2T$<<9tvZvMq2=Sq#m4vd#)ut-UO+{L z@}C-_*`534=Y)h!>w72IyA7vH?Mv^^%1v$S7ll|%K9_OAdhzMQeDd%Nei7{+JpK9? zsQoIDqBhV;tZOaAKH+j!g%Rsc#uUTHwcP9t>=3>V2GuONaua;;Y4Il7QDFEs(O^Ep|`ygQRB3=wcY<#`YE$E{ru&Mvw!A zI&CLf5^V#{IT~G)ym{?D1Sc?2OY#eu83sAl10#Nwv zN2o-a9J~b`bh7c7nJam%*>~SyW)KfvH>sm473469*tC#(K;BYU3Y$~y!I7~@EngIn z4xo@GhWfYHZr#Ym0N9OB_C^))v#p*A); zwlkIF@s+M+V;5gI)MDt8{qt`I#ohy_*WeJi+ut;*1UKJNG^AwSk4;se`uqouD#j%Uv~~dAuSiMg=T2jhB!R~^gAfi>U~#s-6gM<;DN1{6lKDGDsYYN>-_Z2MhIi; z2k+-+XOJR>Bvjfqb0#2cUTAtUl_Qb&G?i&xY0aj*TX|zsq~Nn-Eg!~AB%rsY)_vYg zcayBFQIBn|f@Tt~iS+R$bl6=n;F{X$#EDvgnNTW^{@Zyqq1Ef{qKV2^fXTHoXR07# zxP%~E)HH9<)2^+sPiC|swfD9sB7u!qvfHr6yY>~Qz64X)9j$w=`PuD+-8-ck}&&sa|B2gSqjlo9%dk`D=QK zPl#a7xR~dN^#m_Xc2w3QB8)1)Pb@0?d#zl|aK7cQt3th<;=AtWp_kn33afpdA=tF# zS+qP5k(Iac#%l6qUvBPF8}BL!A14`Z!pvXCTs*1r2T4A8N=fjg2GCm@`F_XVjL%k2-ry@N}}2Wlwf z*0@pj;yW!dwh!?IKAs_a(Cxl$-vbl68IpPGtw3|i*qwR(oU^k=3}QNupFswRl)4jC zGSQ^X`Kf}IJMqDtKbQ>b9=+0t-6~V*@e?!F%ouTAs^y@@MbC#B@BmN7!Gh z<~`~YehoEu5D+eMpY&J@)dBc%j2;xrp^CgvXdzteZ8w}pZZ?a6u2HZMx5izuywaA& z#|9Qn0DR~{BplL6+}(WINuu)#MQL;cU-JsrmFxb*g-070#KxYYp1t!Itcan4LkA2s z$8F#n-iqkKMZe?m`RFa|fqT^buudXE;eqbA5m1b%X{gNz=PN9}_A9AZ8eU%ScP{tq zpF3pes7{PtLjAEa?|6uJ<6M`Rv0fC3AcwowmqX1gfv=1}l`LEop;v)PVSZSm`}X(F zjq~D#-Ese~VRgJ20Yr%o`Qn9X-}vG8SK+Z!uoHREA3gXZ20EUsUUJ5W_hH}k;df;s z(%~i*rL0Jrq;U5J5FXQ*uQD?&6aXfK~=USBxl3$-&d6V<6 z(Eql=uopi@2`{kGCI3k5{Tjg^=_3#|@8+U-2tYXT1%0vgmry?za>e!5pJ^2} z>W; z=?{5xYXL~2=22DQA2E0nwjj~tvh4VNrE`K)!I|JVsnc7iuh|p99zG+h1+2xZkb=ho1yd_MTZw>i4EB$;+R89Fb+jc*$*jpcN~E;tCd?6_3bXfY5L7#mm#IU%PDS&LR86 zXfuoV;a;LgMZJ*yq&kkyHggNNk7R5SViMP(ywO)r`4VgDHx;%EdCOctY;E5dc+g_u zO<}BnMnB`pv?AR`$Nz;Pk#xxdyWY#L0>XbETS#Cv--m*3OZV?&#`TXqBEz%oJaspb zYhM)B8wkh1<$n>ZiG9CgyiN&yo+lYfZI=C4w;wRr!EQ@%V>|;^I8rZgI*wC`qevw+ z0pQilQU0C!CjY(A*%kHi^T{*Wb`PgNx{qa6dX1?=#9>GorH^T}mY-XV28N0^BZWS` zi|W8mF@%|+?vw0{c535}J16o~3|px*$jgZ<-H%n*K;%Lm09*}Bu`-Fx6eq2QOW3(~ z@)-~^pd;2r{TAeAhZY8A4~p#*0VjjyLHSCI9mg>Z`}mq6w9|;#u%n+WRJq6XkIl;d zSIyvp^+rE)t1DHi43;k#)G6!&Uu~p?U$#3f_AeKzYn;e)1e(#CuJH|Xb#KtX(R|d7LJZ+U`I+&o0)3DO#2 zhR$}%s%@kG%7thjJzsZvBjLqB-AG+89*t5zhe>;k?qNGSpHOR%OvxV;X&;=&n9bT} zxnyW8Esys`z%>$`;fTAVin#Em;JG%=!Sy;%)fP%j<3VaVg2hKw@5> zOi_oztb_8=sKeT~e>Fn9A-?txuKxEFY@6aDP2evr+dGL;SC_GUEeolJP>=i`CZH_~ zs>uhkz`1ZqFTrL{5*A3|>+? z4#LCSVdKh=F=Y8K;rr(zWU5_fNvS@cY=+?shwcu4XoSk+dcgNb4P?t7R=cb1+Kyq z;d6?nUo~RAf#?Q7Y(XI?6?O)y%@r)+gxt?$dlR+G29BH?8!{(X4GLh6fW4~ zbxwD;>y<5nVY`-u@syH**%Ms=#P5NJC$Slw;YT%Kgg>KaSj%6E8E=X)u88>5+o%-4 zr;>&g*l`=(a9k0;XrD`Fz+)C3Y7x`n%DbLhDKnkCtTE4t_IqlY=6CLmNKQKOPFzD? zAR;3rH$EILgZ8*mQ>o9=$Ha{&V>q(k0#eEorgl&PE(@16F2ADZ=kB-{9hX>c0U!n6 z_~@D|qYa@`60IR3&Vc3;xf~hcGzY8%l#RqYh(ogcuT6XPB@oi|d;MI}BZuAl{f5{G)@N0pj#DmZxU!9qYGLC)X zjj)o&SkxdL&+FA*`@QBQv z*tBVK8`S=7d#Dw^=Vdtz!om%$;pkS&@hiL4dA=yy4pM-lW6}|gyLpu+{+U<)Oc1dx zkcrYYl5o+(WWWr}YaH@NZ*-^}XuyFow=3gGvm6UTWpyaAO{7f^DbQ+n?c~1sFWs*F zZOGqvs|9H}JvP=@notu!xL$m}%=hMPStj9pUKS*S%iEz(8lFhLy^j2Yubj#0O7*y`{+glKH7USqO|pY zQ$j9Wx>)P#?bQ%Sgt-?}JV1%|+Q@AZh=f)})%krEFqAQgPO&pGF0gnlIR&!obeU4W znMrDoZmFV*bPRyWHVp276{lfsrFD~_)6Zgny`)TE8>#=T5F&<@fhXUS@G^bN+qUk9 z8`xH;f`+1uq?5gRG*w3X13SS8gnOkG&Nnb;M`MA!BWcrPuCGv9AcMTZVkAyKNro2? z@4o}#lo1I%mH{DxVf3=?<5<8&589OM$S9ORd<7{D9cTm~k}JGo_8?459dCI(m=fkn zr(7n5Xiv?+Rwn1(>~0mb&-V_hS0r(VFz#A*5?NUn0wt(Fucw=6tC=k7SkuO0RkgF2 zseGPxC4rj?jn}xav&DtPQVqrt%SHBIv?$ft#ylMHtLpt8x&Fk|rlN0T@RRtAwd-gb zl>eI1PqI4La_j^ix-mLqP)boj=&Y~(_fDE1*Q}$ z&vXo8R?PO5w#W#Uu^@=!&qyt7=l{(3^5wT1TZS;hKQg799Ib7R$Fdyt{(`$*X=m0q zM7R;a1&BEocAqRo>yFd`PPukLbK6R$4+LEuUvAfSW_g_+`R?wHUXzLKT0nTBl`IP$ zo@mSqP7Xv><1i1e3)0f62_aRXBc0y7Fw!BmJSO$62_c^&WK|{nD9=I3D1blStjvef zC1Y*xk$N0oNV*c<;*)jMasZeQQ^_U*Y_MF|{sl}{{Od^Ebw@%|Uv($^Yx!sJ;fQKH zFKnQ6-5rx%Wu);Rak$z89UUg`+ueD@BJ>0Stu$YdF zzp2846rX8E)_B%*gtAOYZ4&8IcmtH|Y@);Go0O#!dSe1wXM2+aVns6YOa7LAd zK9%f@LCRzCxRO^fY4FR!14t@waie{0$)y29Fu1n13YF=&R2sm8Y^5OtA1T>5j=b2Z ztGPR!C^Z`MRITH62q5>`5Hhg@9d(yk^`T#kR+i6fs;rhmyXw&{bzkF;Y?^qT)#O1D zUN7iz)^SpG`L|;RYtm_jIbPu9Jo!b(g0?Xv9M>U9YoR7YHov|pE6i0_CWDqvT_k}e zWlMg3LGY!`vc&baCW-V^`vGSNRdT`kr9Szw)Q&z^?dbrkZr$e*X_%mg%?p#9xGjyl z&>(S$9COX+CnWw04ySEhH+F%A9=YcYF>WP9B24%LQHj7N11b;#1)ObcB)Z1EEaZ&H zOTKEYD!DF+TD0VV)|u_%+k!<*iu&=oLW!_Yg?FicwXwu~6&ZRSqg*;BY$b=A#;82k z#6)IM8#Q|mQ;|W_XQ1XjWwTi+w5nlkq$|}WKy~B3C6X?mp3xAhx!RKf3d~U0f=geR zWTeE~W#O~H3qPq&%Dkbvx+SV z2ck)KxLz-G&Szx7>@ic;@lUa|<;SNwWR_uYJKO}%+Wp$@Dd?%tb#`x{(IGCyV=yVz z^*Cq+VSn#)L(7Lu-h$D8QeH-awdUW}9Lqrt32SOgirBtc zp>Cg!@~)>z$LAE&II_`^Fi0w%o%T-M$FiBUCw*#b|-<78#$?EKdy@ zurZm~yGcUW##7D$%7UMlu%GqaNI&#tZyY~1&D=Q3CrTBo>Q(7^CeQ?n48ZW67M=1a zs~8K0tRUhF>V$6&vnZkINcHE?r$GKI-YB9jHKc^LDcQxhhTzrEo7@T~5~^tH7b36g zN&P%}S28BuM;tVa1(-|V3`HrR#aTDY&fBe^oP1$nR2*yC~jIkrHo~qS*mMs1{8-a3w887$%;Bl1o z5c5@=q()Y{5<(f7*lEs5DeO$}NRBh+8>J1wa1O6Js?w`D0TDJB08&P0@5`Hy%khbX zXxW`%O+m2-ANuklOnCZbmr7Y@NxW{_6^E?`Y^n0zC(27LhE<7A&rd|$Sey!(l#mBD zB2!Yj6JT;$;&q~B(G$N`8Uz$6?{lrWu(mBOdfRJDHY|P6)A23!ff4N;uF8MB&*Ww# z42Rs%99NVa7+6q-{8m&+?|l@@rZS_!c=|#R6^C0rRVlMv!BI_FgPXW}+QQbqUo0EgJj8+Tke#FX$GS zC;3_d>?{#FF;HrQ=)}hQVNvT$_W1_Ug6e4HZC8by{2hpl^q*s(;F*@)^o$@!>WGd- ztN!31je{9HAUQ5eh8)9=7$S_^R544)I?Xn1xcv$Ztac7-`#qGA`Nob_sd(n-Sx%bL zk&~QsZ{SZBaOUhSJlJPSN@dwm&heO<14yo}AEwc^{%P3}n)3OAQ*NAx_v1|l!-j6+ z5)2yBdW2>?Wpo4LFsV}n_)dzIw42Wv?ZRtY&+h%C9bWfbhCP4C{_|D?MlBaDDsAC})$*gFaccX{`{cyj0A;G)s&I{lixKO3@U(Nb zfxt1*yBDGk=YTN9jbt+0LSi>*x4pyWJ@z$!`*Mdw|7_@Bo-TCh#|LGcx0Gt~hL8YD zc(!`Xa=n%h@d%ibCHQ|^#We;~YABN4{b|+Y^cZ&0DTDsAN>^eP(z8M-)hBcqR;dwH3C)f4b@t}B(ruSqLHEz_)g^lRpCN>hunPrn#HkOvO}h*1VIwM z8n(@F2H1C?x;bT`+i4sY)IMQJKXue05os_l!_l9W&%y6Iw)iq{!v!?K4wjdaI99N5 z;6D1C7DUr~tGgTcl_4pP<;yRVv|E;Zh*iSV5WQV$1Jw!I1J-A$#D800yR>4L9n|If zEBa;ZkCRmG)e&+qHtuc}!em3cr z0x>ms(&{n_w4!p@KdJd+2zyz##s%#g6He~rRb9zFQ!i-|(l#7fmoWL9v8bGYdpLN? zerc^U6QN$xOOd}oWeRpw5??Z8;#4FDvfWBZnAYTQnM#Zni1o1HZV^nD^IU~$L)2a! zvXZFsM7^PE;+>XS^h_#Vmt1fO)y&EkfTkOZ6R$gvoERAJ8QRW0z>ykYdZ|gZhWO}> zQ4IkXIf?V8S%%9gYd&c7tP*L5uq2kuUGkn_9OAW}C-bsIDy=_3Wd3K1L%2n{qO3td zjSFS(p-E>I<4R@fKN7jshVLt=YwU%Qg3@kmd`dbRd#i-t?W&rYPvTN?qeiQr zJ$GDKN#5$WnA)XeF2=+Xz)FcF{Fe=LEF!%xgcz&=JGcu+)=45n( zZQGcR1+W+TDE92hAFT>6Gt?~7Olck(T!ZF_0Ev*1<2YI_^;8P3CGP5gF9#^yR6`b4 zdO4PL=W@qrNwx`N7s6xop=jkW7ZcU+eH$Vi9uqCuHQ&F7Ei$y7SCz!)Arn;FZm{&o ztvCp};A-)0+n8s}#Suez6?xYZ7*}gzWbGS#^|6zvMMkFB+vMM;4;>LlfjAH7{!Iqy zW-svzwh*O%&dq+}4_XdU9F(mI0^U(X9~Z1&lGW5pROy~bhGf~>{CzMdH-p3n{{!N{~@fDataeZSDibmgJ| zQqs}I+`X(|w(OI_hsI}^U3UoB2#_@up=|n{m94BHfvq#6FjNTtQNNnz_82to9~ zx|4dSBj}$#E2k7BY4rTDGa>_4y1UN`9~eQr!x!7iSxuoiD|=W`bC_frizblRpCEKN z5p)zIqO8{e%SPa|Bx*q~I@8#v+|%qwBD@0>`ao$UVDeTN3SkOEZh<);sCB&Xw^9Zp zt7sxN53W)cUuTMC(@`%bLPQ6)ESckie`XI|(z9K~i(VJ<1GwOQ=blRORXh<>7&DLA zQbi#jwStpw_k_{3|KV&xnC$ETIC`N0x9#7?NVrH;3#$gdt!0^Flj+`rrL_AmX3sDg zcWw)7!~ZybG3Y_*rH+3rdg5!UM@Z=%{7Q=lp@gQ>A5o3SI-kdB3>z$T;Xj$rjkt_O z#pu{?ZmX8r$!(DkQV05d_+YtsUm(q?_c^Qc9G$372`kE17H_T`kCKMYbhrS=FXA_s z;HkVCR4-xI>rb1yS=QB~)`>)3X4q&c=C!rw{brQ2Dn|U8K7R;8uKxEG1!@sO6FyVy zPsH)fX5(%F1S&O4b{(2d3NXn!llDAADOyA~B@}I;=_fXDG>J=Xw*>W~pR)jtj z2hkkFr%#7AR(Rvh+e4kfkvyC$20Y4h)2ld5y`Ulo+7Tho#_?PiL7OzArQm(7)Er>U zheOyR5-8$ceD}cCBxkAb)k7?fouzITD*3IVM2J{-klnn2Cz3W3)(CWJ06xZcOE@9G0l-0e5TnYvUy@ah+3Y68lKS=x-+Pc_FLu@KU zcc&Ho01swII9`cE9kw=`84b8Ndh6W>lks9xIUPR;%OVMEeG#+^ubMyfk8ENK9)L1a zYfSyVV@+9|KJ?@@6CRy--M4FB&x51I<599oIUst6&!|)fhnV zoR~D>z}c&!qJF{0i?f2=T`G-T*=(~L1@0${8*BIu3-mfaHb!mKIn_W;X%r!mszYFi zDBIjBb~$RAWJBgDg+a28QaC|&Sy&oRn>u@GV(Y?@P@E(A>bH1c;_AXfPMcO0ec(HL>d_$amruJ6CYeW8wZdYC46U{_QPF9U7tmjX(b`?Bt};=AgPyFPAxrM zSQ>{R=MrxvS|32omjg){eiIUI(8!p>wI&IY(__>m=@pkua^wT~-hSj^RW$ z4+dOfUii`ZURPflK;UXB^=EYzyGs+})uH)>a(P~|P6KPZy7Y}}m#)FDWk5h=b-A8Q z03Tu(PNd6yrZjf0X>$y@z;?S~_<#i$PN^{IC7ORFCO%jhJ$A>5T8mjb4?RNkmV*o0LT8iG652Z>3>rVBaFE6&kBAAcpBIURVig6E^aXGMIOwSUwZ z9bZcjpLd+Ydzq4KYhgi0k$t3IjEG>9`PTo3b zJm1KX6-^!X8*Lh4p1^)D_gck)%q=hu#S3kz6>ryDIz_9~g>J}x9CoQ5iKF!JHVQqF zWjWL*`V1p#FmHD!bh^_ujBQDg^p5sXC;1beQ0wte)t20v8#krao{YY&68n5a|4#N| zGf(B>+3^ggz5~9<%+Z4b`DZ(~UMK{eafjE{N~;gJ{YwW(nCRZ+`Ou1dNUa;n?URhy zB45N#rXj(-wQ^{qE3ymHZHV>^Fr!PCetKJ$S^v7Cp_xrRb#~|1$H-zjWm?%?aXs3~ zQ2A0}=3t2;omis@#ogx*A*fkwBugw)Q{b>~$*XH9unvZVVJC9&rKC{`x*K>kJ(j52G9PkDr;Ca+P6|EL^SzV+Fe?PJH#2p9}y45O!>gZ zfOnptUT0@6O<)QIPSeDs){N{{!Rba1W*mwUe29s+;OC2BArMLeBo`=B4N9dEWdUtV z?6&~%DEjRxQpq1iy(=|lRumt9(%r0TLTBRjp>0^sOiJ-IHENF2Qxvd-f#d0m+16?)F4?z5+bF4=HReHsr~WC zCiG%3nJPw&!V0ybZY!9Z)*XuM1l~!JE2kKg(7*nFoEL+7_k1=(Koom}$nXCe z)PH|HfK3uQr9qf*M~!zkth9HPbhdD5(URchEE)K;Z)=>Qmr@3Zr~~#i5X~J^X5jI* zP%jiB_KX#Ugdoh3E(rEukZ^Io$Wk>T{q32*_}_oJT69@rWR@1_?*XJcP)&r2A2|MM=1s&OTMwH;3ob+WPkN9Q_Z1#7IBxzX5}P=x+A} zX?)q^i6psw-0K!c)A@QxRDxI_v4QKconSg*rUOj1H(S+|ayRLBWbr7|byTcL-ZvK1 zD4c}bpf4bpSPCe@3J`}I@<+#)jetA}J)p6X6`asBo9K2IEdU%-k9Z&`NVvlx$%_t7 zHPPSP)=M4lsI~ryiA|O2VIeS1yvdv8QV115s2>m|a8VDrp^z;lFQ%|<*W zaMa&Y#}}rM<$n?n8|!h|7DK4V&y{#@MTpXPSb{kAL%_#d*%ELg<=Z?L#RY@Fo?o%5 z%xDkAjS=6aEkuViFz-fA9)nTp5n2$801pe}#Atn!l21F2Fk?F!ROMAAh{^``!K(}q ZqwxJA{2n+)Y%2s^U+yeN5dXWe{{tTRHfjI> literal 0 HcmV?d00001 diff --git a/triple_selector_8b/db/triple_selector_8b.cmp.tdb b/triple_selector_8b/db/triple_selector_8b.cmp.tdb new file mode 100644 index 0000000000000000000000000000000000000000..761dff4efbba50856d6db135983f7f6873cb5023 GIT binary patch literal 4600 zcmV@6CZZbpw000000M-ux000000PO<+ z000000QeCA000000C)lHn+vp6MH$EUMagGY8e#e1qP+4gqFxd_CyCTF!_*d;qUb_& zNk-xWB{*kg2}(&zy(!pY`3hZT%SRgKBNQdX2a5CnK{Owk&j`W7*Y5wDy=R~A?6c47 za#dJ1YkhZSfAh_MzVDmaGvCZUHw?pY>mUfuI`g!#V@91YZq%4jC!h6$Gf!wcX$x_O z4(mUp?a2OnwGC<8T#p|}_*=@~SN@);R-RQ?kGG-MmzYOq}L`IF-B6Z2GbGK-Y& zogwFWPpN*-RK4Z>S@nCQF#jUn?lJGLD({+VZ+S~pze}q9Qt`eV^PX0@U#fnYczt8u za+Uj}>Yov>cg$O%GWJ)a9(S}fgsZaFJ+BU{d0ibAMXL7ssah>-YP)f*mNhFo4qH>(j@!9mY5O5Lp%Y={&m=MP6yim1 z@4uG6!+y|9&o3(9LHTRSzo49V=^+u} z9gjC)FLvnis<2fGPvhixUO(iNGW~4*g1zgTpXWE|XM7)-S_*-Lvwk(o6owmNc_Bu6lLw}k+L_^-~TP^U_9_21KVsan z_CZ}5uaDl#02$3YF~Kb66eEWENSKLtr}!RE z@qf@o?ejk^*T=DTWP zq|D`eU%ix+;m?yi3I5(OpAV}q7`~VPwD?)_^rdldiSm6tqcUsG*4i9-O85JQ!QU+I zm$vuDI-|o#qx0rQw|h-ntDDBz^##sMqeEY#!%)-LJ#k;y6_~ybFnx84H(K=HCgvk= z`#Pmn3?=Lxryz+k@;jKm?lxmFP7~M5{8)!E%Gf)}^yT?ZHw2WhtGlslwCQWHCWx1r zZR|RyN?!x2`0#{QP$F9{G5FpV^t86yzVgP0R^HAf&rjQRbSu3^{Z2FedY@zat!8cv z&gctF=07Rz|0|8(_BZq4RV|Jr+U{a7{k+27r3TaUk+auV;I z=Oe=!K(anT#wS~DL}p#&Y5Q#VAXupU76nrGwFJSL+I)^s-djP~Z7MI**Sw*6-cwG# zM$d~Rcbc9LmoMA3$loD9j?@D7ci|A^v!;_KYN0+=9Zc5(f1Nhd8ESvK+MlBK!_|I; zDbEp=Z>FVbdm5-BuuhC|6v~YLVuSURqUO1bn z{&L~`Q2kyEj`Vr1TM+z4<A-k^Cg~X%6yHx(e&&%~9g@V{^P(+&UB2KdvAJh1?YzQ#mFSH8^1oMHG+ z8va64KH11r)905)-n)r@N1L`5Q?X#;hY?0*rs3OuuQGg#f0AkYn2}jx%Eud-8w~$g z!@t__Exv90h>_8BW#*+XALS$>{%wXo%jMDhsQs{;QZe34>SB*4Bz70w*0WdV`^zk%JJQ_v+Ewi|GL4y!|*M>ZF{bf z(d+FJcJO|Z*0rqV%O!9o_l)A%#acFC>h&&)T|hVL&QM_Q6LHD;D{TDlYoeUCkmWAf zD0$9XK4tcOxA&_N3H*NVHGnUP#JqMng; z+Pd6$d0WY^?k#)cg`a2qIr8aBIqFD^733b`Ki*!&6nV?1+!^*hUt_$8V_ht3#_Ps7 zCRONBVeeG=;&S54cs^k7IZe*bHL*ThW!U?+^nq{`3H&WS4Y$9<-6qQPUPuBR( z`;wlGy)&B}--lv*x5==Vv!^?M8hhu({?}Sjp%1!tV|_6CoZF=Ty*^r#@+C{7QkFi0 zn)LtcHRc;RTaNg}u36`sS3fckZ%EId6wxtG-`)P4iyP=UMNUKa+9S z;pKdOk^KKSha2Pn@lE=F;>OYY&vyrp>vPV_?#yibbHTfndU*N7-dG>_9=}V2`2PI; zT!y{vn*Y;M_KsyM9D56G}LD_;FW?06lqraJ4L##NX>`ynp}Up ze-6yhCu@CZHa_?GS@S;&-{1M&pJ6Zi?*}RWH@pA(`8FiO-mLfW*nLa>PL~D`41zKI z2boz9w^K|}Ee#)}-<<7TswJMBpnH`Pr@XZID>{SeMfC~!Nj2n;ls<=PBlijUJ9Ovc zpVN6)y>y54C~=X$(O;&N*h%TP(*O9BK5ZJb`5D;)1Izp? znJ}tL;JB`$s{{_w0jDuYmvv!#t8VQ?@NeEJ2q33~c#~s3CpgG;$F*)ncYcmKJL!%C z5Cqhg8caw&4kt(jh!0yFlpqJIla4#paI&d13oDqyoHHOaAEPx0qKg-$HKZ%RaG@-N zkU6R~2q3!SoB}!YZ2%J@lqg-4Bm^QS2uLR$_Zg8-iJ)-0fE`5DB{>9TURw zLW4kION1PXdUWwKF|=c#G!Ks9l_sKvQ0P&8mWAkIsRjztrEfS_3PH&Hq%{a2x+5Zn z9J-7xp?jtOCdD8SiB%!R*5TRWL7)&vK@O#lMQPCkN+;9MD?MDcK`3;QJP6SR;UUDe z;XA;Kkm;*>i0%j@A=jPaKzHV6UMJIopbi4@7eauz=p3gUs!&?dTM5N0oxCbuX<{b` zg~m&Hh%UY=#h_&>v%f>g^b!xEJ7O=$Da{&~pl_^)t|B5K2*e}wwm{r8k7x}72$Ztv z!OEDRc%>^m-CIgRDAZk$5MAu10fTgLSElqJDGAXX0Sn}mK0w_i%UPndygV@WG@%5< z_3R|M00M$7rlMCR}2Z!YZs%J$Hku}xtg3k#ad$~l?$2&QUNt2+rDs0fe< zf?WER$zt$@?%d0Q8n3X2OP0?#1Tib`u$lKM`P|D8Cu z48x2q_0vZ7hr=h_q|#|+ou6?^pITX)q@J+%1xVz+g7yy{BvV$H^Czn z$&pf$%Ou1O-RUTi8{d?gU-OwU8os_hsy*FCqPG=k>{2hgSU=m{O4QFv4Y5|)Or*D( zi}t4%zX$db7wtR_e;6KW1*f|6_lq&cu2qTDH08sqbEBKtv7o_-@mvop<^oaV`f~f< zcerKj1icGxFnm*A!QmFYx%KDFegaD86fTx&bK%=rUbM!7JEHC|TpFr~aWY?b&;4L(GIDj4E1EhKLWZ@Ambx@o<1CVP42F&8;e< iFJz6{FuPGIF>*5DxL>dR{VjrjuhmVRoGtC`NO%~TNkm*NZA?g5**Hj;Ihk0v zm{^&ac}P@Do!l&qO-U3Djjc&oNmOJ=G)#?1#7!(+{+hjo!Tt3C0+Mt5e-+3R#D8j> z)Bn^Spci`3zcIuYuK4TyjYy<^CH^6-?TUhclul@X01r=q5E~040mf1&1qK$9LyMzO zz{pJRLI;wOz<}kCN=u+nY`v@TZ*+CBb&NP{lisZG%{)%ayQ^vRe5kuVTwG)s;)K!> zMYduf#Qt`A9)~mcU})2)6!L?*h&3!>G{7yMMg*T37<|as*R@X+(d{9vF2OhfuD`fC zX3OXzgKw4YXbU|KJ=}F(5IXqW2%@_BXWhP(#kcI?a

    fa zl=E9eJ5aMzy=*Q~Dt(K+>@fNPwjt<8> zSzMLVIV-osI78+&%jfxg?pEnr*lLBE<(61JAICZazWGU~plzdtr){G~R1c7=QEDmB z-^_1&ok+`kC+|&_)FYh_YOfxH!-mN(Tl?P(ujJXn(2VxRcc_7Az$^$Vzj4lrZ*#d~$!@`Lh z5`Y51rR)rHa6R!tjw}9G1Jgiu54qI2lXUqL07i1aVPh_G)K0wjZMQclX`SkCdTG7&9a-) ze&cVmEb&(ZD{Hm!p|XulGT=c#N-9g-_)uBm#)s)mCPHg$a4D(me&bH<$}m14%QU0} zd413&TW43sPuiO>qvd%_n)$-j>O7xTGdriYR)DUqxpU=8R}m{?-}<06FluwVTGIgxt~mGor;au<0wi4LRX250@1^p*5MqBsYX z^w}&@yZCHe&BQQN#Cmn+c!V?IL)B&l#;6pp1M5eC=FRr2pL&UYi&E>G?vLx5e$ALYd-$Q?uNL50SG>gHKW+wfS!G- zeUo&9t7D^!?pxD7_}#^mQVZcYrx4yfYIRKG1L*2rSK0y*r9)YwvHKtB()Iy`h{^z^ z%{d2;`nyJWj(L~!#`Aq5tNJ~JxAEi%-G$4yMGOdK8G*b`DDV{Wyu}e20)-E^51OTC zlk?1TZ81++k8{sJfhRGw$J9&%q-aJgCgu7+6#XQG6KW`kTs)n2)w+AGz6_mSA50SU=bn_X4ZgBp_ITdKz zXPj=tC4qj*(R|-U(8UW*Zaoc|p3%516Ux1!a{gm@T zcMH6s2_|GyJ&1kU=mV<0O}>6U_Og@xZNo$r5Am`ZC*fHR!WeFKflP^IEo6n)&iNo_?i%7QNeCr^d_+3gz>f zKTzyAlWc|E01&`_Cy7NMn|AjRWzg)!QhCc;`FIr4;+ zP*od|6i)hp2Y|x1HZU9cxO#?!}6qJ5@!l{f)hd^=Wnv+e^Et71Z zDH(b?v-YbzO1JrI%r<1ap0uMNYh{2Q;yW9T23q0i1)u(m7^k8kpf`v_#Mr93$^QW( z4QVNnC#}=!AE8Ba9Mh5cKO_B+RSARWuQUbfHr3LVFxQ%DI76^rG{i`{gTW4Gt(G~9 Mv}BV10RRC1|HcRI9FH~ok^ft`7XQeHwm({J-lyNZgU{`f zh~uzs)FymZ( z#=6L(GAk=sJ)DJ}t3oH@rQtp5M$2YLx5b8!tgWq-| zu>O*E4^etoUKn#n60pu27V!MJ$c2}thj5qMzaCtKsqekjQ=qNEYUg`PorV3*j7A!% zua|t-72*L02Tb4Sd2_9yeX^xIUN@D<ia+k>D6*oDyaH_lnMo^I4>3;03f(xWRI2Ff6#?2iDMyhanI%wL9HFGtb7YWI0n5bb( zh*nXxe!k30w=vEx)kiin{s!NMhXtR7`-WwQq++nqlKDWIRzk3HV|8ED6LXUNFz0OHn`R1-80!QYjq%cCZky6p zY?I?@Adw-ZH$FX+cauHScM0fzbj>!P|3vU{)m;#_pisvq;2#`hILu^L(E_PYi`BE0 zdBQmDW1Wz`*EZH=W_e%apLpoC;+lS%XGOz*`z8Z0jvM?mCbL$(u^WhGA2)9Gw_bZi z1~cz~?}-0TAR&y6eVqLK9$r|o%54p6_}@7-j?cTO7b%%F4Z9xi(0ACc9Vc5)=|~cy5&bc zaIxF(>m!Q>TUqD(7Goi*=kZsk-=7w%Mb&w&2x*15enK}l5QT~LEprR$^0@iuTG~-l zEU~DHI793Sh=PpeQJ%wJp$XtqyLprLzrqf;(P<59*b`ygPl}DB&X<3`H+*NCpP=|d z@b0~@OvHOz5!=rt46$N=w9n&Ej`L!p3+Hi3w9h9_p6)J(Nk`2eX{(nU*M&Ux5ai$L z*1{nUgZ}wWuef3>K7Dz*JFH2M*QtQ=K*P)`qSR#Rx!?HbuS7kux&9&8Dob(0H}IDZ~Fr;-+}Dn{lvSqzDixJq}o!RD!}bx10aG%Zqo21E%FPI z@#e&I7bdssZfoqz9cP>B2Fyv%F1I?vVIkPzBZUtAQV#fIGq-nXfmiXjJeRB3fhBSR zu2>!ev`M8AzVusE5|nl$)H&5Q@_6t4{4nh2<24UL>kiA`J9fh15ZAu#qV4q3OR(Mu)vWQfw=pXk3G8xtv;=d{HF)1=44TTVa zQ{Xv8+E!?FOZf|__$0+KiOcb$BaZPcpD@3Cb(4xRsg{<@lqOU1f9*Pl6xuZUZ~P9x zPFuKg!9HJIc~g?RTBKMvYkbq-r=pj%<_LdI)1J>j4^Y%6EuI9QxgF>8UKc|zv;BXX zzSHy1w{mfhc6A`s?~;WyR|`Dk zcp@8IBUi?0{SLe^iI!#OJV(m=y}CM0ct%&^tL}fTR(<8s3-lts%ji_WjC0cYG@Bbr zwjy{LIv~vOlh}wdB1`gMcTC;qM`0TL^I`qc^%2zputUwN_2K9etJo%}v@+!*iv!yn z1S3>Tccke-iN9gNCuC`M&JnRh$!rk44Q8DUNF4O(bZA)e8S%ed@a?A+Z>*LHYr(w$ zlzs2?!(ypwoauz_lh`WrIHl_!04kis4mu7i{2T%1AxoY#$2*?1vE zW>I(|{3N!*V)L|@7IQ6=Rm_n=GfIVk0FBD;KXT3cg;5)c0x>`>Q`Kd6kOD~Z=C7k%z16W1<+g$b?&Hl%9gd!x&EFY?<5Qx+4!LUO8*zVG{;Hw!V)GfF&kk0bS%& zJErD~W{R~CN%>}NzPt;d5thATSGuV z;vtcH^`i`s8+f$PjLcX6Wh^ZIIF`Q;f)ol0-DLuGN;ypbeSYiqm;J@zO%IpWh$y~J_jAqE}X;*G|Xshg;{%a@iS?1_^0 zTm<)0LHs(n_ci4tM~)jo3Q<%U&Q9AwmpWILI>>fnL+{(~8OjgAMFgT39m{RUf37eW z4)*1}4Ra_z;)M_HDERL^_0*vVpgWl}z)kHCD!+TjzR(|X@_bq%518$1S*31m8n^AC zABYA+(Oh6^mT}c{G#|S89-+R!)L<6eduodjh5BCn+JM2(E*MFU1`vKkfZU-_PaiR0b1JWV`G1Hq(x;q#@EHf z^ZDf@CR6>mQ7Y0rx-#kC)U7ox;v;Q4^kqgYhCfKrQMI4tqha+7WM*Xaa3zI`+ac&% zr5WWMIYrDNuOP&R(sKq5eD|wD!~OB%yRt!yx-u~9{UFi>(Hu?o!2*>XgH)1v?X9H) zw4L_`D}RJTH2#M=zH0Em+-}o>9{!^e+N+7y4qE|?k5j$Ntj01PC>vQ?}Lx@xI4q6YpmQlxmvl=+W{-Xx5YQ$9gU z{n5<)#xL_fHyBF(&kdBr6^R*9!INx7k5zD7y9SRZ-`@W<(_$6mc+RnhYgY1AOPjKI zW(>0J$9z6rrT`XvyWqDq(N%kwRujZaUZXOI-+NGrrsH-{NAcWb32`?7JUE~{Vru^8 zXZY|+BZ6asg&RF-LHtuBEhXh76=*JB_8rcT;vN=vBxwF;bRfSarCZ?eV)pnonqHa3 zTye;k2F&L}`IosSxc(}K_PV$k8PxpQDmwzh&~-Odm))NzX0M5msKjxAL&nJ*nq4|C z(Co_iKNj$p?CQ&Wv|!+j=&RVU>1;gBrX@3H;Ja7))e3=&6+5(n7g{S6qgmH*>psn!4Eu&}8hPGG}5HlskDq3WGkMTjZ z&rAxdxBj#$%jh%soWG#qecHrP^TspFm#vspI@B#mo$e<8GNi2Qs1Af@rT&Dg53@tw zV&oNzWoyy-$X^_KU8OK#WCw_B@#ml$g5Qv>#aabn5ABMJwgwW!`NL-f?4dlOQgqB9 zCT}U%ic7=#)yA=gN>wMZ=dZsXq>JC>x02dy>nL5ZP5fCA?$D3Zvt56-bhsJ(3Vjl->M)5&?9AD|MjTe{ck8Rb7>piav)aobL%c3ct z<@>e;){mLo5ATWcD_)#Tm5&)X!$#Sc2QFm~(YZ$k33#peAWjb(7Tx*-uHnwtS9~;M ze_!G{=-rV%GAWH!Cy3Q=;83S)4UWLn(c*dSC2vj)^Fe zOyzf)0!yXYBC38S>rL5-^qzaMs-XzqJhexB_NovgRhp`V+AqQ+Lo&DPzAXuW{ANO4 zKG~lUd%fhuX?@}kzsLHk1-}=juaInAk(3nri!HDA(m{Q?pLA9R3&T)Qj9^gSdwlAO zb*AW5%*E~R0o3MNb-@0~r%p@nvK0x5nMX;9nGn1fp-XoB;=zB#Y_e=oqCJ*MhMnKM z%S_StZqcum{vPlxTB}}m@hP(JFokWe(FK`ur8xtoysH-Q~{Jq!)sHxQV6y! zU9!jvnyjj`v1QqOUxxiKJ=SeJe+AF%%RcSGh?tN4#C;HTzCBst-vQ@@mCt3LnGq;N zos+e<-H*j-!#8cx0+^O|rZCC8tm`3y6Udq--cJsn%>U5a-SYki_c&YXxI3rxn5!=| zo$$2_^lmR+>+|BHwR2baWv2hpu9q_T=)a5(y}Xu;Tz{R$1XTqO@#fxsR!KUpx4J73 z$0BMY9n@i7<}1rXw)r9EJZskE$i2(p{8P${1$IwAd`wiz?YjY@g}M0KD5-;ujy!CA^XBa&JBP5V=ERnt!r`N zC=cfsEnc7!D6zs{@1oJR)M220E!p}DdC_J4?I*vjpnxklApRic@Y%8u*~D*n3+EJS+mfO&f`_rv!9I>BRQ833F0gB)Z!r z@VEC_%qdSAihAuX-(u3PG&aPL5?o|)W`hGN=wrf#MV*#>fp5OjENOp({Zhlac3pd7 zNnWz-I9zLaMAeR|t%fgW^y9L?Zij?WcFy?=+ zcgO|fyw3%pA2^GXdNVZG4!>DKTVcT0KV+B1D0PO-L_zbo#be+MO0?7c6N9!)DGABNUVFB2! z*~HDpo%5Pn4x5Y&K`WxW=4V3j7u*FL_qE^z(r(P(+4|R2@vxo1rwWxFvRC2^(nX;p z#~Xp~WxTHf+eoGQMEteFK{GQXjBc%Rj=ICdH32UTz8GqyQqPRj6Fv31e(ujA)~Nz~ z)Zsi?gv9ex$ZguFCQitD?@G0knlegNejvJd61A*_+T!7tz1Dx}->;Nq{K)qPI!lCj z^aRUZN7w3D7}U7q)trl1?D}(a-IMOtCLfvc`v0Rt1WI-xc1ytpjQ3m67$wA<_8!-n z?*&18pBeMxp+q6Pw1EAq!O%U}(2PAvbTRS4=VNKWuO~%eNGYRsO=T+RqkY%Sj}B4Z zM2M{uD5A|p!4!OKorBc!ziDR70AL^Qt0D**RwaIkb{cJrYgPXHR~amVn)JCL6I+oJ z)1dKSXj2%mp@pdlT~6p=HKx|M>z}={Oi#`Tt11C}tgJpTQZ8TqTESAh=}>{7cTJ5j zF=j3jTO*Cuz!3);&Uhp`XKiK_`~S-2OP+NDbI#RyYGShn!Pq?=iwDyx@CnvT?oSM& zwTC8#Swr3zhD6%z6Rs7)GFm*!@_oVkLb_+%1FS)&;7_DFi3g9{VKP~S-%6oMtF&&2 z53@WWRlI9pMbZAJ`X|NQ@06HpFRUEpnK{LAoK?Y4uh%a*;+$4*?b+oGN^RNM04pk@ zb^tJ;o(GW-%K+yrI7T^amd%cNn5KLWeBRljv;!)HyL9x(7YeLn3|YwXeqIj%NOS@62YF&?Be*b-qB=raqw8e3)om%|_2q zRwSmueD7+tEBCJ>7`kZQwz8#u_syarXE1?XxML56WGGwp{go&Yb8yydH1w4xnYH_Z z+x5mnN0zbEHDlpi{bIz8TVlpk*|0snk(hME@VAA3Jmk|%meP!kT%Z5JNa)^(oX7K_!K{P0V#KEKiNR}bvnn3%zlBz8bV*|q{TBX+Z| z$)*D|ypU+TLdBAOyYI7g{>h!#zP72c>GK1Yk6{w4sNBR+h7vC}wIc+fu(M8_O|*7c zuBepM+%yKm&g?8rG~H6@U2@V-aP8o&GHSFy6-@XI9%|q1Q=f4@1}0?yZMSb(t6JPP zCg*Wy;E9{rf+Zoet;>mpo_P%(^;}F{ii49XjtoHUm;*UrmiL=1{K;-4Lz|e-Q`pxj z`Lb&%m8^0R%YclzpL_2Wb%z@8Cwx3gR;f$lv}Ud{xZ+~uw^|-35@N)_VcBbwYVhz` zKuL+Lr4syd^BSL!Fiutckx4HI6m|_(n;>}C;2Sj|!U7Bt2SXR!GcI2eStiwM^Cu@^%V3@s%C7n?ls)!*qvUL?o#=W|Qfq7v{Q0oV=LA)=^0PC1KLhcZ$2l zGs_BhnidBpwoOXQT#HW&d#}AqrtLn$*BUxTe*rNNeYcWAxY#ma)J3MLM>EWR+u42j zH>l}X4-ufpN7e#=?HUdlbfVE^9?{s9jmx7!5N58IK`ijPeS&}KoKa!pu7TEj%-52M zr!%5eHdFD7;yH~tna)_P{BY8nl~&uvH$c=@arL-o(gOycLESz%oGyviK5)VY7l)%0 zXO8A_dB2uSJB@nm_4(IvF&{ZWZ!{gY4b42%&j>0YpIM&RVyIR(z%ffp>yMZRD~H1U z|0tWR%c;YgyQqn~X~lj*84Ohk5q5mgqvDWyQGNIczLE z!B2OS`O#^@L4dHBFCXPrU9Pe5lPh)zgkA|3@6sWT4ZW4BG zLnT!JO@~BM6GsH%!~OAjd`s2KJf~DCOFSt{0dkw{VFKAzjF(rg;1?sA&J@Mr)a z(~#N;hxH=D`y*7svLx)@g4#2i;(Czm-d$%Pa1PyktkGI%DnMXO&>vjSiMO~#9 zayQjKs-0bT*u}T$5tzA@W4aEeeA$=AC#s%%TIH*V2yN;McJbmJB?dvdi(Tg?00SZdLj7PeQ`*EkC8=W(=*>3fq zg&O5L>pq?m1_(XFj0dlqUgQutHKGMZpz<+lD19;1gYnv+OeHDT@ZJC_#duZJk+sWo z)|Q_$M10dciQTj;)%lkCsMJ(d=Ss9hZ zP@F{*nME?HgCvV=%7}Gv0Rw2Nyk6H8tK@sHq~R%q%4V;EBR&}LPYV_NTGNjRDvka^ z&5fl5f@WEaZJiDf`5{$B8%9Hw#`ZxczoKWNn9A9daUj<72hJ%$)=j~ESNr_b?6D4gHR!JPbG&0FIiNR6TE@Gjl;M_!cL6Bb zL_hza?~v?bHNgpp8-AY2G%b4C@q5RFa>6GSb9WR;+({P;ZD1vwk#ph_rqA%{-#EhD zwfB2zmm$KEahra++Wfj~Sw=nM`14yOZkochCW0r(KVW|jEUp+o!>7Lt;o#=e6D_A~hCN82NgF*10aWp4it{CPn-}H};30;Bl*3fU0-x(o-eU|83W<&QzO~qAj$6jXYw*D3sLC6;) zkF`s&ts|3)8m(KU;JJs60^(QS6DpiewN=MBp>yvl^4vzBB7tS&H+ZdTo?+($2!h_C z!@UL~1^>(n^IYO}ubiEuG#%9bwNDo32qP~G5UHPa{IPPEI7;@@7rh|oD5_%}SCV@E zbZt4a8NREO4xubmX@Bzy1yoIG=GiVXtd8mhWv{E*u^(lAyxnAEs`U97ztwIEy`Gy> zufYH{Kg++*DY9>hh?m8&i<7=a&IK60&x2-7EDizmYwDq?2ts4UJV>kFt}3H;i^x&Kv{1gb#oDhIx4SKUHRr3OQ`O7L+TPsu2h{5rgLf` zjLS$I{?4}|z@*h$+sLpZw4RT<8VSP=g*m9x)&F#UD5F+U>P){)q8LomonW@cj>x?mqlnH*4<{tto_W`-p>+2$CQi9Mch#gCdg|_;)LMP$~n+2xC(7q(EVpX*hbn7?_=?LiZoy9QOGwLmV88aX)?^Wa7Z9)qKP5uC2PCQ^T}hIO4ZKY$wH$Y=%?hOM2Sr3kENFm4d*M!E5?jk16Pu652Ni_DnG z#X>LZA&&5m+-Exaq%?AJn9rj-*o)1PixXX!EokBZcH(2F6yNLVq& zJ1y>{ep7EkhJ_|EmCctnv8DriAz%?1QK*mXCdO9EKFO?^n-UH-C!IFCim3_HuvXBQ zk1sjiW8#`62AQKGhbEVBtQi*(zWj_MaO;=UZvOmm<)pz^QDmx`Su_H5I6m!3(yJ-J zLkn^C{pN{OURX;V!b_KjZL>t4`cE@Z5 z)vUtK;Wp8ptzu{)v7FJp(a^HsNF&eK*R@DFRn4A*)9qJ_;IfG#;?iE5gc_Jm{tj=4y0*w#RN@+`D+9BpCd>@w)Ujsy6)T`xRwA5cK5p>k>u zFO}4&*(bxMNaztTi!E@1?`2`;ox^q=*!K&>kV&%cS()m8{^*bwx!R(1YF;H4j)V;# z11I2O)5MQOl-m>HbP2rlE;DSitU*jPi5=-5^bRyocf1DGA_mnYTlkk>wFn$fyA8otajE_rQq_rj`<&3w^fCel4Ld)>6ZUbD5ugZWmHw z4mdW#!PYXhW92Z-hFuJ$>H8Bi)i#qti{%0~e7hMd-EZ&ZW}K0+j3L@ihzQ5cZgYjD zg{KADKYueUW|L?&0~r<}FgK-6$ykSC?4PONcqDA-^4HL%c!Kdq@x4*W*o@+a=6GLv zjS1gTt&0d_gO=FjIZUsO+ zvV}UgcINE}5^ii2Ezr4Dgrvg9Hd4Wp4>-2aJv$GbxuI+K<-D*-1}OrVxR)lMOOq9g zaqQ99KehPa=y?w*1lUSxGn{#bx4=sC++uY3CocxhdzJJbm5Pj^#WLRiTq-QcP62V( zTu(5wI4{v?;RoG5FXwv0#t_-Ni}44mDq zT=b0d$T(~<+;dgjbV93|E1I`K1X5jA@3pVCZ4j-uq%$hEC(6{BnxC-d)hy`fP6q)1BHp z9cRIYeHL*I&6Cue*11%L{_4Fhm2%FE5f}o{ zNoK9|eZq-pd85sNK&armi!vCgfR5Zm6YbZBlPk)_@#QRx|@hOMPNHZ}j|71NmpQkI`@9$T< zu1b1MS^+jOY)|_|?>PAd3UKBOZvGJdQBftrzOcnL16FEPCW(56*lh~@lp~02;~P{O zRQ)l?X;jL}A7PuHr~^{&DHP>-pzsg+N<@HN@Iz54Y8Rf4sJdF(+37ZZOJ2+H(d_R!gy z${c6>pImiFtM#S6aQG6;EGL5#s0B^>YJVMYy}CWnAzMRRm`Tc#bcW6x{g0kPmI3PN z6gen&mX8Vxx9J8GERC_W>AOZ*Z+-#Z?r#_SYoa&@Co}+1K2ujBYd)~L_}Sx<{kM9Tb0-XJBPa5 z@S7fLMXTzXpBNl*Sy}=0agNB;RoVjHWi4M?ZpPbYKF!I4Eh?Ko?wwTZJFOEsS|`LI_L2%HIo(fY5sDXlDK4`HE@-bF43nEn@hct%h~?{0JN zpOMu++F^poFkCbMLE2p#tu!jVw6|kROAJQrq|p8oPf5&^JQ=io0k&elpL|W#825W$du3ZUNMQ1U zL~i_)%kl;;$Nae8HoWql^o9^E2432(prkkZAREm_2fc$rV4Mf;w@U)Mf>WtlRUg=0 zfvLm6Xf?79PJbVZ9SVKMk^cvnl4>zVIRHp%Fd~ypDT%)#q}wpl3p$ZSWT^xw#A+KG z;G4Z9*KCg;A zwxPt0pm&prMoXvr&gXwft!yNtOOf}4$s;nB&GA=a{&MPWv7T;4m-4L4>IGp|-wB+- ztdx2cQVL>^xLn%dTjvhk!)HEW-EBFnH0*D$kgMBS3>=8XicS7$mtKSxBC5oUIpgYS zTW{h^$=mr;xX)Ww)o0@W+&Ja%nW#J6KFt>6Dq=G~&r*3e`^W9u<2ws)4`UqF7O|XM z|L3S9DuXpe9)>S{?;1AgyE3XSa8_qN*`|&QLWxK6*#Z@T^?8gWHZ#3i-bK4j-4P7p#POWBFzwOT zD!2mCQorFN{<4~7Zc791R5Q|flY5w)q@oHh{RhjEmGidmK-@|~NAn{4b1ge3C826! z(Y3FcUhGF&qV=@`4}<-|9^Z0%@aw0H#f% zlF|4PST(Z#ag)Zex5O!|g(&tilv;h7JUT$U-$y72TQjcUb$OHWz!dZ5tF+|nB;Sr+ zgYmQdqCcjl*>|W4&P2+n*Ho&6n?<(XnMI5P#8AFx&9U_Q043%k_FgS6)8z3q87is3 zKf`?rIy`syflJf7=kN};#Fqi4+xTZlpH0Nmp>Qj4I=z~>Upu#jQ;NQshH(@sYeW9e4=HB(8TUFj(~w3*Jmx=GcFoh z1jtBPC5{R|Bck1Onv7N#;{W}EM4@locq`~%$)j0Xn zZ8rpHq64B@2&jops$V1bRZ60!$K>UvSLCoP>qfFFna{8X48)ER+ck0(*>7qQLRM{- z_Uywo;zkMjB!eKx3WX-LIjbI#-TYLrCv~NKIb;Y{QiDX^Po>?uX$M~l?qlwlGnYe-O)!lv9hq`)jeQ6B=ovD8ixs+I00qrb%CfY*Q4a{%p6FV%LprSKxE%CVC>Jc57eny0z??GkE0^UCG03IU+jpFE~G`r*XqJrFBOGDod65(rwwL3jO(nRPQ8yB&P#<@#r(%l}C7*T6!)uYmi6Sp2CEc>;z(d6JeMY zqsilxBZjDvOQMG^wCctXCb5=G4^4_A$G<5@Cl(`NVbY6hzv!Cfs?$RM$BO7w5n(L^d9=a2|3XhX6 zMJSDd@;`4BH{YDyjTAfH@I=8gR}C5c$$z7AXYH($nnBnCC~)GHNCs<_0E^-Ektb`-w7lgZy3$w;kMv{5DFn z9ZYUrgo}h41EzUnTeR5AZKXCXkMI^X@NQl!H;P#AUHkkyg#-F6p1Ti&p*WVZ#A@4Z}Z5>R69cn&8nz&R*73Eg6%X4PYefC~M7mV=Ns#h9S!Hfks zaE`9Ef5@~LY3JvON2)GD%Gv~Y-U<|!_bl}z2`(layG9$=NCwvKTN~k5uJ6rsG#zo* zd^Uu2@+fu}OFMYQI<4|~BPo_{=*-K;jINGer&8mtxNnF`a(>EdjAR1|h=oKB#Z?SI zipJSwqM2Md$Bv#b48WZ{$L*0MN4zfcV*!>_Yv5GrZS_dwq1D zTvW1Ot8SfD&JyMlC3?Mwd6dmekJ^6isQpB4z;}wgT`8y8m|@ZJt#5e&)jJvQ!t=eL zkE*lVBU?~6;{5(yC+?whAt0(ifSkLY^%bjn0j1?RmJXDGPZqO_Wc4KMTqxQF%W+82 zB02&wKY*@UP_YFrQoIei0)z&X^0@pCf)J=r3>JGo{vN+p^*Y*J{Gu*I@&Vq z9Z$jlRwrD5C}3A*@y($hIbbkxGVI-grf#lwRRzdKlsuNO%3NSpvC|Nu#FqCx7u)@3oi4A;tPwoCuZyEn?ISgSmfx`{Xb`AL@d-V^%z zzzU&f?Ft5q!Z)?IGEH-uVc7?7-VJwCwI(rI+`4~Oz49UI4iD3aLONRMx9YYbO3Ea7 zIl3OEskIcthr;)^MALSo2{zv4(;&F15rsbAj{zC=y#S{Z8w@qn-^XTSu_ugZ3vLg6 zJvVy<&7Rs!3>>#pC&;2RXV%zrN$ThT$sgy^fI+0pGY=X3Fch01YoG7NMfJ5i1LLQ# zs@IZ_Zt>62wHH$L8M$%xklf`rBun19JK9p%m2CTpK|MG4hbp^>e6bfAj9DtMuQjh3 z3JJ1_zQWXe69NPBEq*79E;uB|lpHwosFty8w6RZ@u4tE_E0}((Gri=A7ln_9Bc+{A zYtw-&(0YVRe-rcO7n~xo$~`KxKjg=nN}1#y_`mccgMLLhkmt(r5TAH97bx{f)+Mv< z{*4e7v)?Pkxv^L`S_n3M&p&8!$bRxy@yetyu29-NIXha=CFB{k`Kk(9TgnH;g18`7I@3AM(X+?zcMVZ+P*0zk2!o}dyeAeh`2$3-(?XPE0| ze4nHnTPaICTS*08krhXvKjWjRN@PWKwpP5?LyW*`owmyt`;nieDvC|CxgS;%jrYj& zK~nMA*TENST=$&Fx=y`o^(}J_d1#xRHQ!#03#Wt;uxjd#sT;-h;A|5-*r|iqy|qH> zJf@Tt9)D@rEf}=}76gu~vNOQ6T3wZJKHC%`V)?o#4e)WLMXt$X3ol;BOxAJqnrp}z z@svJbk!ALZPW6$5L9*8JYzg72fbzLWb=M4tKqYlrtX7xi4zQcYz7+sBq& zWx(DRcMUmSp&ulnk>|nuOrr4Tr&8=THX39qGwCXGz7+Jj#BeC2z|O_1rax?0wNmUj z|7rL$2ahO|$MyCtzrfqjaVCx%Bg;nRq@!Egpg&2O`zn?=Ba+SMzXMKecJH_}#Hg!RtgW@h5>OUGz}oKq9(M zwCcwL$Wn3C1SaJgmyS1KIF)@07jwEkydC+cvxJK29|zOx9&>l{(t{9zG1XHvz=S(M-I*5TQN!u3{50dWI%oU|M%CzGRjtapfZC)>T*R?v=_% zp|OvWQj#LnOCbuUwX3j?G#Yw$eT#|qvy!ZWtrOpV+YY_f+|Kfb#`A^fa~fpjH>Pg2 z21DvN*Un1ExK_q`5jDNoK6H6oQtTRd6OKv8lmfN;Jw1aVQWK~-tv?<`(2vs5L&VM7 zVU|8IPMp7n(fb7UY-p|YeJ3r{X6Yu6Pg+cV96fUr%CAt-cDVD?{#2=nm~HX}%I>V6 z_;vjKZ_V>t@UcSUjso{1)9+$}Ovjx=Sj?@YWpE zW#dG|@dhlE&tRSG#4|3aAm{d9pMSEVSntblr{e(gAfsS<1jz{n@oZZyQEj`=oNeVJ(>s0lA|{LCxiiYbzGwI~ z16#b3>0j)uu2OC&hR8vY>8xNwLRJS6-4FsiZ>@+SN>Qzt0VVOFU1?NS*;4EXH1))WONx=3l^avM$KxT>jbZ2dMYCX-Ya z)Z~9vN;z|+S8vJeI@)tCCm{VAjb6`5s^Mmc`R8z!Ggwr-e9hN)cN_M?503Z7 zvfQH47z$DtaFO$Pp}8cF`tEi_C)pFUU2mU{A+ghQFD9vfDAF-==2POIzUc#b4kR%f zn)00i6HLb2*(xq%Wge8cT)IofDrp*G(k95Jgy%8a;lx9If$^6jDtKzq==I-4oe(OE zx(7>OxKGKCk9FiskDCuRjlj@`ntb0hq%E_9bI00s?>{Jj0KcXqf%M7#VwuKN*Zltn z;y@k0T+C3F5Umm%WVAjQ%UCRNi^lrk_Sy$PLUh6|uCaB6E~0e)N89hB*g&+D5pLh= z1sSM9@GA(F=zyaWW3_aA3jh=b5m^GAW zrTjm2QEsVzVTyWRJGj=Wl0As(OMT(22O0_Wl%yxSl7@W3!#$)STlxoD>;EN5_bci8#bJ`pdm(}we~G-@Sz5_Ql2>7W z^y=TpKfD)Wk0~`A++K*w$UmD<{P13gw~&8Mr1&|Ea%Xe$5ATKeAo=G6MG3VKKlI~$4B4LC;$B0QB}e_D85e8%~BMw7zt~Vf3|m)R`Sv0)jEEzCI9eV zkyEDBaBlXBbgGE16@S!;(Gp^JxJFA)qZN&NQxBxZSvSoZ)sW9J0TIVLB%d7FvgPn@Xalgh_Z^aj6YH@w{u ze@vpyu1a3u=I3?K0D0qJg0$Hku?l(P0PT9_UTxYPfrEFQe^h!2`8fITyrd!U;MEZB zcXNZ4jJdv_zVDFO*4{XnR$<ymOYm7Vili&pPoH>xpN!Ih?ou(gw=U&-N;Li3q7z@U_3w7i7;gFe5dh%<|D z>^ZIx=ffE&LY_fX*dJvn;{3>N^%^0(C+CCI4(?A>ZT95o@MD-|9{e!4@x4bTqbyL*&DTxoj z(Hq*8DDqubSh_S8Ew?%x5$p=K6gl5TIG6>^?GjmB-<8fG`dxC@!s<>QSCcgDOWED^ zNkm?#(o4?T2p16jj)v1mzhvQLO@!|_NF_5|@3d)O$`$IwTzWLMX%RC=VVQU2MFi4&eBS*NIFBO z=$~WAKVNnEr6+0<=MOoY5Z+Gy;eAbqIi1JkX%s)BeNC}EBX`DRQQp^-mlQpL(8Zz7 zOuAAja+iaQiOmC8xe$4di+PcCUG#?VLI=@#8yC-&TiwBE=eA~)HsUV)?M#;ffHjF2PsHurNpTOK$jy^^Ckw2>~# z!hZgEZ=AVz%F=oN*w&=a1xd0=k2~%l%OF*EM;V||pEmo)xaaw7vf@;*Oey+@cf#{o z4cx=u-Wc-BQ&vmtLQY2WZT%rHN`4g4mg;`XKp- zd%4&Jhv!JqKiVBDAQ7JH6TjRuO=$qXY~rg>2IIa z1ytUd^RGx2?;qQY_^d@#XAp$#(gl`oiXNNUy*D4;KpsQuU@20aPB`FP<{-{U1Qnp5 zYF~1vOU3X0gF~H4>3{=LN2Nh}m1W};ZMQq4%J0pGfR)~ucVkaI@tCChv1cXobL?5k zu};Gf_-M`sd$$d^A^o~neB5eB?oX(YKF?IDbq~;px z$tMKi-UU;6NF}$C&YbUOB^*#k+gI0Lxjs!k^mC_r_K}k%@+4yb&XypFUQ)tL`zni5J6*Z+5UgvmvC$vL~ zv5H@%bU-XcoE^YO6Yv0up3kW;GvW2&Uhb0;596cr>Inkw(PL{V^PF?jey#sW?P<*? zDF`-+50{-KI#1)jXqU&)UF9hUYtFo1>m+oxQi^=8PHiQC5rXd=S&EQXYd`~HoSS^; zW$6f!ysE=WSC73UaRqnC()Xkiq?V>r88fA@9FZUZ{*QG7^FlUCG(et#-S|6-8S&ACk9j=4**v);}#U8teJjUmp?v=MiVDAe2=0-XEZm z^9ID3PkP*rIDd|G_Oo*$-y$5-rIy|X(xyJ-JZ;07aW0~|*Us*%b>_Vpm=!^s8cqnl z#8q-Rc|$jPJF1hELEggZ*_a8(Nr!z1oDsRD*)>dhXh! zAGW9bdVnfWkeTtTHijKdIbX{`HlKDzUP^!Xo( zC>|FquT@*lM`WC+J{`qDtyF8Bqyx*&Z5Q%WyX`{0MUcA)^3JScjrHM;gmZO*K+9OS z+~ROTc!>15)!~R>-J!H}YqIoI(q|RI*@?VycuVP~QnZx4aeX$?A93&n$x`Pvll0mf z{%s3AiREq|^LT5c7%E_YsF#W zqUZOqTfIie)&$|vF^`}RB&yvAvOhsC)G}NIK5t|#j-yTo}MQGJ`JPRxd*k46V6_x?;0{?6;cD`soqc3DA-oemQv#LF&LtiIMxD`K-#O`8Qpks|Bp+Tzakv3d{kKk9m9l2qtzM&ao`+nOqL)uL z+lz3%q*fU7$l1=u1T;e0&Ty8wbDF-SQRa?61xv(E!7^D|w`lRs_0jj2pJ?}{&qK)y zaEFGvCs}_Q79b()`)s>6sz2($(qPf9e~~wqQ_2$Oj8xuhSw|=p?tlkA6X6G`7B!qP z`J#((oegs}n+V>x1cgzFY)Yl+)l_!Ar~b*M$5XkWi}8ulbIu{)+$Z25Mcec7QTnWF+xt#Nl2082ydXV^5QLnkYQmytgEk`KSHRFH!dIEi%L z$K{2{iVjEQBa|1n63!*mcArjd_Xes+sWbNBTZ%gScSb6~m?-y;&gwfVk@H;4i}>{& z{nk81uL4~8$_!0DM7~>!Ik=MEmR7vU@o9QX>me7tl{_P{1+U22>l}ig*^X-^xi>_z z&`70VP10~_GeNJ>&%baw^T`91U9Hq_=Sb1>ZO)(fc`CwrivBY!Fe^kpPct6h(+J0NlddN# z>db9URY`yS=Pyuc`e)LmmgQ^y(Qbs?^L49NdrVze)YuEcZUktD6r)!QN}*wVW3G&` zQNHIMLq)PM=6kMlC0fxI^+pH}Qv1%kNA}kGFz2K5naLaQP;4FA>_QnHpO2DVPje7H zFIV?IIh;Ummp7eZ`v(^Z!^G)t7Yh4%Q2rV1LdjcdM1NT>7~=P4=t&wx^-~vzB8$#0 z6nF$F))HG&e}0YlxQmnBCMxZHsecR}+b`{gI|#;%B@Vyc`Rmks2VUgEI{@*Hv!#f0 zJ8^!#B9!_5UgC_AZ;7)d@d5uFr;@WBWYOIv=-+zgbBWvS5}cY((^K2{{Xxb&n=fR#yqhsD1)@GOB8)!Gw z^-wycXvNibtJetmAwj-OyP<9*oU0QA9swUcW7FY;fHRp57-g|OS1Cg2)peLDmnGzh%huwf&d(*BO~{88NoQ}MMzRz+a}Bu%dE;kFQfi3YL8`s6rPEMP!=^gn zV-81zcMdN}k-%SSxRh+4L$-6B@Xe@l=YO>QPJ*0CwsXC~b;5m)PY74F)Ti{S_o2w5 zv2*xov{PmEY^g8i#kXi4+rROxXVhz343ELxpwh3->95>}mDtIyb!1}pGN+md_q%ar zC6_oHZQostRkEbwSVl*kk4jV~OIJ(Pu3R6Ho!lyeejQRFCC(SqxS-~PQ!YzctJ7)@ z?`!%O`EWto{dfnBeBtKO8*9)i)ep#r{q26--{rf0`<1-;HT;uDThO@v*X^tj8SBomqns+%bepHA!DSL!}1OHul02Wjs4(GJqw8^hZ{x)PO2d{M%U-Gi@RZ&Yc5#C7c1$M=hoUy|el93?2>df56d(-Dwz0IZTThCM~ zPodVqfB#hEG}Wi%a{{#vCK=c0jkb`|E>D%YVgQ_TD{9VwMjt|Yu1`D*&Y-}_0wd{D z=L7Jf?Hkn06Xd_}Fr|odmdimAzhf$dB{OkR!=rS zja`yHwKp(yD7|4JZ#ZM}heTC#HXMV9TtT~iZc;09&bn_0%bY1iOR>WiI3}x-_oh38 zgs`z|_1f)~-H>+kel2l^0?|JhDOig7Tu+|2cRhd?sSeOzxm_X`I-M)I%(bVLyi9Sx z!|bZR`VDC0{3F!|&ug5=InMemB=R%wmEF^o_e!>)yzr}&m8|XZLgW)9{V9|eKOmgF zopcc!{h6iu6&TW|zkSqCbY(}so9sNVVu^N&ro8wH;e1H_et9x$#;{H+Xx+zg5O{F*ex z{$AkJvW&tYB3ad&@{4ChmPoqpDA71{6AnkWDtHytu{j5y@Vs zPB?f+9z2ku?cNIM>}`%1hGTa%RWk(o8cr?8AX#82C`xbUW6&ChIRk<};Pm3mR5|Bf zR5^~w!aVl{OQH|CSK#wq_jRY-tEoJWbWVTGJuh;ExvMMda|Ah%Agd^(&P4g#P28*nQ3gh(wED^ zHA?4GjP_S6N=xre5U`8>*(GtVy*evFYMd7k75ZA1z(?PMCRwQ2avVKpfFRl6I7Q|h z!Z9AW&W)dMBMtkD)fdhQk0N=2*;k|+TMpuwGH*vv&rwoS%o_dQ3j1yl&;Qj5Z?2T^B65f zs?(^?&-?kgDIx5i zdHKGBUo92ceQDFrd^kh9l?IDeWJ^-X&m1k*?*(O~VjSLmq+N9<3;sba8=nxo^s4g> zno>i^Dq{mwB_A&<)|n+AE*GmAf?lItXc-L{AvV4MG(x!lvyzc?ar|6&2(ulIo>fJ% zKA08nDC-q8e#g6kmLkrx>{hQ4ayM~abG~yfbMTF-Yng*>-f(PtUbi^}-q6_|^pIp|wJwF^#*PowrAM)2^MEZ}h+#AyU_9EmVzxsX0r`giniWmuiK!X?? zzBGlv<=uGT8p{_$a(MnbVm{U&x_#BvqUD)#xt_^R2fmv(#<%?FN02B7JLM%tjn#; z0MW0L=wHd%ze}aNM+_lR=?+_h@IL(Wm#LAW&U~Wy)ip};21{T5A+OYv+>qz>;!+ z6)z-Nd+ETS#JPn^!7B;!e@3F$=pSBFcoU5fPp5q#o9f`fSVca~XAYM*r`c^+`thXU zbubKFiJKDVLE5kNc|*`^q}qha z*U99cndH?~vTTlgUaUiLV;&)wQT*&f`WOYSk?MTP_a!JV_`KX5sO0jAwf3%AE$d;j z{Zg$H&;lEdo&w?Y(e-zt+RNdHTtzs~r5)ohB|k%|rRa^!&B;ICCO`Apbcd@2r4>&x zwt59;J@bJ^{cDhhD^kAeHZ+&ZkIz($I!{o(FF}xRk@R;_op2M$I)ZZfC4}>Sf?P+^ zv0uVc)S34u+^4xy`tU%P%aweGI3G@J3TB&2S!>Xl@K2=DZ4|gh4G$x`-awEg$Umnk zPHDxl8EbIXQ}jvtIKAs}D#^lHiLr_rZcWmkL^yYl$M#yvxR|ByR)q}~IrEzWn~*Gy zmXk>OsT5--2iGX;0+-7oH&Y~h$mMc8jo)}hKHF2iZ*2%&SvQcMx1`dP5hV?|6+S#e ziqdhetfdICF&dzN=*hr_kRX*@L$+^DHFk!Q^m(!4qrD6A{2qjJDdBuGV;yy#ApfjJ zd>$rC8Ha1FzZ2(-akA^ltJkoo54W%%(J3Lria0N&c6k{p@B9wSFH?JgvI;)>-2oRr zA@I4){^ZGu&XyOkGf|;s)bK>dS>yxbW`p58sDoI8MJjI1SD^f2yZ%ABgL7qJrCHi^ z>gJ!dbeA}{>tYEY&#_jM`xkWbm= z{@9gN`*H5H>1*Mfe@ne`d3e3Ae~i|fI?j)t=UVGtjnOi9c>XyHpH#Y6G7`N;&b&67 z4fPU^RtZklXk9NlGWxKMpRrz0)=_>1p^~M^&*xE3nAi1QMtOQDLGC8bZPxXM%ekz3 zuOa`mS=ZweHQCSLV+r{+%yqrC`sB7Zt?Mm_d~zR(tZ%n^jisRf7|Xq({X^wgmXzT} z0t|t?7#lw3L)Z=oDMd@|4%c3g9G?G+U@pw6&G zE)UNxa5kNrdNOVO(}qv(!_m&7(i?V%YmA?~#>;<4%*PsJ)B~x@X^eop#5F-iJ&?IC zDdUkv=l`%v-0*rJZFUx2N0wq7XDQl#quuH?LawGgC;ja#6588yMz$d!%KC~1yeSYN ze$yOap~TKBI+WF=Rxh1JB$3xCl&LKj{C^=Cr~^uj63B%tS_9KX)#ZK zKx4+^3G#@MbR%I2!Z|2=O)GNdU2x0M4xA;4&rt;7_nUAsu&ESf9ZsCF1KCo{hSQ3eKH2I{?ooOYbAdl?1^XG?t?DQwe8T z%6C4$cPFyF`Mlgx*8keAUZeEANcy%d*56m7m66fz$LQMV)m6yPi^lH9)v~FsXlWLz zDWbL~+t;BO;~m-;6BVCx`)i7Mu95s6Dv@JJ`ZGw@yJ&x1hLY@>zmOX;@zL|UkzKrY zybn=vJ-<8QTuz+NaI1JCx2C-!Acj66tm$H-lKXf^nZs$?jkz4<#R{Zh21vQ{)(jN= z^HQ>6w=7sA&b5kzkvNE>6@G2Kk_oEoenI<$4<=c>uW3-bG1sP@a(9yS?~rnaHmb~iEju2_HFZUwabGtZ2!Vd`Ne2RqmyD#?^()j|~gZC8j^REc<1>*BXDkc?^o%G`y_w3zSs7A{4S)Wj2+rv$t+?9pspM-UePik;%p_S$6NK9W9^Lrp1T z{ub)5zK&!aO0BR~W3J}scXJLQ&Kcw6=lKsQ^ULd#8Lp3Fz5|D;a!Af4QuX3vm^J4? zK#t19Mb59G{MyC@={4d!gK)AUm~gn?@_FL(apjYHL*IaKIF&q&WO2_5M&x{?a}u4g zg3e7R3msqxdX0ARh?RRXd?Mme#OLj#bB2<|hC!oryTi2?WKiSxEZdW;_h#awbRG+H z4&FhQW*zFpc~9cZvj=w*pR6;O_$)^_ybi+ihrb~zw*3gw@N}Z`y>}5saW&gp2*N(( z_o;tIRP4ixNW(cqbw5F}VN>$q9)!a_{0!l+?K=E&wqHdW?n*eke}nU4J)&BMII~?m zgLfZP1B z8as5trB_+z(mkpn^YX?1*@eaqJparim-o=9M7I*VzB?XY1Log z^ZazZL$C2UaIR9E$Om^Z;n&>UU8Edq34`I;p3965s@V|Fr-Bav`JIkFaotD(RR@AQ z%tFU6s{`-ygo9PL=siF+t2>nO1pwpzeSK0>zAWKjHMREp&lJbg&Q1ESro2=k zz~C`}zZlQ`>ZWhEv;A<3P%d z>SIX3u7(=tJcZO;^+pq<;xBH_wL3hC76bCEq|1$+E9?Vw!*m6-#$_n9v^-)9+21?Dr+lmzEA+ zHoSlu57&5dv1#}=P<^tBNz~1V5ky*;ZD21ot{2)sBy7$HFq2^J^_dR z#=5Xz?l{1n&GjFy^=Jck+v#5kg&+1;KLA>;;w+($>L|i~D&R~uA_gyLkA&ao@z&nf z7r{H;zNyFq@C7b-*SNSFq(*ov9y#(92OC7b$OWnyNm9 z1#4*@!0K{dW$woR;QNUo@P$oS({5uMa5hS~{mqVmL))2@sc|3cd9g*xi+UUZpW(}2 zxR%gYCFo>6LyX~XxfExpOTg*=4y`?1x#4M~14chjt$xP4X84U1f7u;MQ#mtWbF3ZY zP7h+<<33Y`Lu!Bh9C!<73eFt|^x5E@*s}pI@Wp$VB^2$$)#>Ts`ZQ_|=-CN+KnIHa z#@{*m7jqwX@4>$g926I6J6hkx7d0Ri&%UZ$aK&yH5dBH-$2vLziWMzfQA6VC75W$P zaQA-YJJEsTZU!E8c;K$=n=W|I;CbkIPqJ(s1=M}7pLBX+I`Riv*QPj50~P){kGhfk z^+bU^iIESU<0}Qgty>mAaR+F*iiX`KfX3Zt_=Ugk>R*K8Zb|)%lEG)wL=pJ`f`2v*j1udbM8cgz>q#ARq$c0<3D^bc3)5$(pED%SA^yc3@T`c3_- zaXzLv@WRJB(FIK4d^~yK;KDb}P@E744r_IBp@of^6_~sWD13lgsO*zALxB(6{y9vC zuj%`@LSLJ0eo*y@IS#Eb!hol0&2Wb(hQ4*H1Soc`SzbgAfMPTcDC)vGXHc%{DBDZ# z>bSf>e}m#Johx|Y?j)th^{x6>^En9_-+|-V)HNfx!LBbT6)b=cVk86FzYicCf8ekn z=mR_PH_DR*Cr=i%7#XfUJAi#B22rwb-X-Gk6P>okq7x+$f8`DW^pgO6K+9&$!S=*9 z*IFZKBTpjEMo|*mw_%sJ>Gu-mwzk1Jm#7u$w+-_AE@w5-DL@?)&xFS>;99MNr}Z7i zA<%95;|IiAT|cdz^utVg-J>{9F`)InU766tw5c@#1bEP~wgq?SdD)X%Ykknc$F+un z-`v^)nHwiQQ;mh7HP)IygPu?A4TGYuS?h=2(61c9@fZs@&(wdomh+zI;Q@NNN{zp8 z_XPb{_qw1>{Ve(zSon+2@E?CW>O(-k+_Bf7Hd}-~V#EW^#`?H}t28xqPXz(GQ-QmO zf-p{ao@QQ+`$y#f=<*#XsPOkk{a5S$c7@aw?^Ld!h^sQt4-^+9K6@A36}5xAhGNtl zewLsIbf6~lRK2UmMd;&03Mgab0&glxFT31~L^cbilq(=unqxKo3+=fPSjT!xfY{?4N9O4EfM=LjSeFg|r=$ zv~nE=^JdUNKe3?}gD3vZ)xSCl;w*tZi*pZl7oV9vD<~H{xwCUM=;n#*cRDf*x>|w) zw~mmx!-bv8D?eD&rf2pw^*r>!cs1$(4(p`fKkRA-93=2XjatV>cZ^0*&>RS&ZcT5$ zG4ii1UO^oOpIuczaSA4&=XIgbYz@8ZZQJx)LFkCTc{whFJlOJX_5Gq?3vvmoLAYWc zcAc}YPza!?&m$CeuQG%@1mDT~n*GHFv|mmC;aawQyD9|GOS`t=Zv6xuBra@w#-u(g zM_UVSndX)pwvEcPl@8Pl^M5W6S|FCs)R@CHerw903xAm&R$VdTTi5ZE*TrRhHSDqR zNLuW-jb`5xBBvZckD~oUF+QQoqz4Kt7_M-UAxA!k_Dyi=4{8% zI8V26w;Wd={fq&rKt?aMi{HE2Repi~%q91l9tsU7V^IEA*uBt#{;6G{I^MdiArVK? zM(GifDC6tPvW&f-G4ese zq{o={=3|P)L9cc6-S#`<9FLhCxQ}iQXl!quZ?7ZU1G=^>a({~b04-m_4)i2Pp-q_# zr$t+%?3tA+w4jgLMaVPFNg$v9E;?dajh_){c&zcJgM4 zNc}|2K8=>I)(iF4q7~W6<@-#utzMKi0J-r;eajvMXpB$Y+tc4bxxdFQI>xnzNif<%;`xI8TcHaf^fTrR5*oYFj)tTc`Y{is z9gTN0bg*}i5YC4#5${(d^bj zD9+tou+X08kEDk+1hCG`KRkzG8|C zipG|*0!7C`?=dWCnd1P>JzCJl>Na++B`q}2=EwrH*kVxK!4`dTdQZuL)nm|>bz}VE z`juS;=ZUf^_|l$;mFrc`t)wf^NPGHZgv1&r#vn31q?uR@bQG!n+%C#+`O|{3FDfLt zmdOC=q!#cLJN0fbLti8bx|zL1S^u}7FLLy8K{?L^+SN=?3kRfrf?d;F>d4_SuY1q4 zhf?=I53`GV(QV|*lL@7(4dgl{Nh^+YjuV-Ez-9IwLBH+j`>QBty(i!AR%x$xbekS6 zsiEXatFDc%*P%e`7K9pK(qIww_TLp+WWWW7tp9u;AXEJ-m**!8%W=yux#T_$X=e-I z^JPcbtC#2zj()0#lEcG{ujUYxF&0HhOyp2)N1$WPH!*bD;Pw4>pC$-p8?Kp$IK|Zpz;9@*eLV<)O6t z)F$4|_`c<#^jwNn<{bk&PqutRh!;SI?-l`tCltJ2e(@ChbtcmzqOC0HAx(TGB=h+l zw`%XSROY@P(obr0FAoTOK#@suz%v6)yAAE?jw1UK4hV( z(@R7qct!Bfae1Eji`Y_9WAjPjH`D%c*OBKx@z@skN&uSqj{dWtdpUY`4<)^CvnSp; zL(t(T*Fd|UbNI2){*|Nbi7PpVALnau-&@c#opvul&l(ZkQ)u@zrg%r&S>?^x7F%qf za|HdJqnikNhogVmp`?-P-|SzJ1W#JWHa4VC5q5OOVDpj0AKQl{vaLtoF%=WBlkI!IJnn02+BG{oMl@$C7)_hI`-NsT98^0>hGlE=F& z52?Q=8j_lhj5Cb4miAPI-_p7^mpfQ7VO_#Pn!=7C(=$o9=If8EC zD15VqESr3h>xPz2N+bJJpnqec0X@bvt>glfwiB5UlIT|cJFPvm+d7IBNLnNbhpT!h zPw%n|o=5jkO6H~ZkGt;BTWARAMM7Jj%Q(Jd+`w2OP5_|H?+Q$~o3_JM}q@xva9ZfrVCH$aE?zu^$OuhsY2&O!)P zTfxXU==r_ac-q@h^@v!zMCf$ji*(km%51t>km0^1WpJRE#qqszn<@)J4JJiT`F-NY zVT@y=_DTr8qZwIvw(&(Dj5*cPFL zBwvOoLAeq|@*s@1BudIa2~Cud7?7qNQC?`rf0+c<1w?jd=?y`TA8*}K7O;=2t844uzjcJzN9Zk?^ z!8>JMDCUrKwkS^Fd#lg}svJ%nXTH=5E@Vv2=x0VH-oc;h_Z{?(_hQ#knbipN#U6X2 zq~~YGpZj!}BOsmAX-;E0rZ;AXw9mTGBu{QeH`BqJuXhgP$roLp>-A&VnlmD0!~1HW z%feW6eUDy!wjjnL>%RG!CjD%GH}x`B-+XfUK3iuus6*3fHfAEzJID2`A6qiK2S>^d zbPmUh{tjZJ`sLefRQ3!8nQ^b%sL_lcoGxX&nU}oUsIl{ny`ZX-V;k#5Q|mf8cE0q- z;jeO-TwC35+tRhBR*Lw+^r?!M3kt%;I0d=6-L6~@JKNu3r5K@K;$P<>^X{jum&m)1 zd+1lY(D0TI_E6$0Ur}22<2zjP_t_=#cbMcab_|Xse}lyLNlOi~WhHU>g*+cN*@CWS zSK0{@3X0?t{k_wUZY?sgEejmbIP$hUkEl@=u}5-wmqYB+9BB*c>d5)E!3G zE~N5wR*xq*6noDTyvl!`Ry8{ApRreYoAH6%gSo&%7h4FSWmFFiytB|l3E?{5t`Zxe zyLu=(UupFMHE!7kom2C>*|(0%=#2xUiTrj@n#P{pp!i|luX;vW%|^| zM6r#ep_CSB<-%Tr7K_O*t}E@zJiXFs9_;S%X3XZ1i}DsJUe#VN9C?B^!<%>`dn#WwedC>I zAIjdmcz4NteY{(^LJ{v8i;nZ{1a@%}y0M{fj#i5A#b#*i35*u#HUhh}^6ngemmX57 zF`)5VzYG-n{H9Bbc}bTON`easm0vt<%fAliM<=kJOdc^YgOv82U&tidPRbzV2UjSN zU!wRhplL;hawx5H@I{*ZCbr~&y#USn2ZtXzx_^%rn$WjrbGeYu8J1B>Yb2isx{lWF zL0b?|^^ZWHSCB8ABTbfME;B(ys_dZD1ZI(n+0!&e`}_pMI*k3#!4N6!^B&R#m9hmy|N1&PmI z$^!H(=Rj@}J>5~=?|8PO`v~nBqoCbGIGp1gG-bP6@~BH&Syj)JU1!1oeUqR+b+qiG zL|)|WQb)HG+8Z6+R?y)4VnOe5+Ls7=m!q>fl$f~mlv5*doG`3Lq2lS|@>DD$^n@K^ zk|BSCufhlpCwuDlmo)#tQJsJPk)v-D+CY^9_L7uk--+~M89_I(D@*AXN9PJk{}b9g zi5Cv6aRQ}|BnN5=D64f7r4JAKK|%lC(T@rGRY#{odaW3qL(N7Wbw4&yXr@aaiF|c= zvVWA@bm-HO-e23lQW`vwi>$p`my5pu)zTnq1L>_uEzE3;JD0 z-zO+G651?dXj!2HdR?!CKuH;BY6&RwO`z#9fgWhZ51L;eM<3R-f877Q$CszjoqqhN zU5uW0E)Wj;II7;&I~>j33A}lLqbCW6_c;0wJ(SdMX-~XETU7D`+QtxyEZP`C(Z%5- zEa=SFTzAy|zT83x%{9+F?dWLMLeMQ8{Y6jvKx4-BCsp)rqbQ{VEh7iqbH5=^``g7` zYsD{c z*EMNl?UwgeB>KGBmmXS&P8OYPtw_KJ4$WL?`QQ z%htvdzUp)B?r4tsKvS;)?{wN9NNmwEsI{Nxw0dU70!MS4i`d@iXpV`2#(30^B(|}6 zc<_xiRD-nA*GL-b{(7|Y=_?c4Ydvo&hiLVlsg=eWvmOdg%z&pyTAu-5#bcW)T3V3@ zES;qLiC%g^SF#J#28TQspi@28P8ztTOM20RIMqnKwv8-=P}S6_9@{2G8+gj5LweDJ zHWptrX?Y)uM;EXStWVx$#-W*bJP;rHhWfN z)n?C%9MGH3c6!4vF0>@Wimpc3NON#sH}#%jZ_x0%aWdDD`k{%%<1cT5WH4Gbp&cOb|?FB z@QV@ZGo{sw`G1|Gi7{hct;l)>I8B%I#z?Y`n9cEAw0YT5nr$Ar$f4=O@}>_l7dSa> zY<(^;R+K!`Y&dpza(#larI(hs`2=M&c93;`&$oi6thLSB$lEOS2_|Y*YB!JV0`U|A z)!F4`VOA>=vQM}4P8T0>I{CEN)b*`SGVjC4cd;&@ zjEaLY2ZZ&w-LBkU$y!E2AM#M$U-?aYiO?rKw44M3S1wL2A@m6k<%{nb?~-3_KT1O5 z%j@g_>}(pZc3j~+$51#&XnB`DB%y!n@siIxfu`jX*$h^mUzRdCWQp?pyo7#kiBNs_ zQyv;6cAKST>E(Gu$2rO~&KsJz^3=3WRX*dSpLI+!IUjqs=`fN2&C!uTP-+SsbUwSy zo)@W1_3Syd65pYfP?>c_4$IE^21k4iO-qE{A))h^2)$oI@j6J=)^;b}l7mRq`i2Y*U1ZN0FZ~BX z*=NkV$JkZsG$>`k{ay<#xkoMsSUUtQ`7N}d(JHMfXsl_`6*R1?fx`=hmRJVmJ5xA3 z=p5D-lvSkgB`2BQ@Tgd8n&_iWyN00Qxv^R`Y43Ad+J;2Kk1M)?WVl%ALmCR-D4Q1< zLaJJgKsM8}Mv(F^+eLX_Evo>wv4RJ^PS7nJy-Cnb9sP}<8#{Wtpc^=Pm!R?W+j|6k zq0`Y>kD>vAA%5QB$N^O+$14i@qy>TUrSGJD)X_Br zeaO)lbZFEQtbMB|)4%2T8!J`x1bbRE!4#(V#aY^lL}^E$#ZD)R7J!;E4nffqPy>gc zL0ei&V&cLtT6!9EMJ8H1JPolsf%Ym>MwfJEcH3$jkQV3p&lbLqZE#Fiv3NZNgF!QJo2Jv=y*z} zU-_b;v+N)D8+-EQX*0XH53-K&THz3@N^;E&{8)1{JhqruS|l76IIYe^#0sj5g?4AB zy-?8I9KEQD>bjJ@o%RBu-PO@Lt?uiOz3<=daX^O;vL|wUj-Y!u-yI0*tTMEhdJC0& zL1WM1_CkA^)1E7QcQ<;-ULmxXJ8k9-zLB@{g+s{fr-Hu0`R3XUI1Hbe8k%0sMWpOB z;rkZnyOpH(W>2ru*5`-mUGVZ5_D+!`PkY-x?tArO5lFz=xL5JPLO=h za&&J&&v29-Xh|D<_YkzcQ=`r|(tE1pZQp9%-e@THbbB+6nTE?uI-q+9`V&XD5cGOS z^-Q#@9o!Flpm#X>IzfNw=;ngP$!@O_^w&#u|BD4Ik(MLK~;A^|S6hR@v9Tl-AFR{CXM~*P-OIWkB<389;Mf z2bA$5(3jYiy7N0nHx=|IN4FOA8b@CtD0|}Ii+xYN|LN#!1^rh?7YKT>qga~cz={C) zVm%VYT7bS&&>uMZUO~U-=!XRTrlTJb^e9J%_dJNL$+t*-yybhg+p{*pG`=HDX56|q z@ct&>P7XLjn*O{eBG<;<&ZlW)94!U|Y zylsswP^@!uh*ip0R8fsB(r}5;&hs=Z5_GtaNS?xbP5&1(d^z>2_i?_8&T&-p)+AZ< zt4XrRVe)j6QHk|T^Qq}i_G$nq-VZ2#Px%G9gQHo8hN4&ZXsH)7>>qd5S!9jntXxp` zu_QX*(Vq!AyidlPcuL&yk&*-F>VlpkDE<>Do>S7k+tL3cD6KxU_)~?J{Id=hl(D!( z8H)pbM9@_oWt1&xVLDm{gM)!X(4cLj-bLzd)VpZOA4N-6cio|1lv+J`GZ5(Q zu@*P?`dHg7lCn9TUTwR#b5!laj*j-zqKE%*xx|KzB4uf#cw+4r_lt!#TJ%MN?&P#T z7c@re&K0z7jsC(pmG&M~tzcvqd_yJ;xeby>}aFP=9B>bI?BTLPsx>*usy}^u`#|=|UTMQ!l9A6aGt& z?fb%^U;B&9qAcouR6qTI&?uc7A6a+mv1vab`06?KQ5M=eh*^8}UZZzV_g?$y2khta z{jtcmUKYdq0krdYt+ey|_c(x_Y?q>x#fJ8edtEx(XV}WgXS5n*|yp4Q+i%k(sVrIn(v5 ztuHBafK#=;gw|;zyU3m3})8t#E!x#wGh}JrF=QKwdO-YowRcPNU=-B1E zow-)<)z#4_Rnhg#2xEtJ6eY%9+j_Yoo%D2()joD{S9Fe}O_D`A>BS?f80l4+HAxm( z#kjrBY{!^xlVp*Sj)T+RMza=~6-}GkBw3^qD{AnWQ#xC?%$g*Nl;}I8bV6oVRMBNu zlKsr<&G(Ym8N8T9#A``8;JZ+>@LCd`h*hh^60>sCHy4bb%{5suqV;4?W}x&*K}WYT z84j$(9dEu!JytxAeST=7>QIg&lHQoHxv(c+(6IJ7CjlDmrp`deIHI<(Q3G^dI@Uy< zD6t)Ayy1VmpkXzdB#U$=N0N*IQ5JRWQy)C$g!{4Uu}=3oDdUJuIlR`>P}fw|$=#h+ z*Hz4ORJA&Ey{@T?BxSofhf@V@>qv`sH2D@eH2p1d2wUCkLy?F2eQlk2kxH|_MGn)o zeH-td#6+wI$9I{ip3g+2clqw))Z2^cw#HEVLv_zF#%Xm0af}F@AYNv)rep8{?S7@Z#2*HjB`L4-x#S6Xhf@xC2b6dC+DYc` zP|NnvP&j59hjJv~7zvFuKJJ|LyCzG1gXKthH@?;r?|w}}|JqYEv`jKptxSfN*t4%p z4ijAn4UKxDUtfyzfJd$;Mdzb#1;>%=$>%H;Sx-K{L_N_oes+n_k#!x;sm+J^yHOL= zN(AQ!)%y7nf7fn3+m)Qhvz};vLxQbLD9TbMp z{@$LK9?I9sGIZV&@7^n+Z}!j|obv+`inbDed@txi`<`L@8M_DJCXtWb63mU#3aU^Y^s|y;Q?yL@t z^7*Mf@phC?E4_Y7k5c3GZOZ5>Yb=ZGLFZb*f?gx&){b5)=$4LNFX-lu-YDqH9KBi4 zIgZ{c=xj%SE9gd!-X`dVj{Z*2mpFQ-pzAsMdqLNA^lm}dar9n6*LL(iL1#JofS_wS z`k^dUjla8x<0<|y_%t+^Fi*Geeuc*llTaMAhl5R0 zHwo>_oP(Y)6Dy0Z7uw*f95#0j{fx3kY?`wADvmgJM&(dHC1jqb;a?@a>}N(gI==pT zM=uoGT^!Z%$@z}ziltbGrz@cXJzx0l<{TCY8nK-#=pIg6=b$U0Vm|A7dnffFJksw7 z-w!z7<9aB%evmyOoihd9%V{&m(7wUZ`gcHbWgN8oIPF=&H%^sZ5B*$^a$2P+E8s}Rtp{<2>vD4-`Ipl3W&s#mVEri3Z&fx?}*}I%Wo(c@# zSam%|INahK@`O5QW8Qz`9xXriwtsj``puAQ>;=$0#pgQ5(N_q1xuaVOdaa|o3mV@z zdAXohI<0;)B))v|Mxp&@r=2Tkd=EuA+~BnOm6V$t-BmbT;OJI@MlLoH^cJVxSUtE~cb=&FJ~;^<64Ib{M4 zYYWOq1}NhY*)rVe=!SxF1|76>1ijf&TI=L+qoZ31y4X>CgQUeDf$t82UgBt;=0T1* z%>&vUg_bco&^(g_TFxW^T`05{IZCUVX}G{q+T}!Pv*CcvP853%%KG9&|F5IipG2`u z&~jE-q9-}}9zp-X(f0}ZT}S^~&~G_O1^t4fpAwXBT9S*V zeMJsU`-&VUNBhq5w!4jf6>p`Deoc?jFRUf)(0xsRJ2|w`+oBKCW%M@m_cqt#6;bBS z2jIsF<`Zz&v7i`-sgI24in|!e!B#Q2V)(f8MpoIrD=0S<3-zTcMEVzqoLi&C1cEQ%bK z=X!yi+QKct!@b%9I^HuE@Z_T3O&y*1*odV*#!t_h(93!`=IIrV-XQ4aj$SJ0_Kxb9 z`CLcUR&VPlr*x)Gr5{AB^wkrk9}lV=>eil7185(&D>=+CexUU>K<6)Fg|@EwkDUP8 zGDPcC=deg}5o4q}@*i!0+V!yZ+KTLMIUpA~M#>Z81Ke};mZum8KUvbSf0Z`&HRs4F z96}CSn&USUbc>{Hj;BoLe4>oCDhxg{Mqo+ssk@ zmd#bAWgH}m0M@O0H*vdRd>mE}*$6C9?E51<5jhQ=QQ;$DlQ=co= zb}&1abtu*;)IIZDPe3f;gR5u$E>FXW!nf{&hn|ghPc8anx?(AGXONznYHIWrRa2v0 z)ca&Q{;-Nk1=(rIN1sf+?U30bkz|ycqC2_Ne=f99^8M&e__V4e(SNI>|0uCV|Lt5s zV+>EVBy>l;?WyU%)k{-HZ=>(8`Y^IzqV^$5vmV=ZBD1iQ$|3B!_8Fq5Hi(7MdeK($ z6{|VXeX@GVH$AJhkn`Q1)$NYwK@bd$yZx z#b}X5v`%fsXldu$iqWznp{*D#a%d|?iyWqE#pv_QW^dqjoBlwtTA=g_=)p5bo+y4g zC_Yl6zZifPj~ov8*U5o#KG0mlNgC)ufa2*TEqzi@dbx?x0|v!wNt9KfOQ+S?9&=jy zBgtWP|NXdyCOXs6`vs*JN^IGh^7N?Dz%zY&(7o&e%@!Rr#_ijVh$1sq&=#2@o#;E& zcP`{y2edKI&~`)=J!?B6ilx}0(T=twqR8P9vo|x$dSKs+-N8mb=;+#l-s|Xk zg5K$9+YwPDiOo()V$YE;BU_0w<_gM)S)y%6MA6BneMJs!KTeUukzQLqDYfMbj($qe z!yLsU%9{1GqpJ$qY+sQty&0_0LXl3>zNURB1T_htfVnBOBzvKt^g=-wG>QKyg>&*6iql5Mup^X{yK~_h% zvM7>lHmcdEW~0(7kGu|~zJBsLu}1Y3jj>Klt=wFA{^#82VLzJZLDfv@YU5#n>z=k z4Gx2}()C=_SLD(g&3YU@mvRWtyzOBs+LyDmv;lj2x#12^_)5($a ztnI5S(rI?G$l)BZ;PrKxv1TOKKVZ4SMyci6-R+r<1%zM+jeLmEH{1WL`pVBW99}Ic#tHMiu^OJWoG~P89-E8*fVc&cAe_Jw$ zH$uPCg~EVT#TR=YvUl@~#A{dx?|?$i_mMNLM|oPG^w8UkBIBEFDDOt-;gXv8#%P3o zNkR|tv_`0YKRCWj{6sb0_&QO9mUknc@kLp77iB(IwsewKP!e*xU763|7@MqIA$(Ooynf+sp|5~QUMZTcFwTpYbN1|&ApRzZh)qeI| z=TM*f)RCq*DNOt1^GD=xV^5=qdo!o4pVC#I+qgkE#JJYQg2u>=a)=QYT~{8fEAF7*Vlwd5d=?F>O9WoHW-qXp*)8fU!x zRM1F+e(CRWFL}PxTyjhrE^{-!2zVQ`9c8R9U*g=XA>nF;bE3`4|tzR*WFDa_bA{VKBmb)Ijm@p3&4vxV<(obT}> zhXb9%_XUj;SL>48NcjHN`DSbc?`8jJTlA}oi%oE#`i;k{9DS{If6{vXo1^uwPi`Z$ z@s-FO1^uOS(C;>0=V<-An6D8I|L(MU)gJobz1#4=WUM86TbgaO>cao^d&+Y-|>9C zpz$qHm1M|aJ)w;+jMi)6MnW5>Y$%7jJiYog(*Jg}UN6=azVRhc)siUVwT1TH5o1#= z3H{YCjK()g*Ac$)^;Ff8koqj4z0cE7|9Yy{fY9F;2#5QfLp^Wxa;tMtNj_}$9824o z>aNGdF9SQ2-c?#!OHhZdBq(Dva9B;y;L8Yha$sZ%+F63$=jb|u-tFl6g5KdMXLu#w z+Z~-P=&g?C*l@S;ow2fogv< z`qCF$XwpUx@DbrK(>e6hH+`woX1|5FqaTpoHt6ua6KM3Ew8t7f%k+Pt4R1UB-=XMt zByS%udP0vAbiSiaW<@$pWIjcaOs{p?M`cT=a;QMDC~ zh8m!I1b1{=-QB#3)9UIKT1Ggieb~ThwZ_Jp`MQP4UOxO{tRnm9pi#S(Z;Vys2nMua zVbn&&$dkqvBLai0e5#j_N`2&&aP_gwE2P|F6mXES%(~^$(u{KhwA=#Kl*MYMMUt|e zOvcC=Zz$V~7+w6Cph24>M7$YNzffpnG*riF=ZzSfwk@F#i-hk&=Wvmr(Z1zq2Pu1( z)7CXgwQo14?Z-ag8^S-wu6PQxA4?lnqiJ8$K6IyPU(tj4CJW@zY+sSXv(fgw%FB2V zFG&5Jm__VozA!wo|CIJ3+QPcerSpYxhQlRQ4)ykJkd~L;UADaIS}fE6ZL?xlPTQ=y zCl)PaJ!SQFzTS4L_ARhfk+QlcR&S4Uo`E;t;T$w?;o)iC!Xj!9FlM9FQ;7a%{cEpN z>6x$KrKy^_t;<3EbykH8w|yHr2bE5}<*K3>r9mFvDy-4bYhi!l(D`qm!XAU=4VgW89-o}lRM$Ubc=x0>~gI#h21 zw4W98(sc{2_93k2BB@znAF`KZlL>7dh{*ssL|NomCTO%RX(vI$PPTO*MXTF7kRk_N zgVEN3l$sTmtE~eWX^l&LzFXG_v~?h*p2b>;whp9dR9gp9HRm*nT|dx=o*eb)I)jmF?*udSYHjBBk9n_obY+VA?AGAYU3iww%WMJ zp{+J9a%iiKi*L}p%;sg%(uA+vRvQ<6(4Iti&~3GGkxsq$rE6r{YU5&IVGWel==qVBIZ+t+L#_B_U;>U($E{`sOMgY4qVJqpNoce}W2?{=Z1&*qj_`{=P- zw616M9bR=SSKqH!=P=%T#J2QuyF|t+Vjru{D8wj=j$o{7Zw~jP!V4PvuA*FrM=a4^ z)JG*+36;7zl_j*DYm}D7*vHy-a=vPJCMPylC3DR(U0>1eHZ<1heED7qYl{gz?5PsE zvi;8Q1`xtK7TCSR>Hhick@%)UgdT~Kciea{zGf1k(7zPEXyQvZ$O@w}IAYIUJI(*LGjndZd-^7=LBJ^m{qYwGJcI(xML3LsJN+D|gd6s>Cv%4X` zzyQK!q8Y{R21@n;do%c^RL91b;=9By?t}EC)I-?8Ib`piRK3FF0AJnf8ooe|(m@+& z?*0ee%Pu(Ns0?WMoO224?HY-5%m+DdyuMH5oL&hLTbvxByKp1690P(jMj%fW4si;3 zJ;ymR1cyECB3pVkd7OE!IgS(7^V~By#7PXfuNySZw9vAMeaCuY`C>1(`hMF%a$GM> z-M<>=qic>M4e9TZi~a0EI`tgaOLGg+lGrV)IgWG8>*tMgs%q*PYkOe%BSB;L>BbUU zoNm5}ptrhw^PCFu_E*j~$0b1zaJ0VfBKZ=*+wC8#kMvaJINMpz{f(1@x3dPMhtGgr(M}&>t|GPW2e<|k~qtu zpA$3|SiZJn-Mp2ezs*}Iy3@Rs;v3al^yaM;=`7FQin%Y( ziPNvNpB25^x=qcN7Y?n@Tlyod&s*fs`n*LB;s3Ti??{$bduq{hZ++e(v)1P=zT8yy zr3dMwkEXAeW6-1REfx;{UjP6A0RR7Z0c4W9O9Md=#wRg}iRPguz6fY4ZL9>rLWIlR zCM@2|V_| zXAC*1c>9A!6K+3xVay{DvwKTLJ@QhD#BoETxaCc zQKK$-WDH^X!eh)gG@+dx?7dD(lahP_TucLu^kV)BYhI_flA{tzM^NgE9Bs#7g}0CS zIGkgm4Ulp^$|ZaOyO+&6V*n!fH>RXOxw=K3iK z{fI4MQ9xw)hjT|_FYiA`2^d281dICZ#yaWTT+Xic$-60h8a+&3?Fa7K%BjEAw9f00960Aw*BT literal 0 HcmV?d00001 diff --git a/triple_selector_8b/db/triple_selector_8b.cmp_merge.kpt b/triple_selector_8b/db/triple_selector_8b.cmp_merge.kpt new file mode 100644 index 0000000..8364adc --- /dev/null +++ b/triple_selector_8b/db/triple_selector_8b.cmp_merge.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/triple_selector_8b/db/triple_selector_8b.db_info b/triple_selector_8b/db/triple_selector_8b.db_info new file mode 100644 index 0000000..a1982c9 --- /dev/null +++ b/triple_selector_8b/db/triple_selector_8b.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +Version_Index = 167832322 +Creation_Time = Mon Mar 07 10:23:46 2022 diff --git a/triple_selector_8b/db/triple_selector_8b.eco.cdb b/triple_selector_8b/db/triple_selector_8b.eco.cdb new file mode 100644 index 0000000000000000000000000000000000000000..6612017e10ee479d46d8c5c373ee2759c1d1f0db GIT binary patch literal 175 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXAn<&r(5U7Pv43 inst1.IN0 +BY => inst1.IN1 +BY => inst5.IN1 +BY => inst9.IN1 +BY => inst13.IN1 +BY => inst16.IN1 +BY => inst21.IN1 +BY => inst24.IN1 +BY => inst29.IN1 +C0 => inst2.IN0 +CY => inst2.IN1 +CY => inst6.IN1 +CY => inst10.IN1 +CY => inst14.IN1 +CY => inst18.IN1 +CY => inst22.IN1 +CY => inst26.IN1 +CY => inst30.IN1 +A0 => inst.IN0 +AY => inst.IN1 +AY => inst4.IN1 +AY => inst8.IN1 +AY => inst12.IN1 +AY => inst17.IN1 +AY => inst20.IN1 +AY => inst25.IN1 +AY => inst28.IN1 +Y1 <= inst7.DB_MAX_OUTPUT_PORT_TYPE +B1 => inst5.IN0 +C1 => inst6.IN0 +A1 => inst4.IN0 +Y2 <= inst11.DB_MAX_OUTPUT_PORT_TYPE +B2 => inst9.IN0 +C2 => inst10.IN0 +A2 => inst8.IN0 +Y3 <= inst15.DB_MAX_OUTPUT_PORT_TYPE +B3 => inst13.IN0 +C3 => inst14.IN0 +A3 => inst12.IN0 +Y4 <= inst19.DB_MAX_OUTPUT_PORT_TYPE +B4 => inst16.IN0 +C4 => inst18.IN0 +A4 => inst17.IN0 +Y5 <= inst23.DB_MAX_OUTPUT_PORT_TYPE +B5 => inst21.IN0 +C5 => inst22.IN0 +A5 => inst20.IN0 +Y6 <= inst27.DB_MAX_OUTPUT_PORT_TYPE +B6 => inst24.IN0 +C6 => inst26.IN0 +A6 => inst25.IN0 +Y7 <= inst31.DB_MAX_OUTPUT_PORT_TYPE +B7 => inst29.IN0 +C7 => inst30.IN0 +A7 => inst28.IN0 + + diff --git a/triple_selector_8b/db/triple_selector_8b.hif b/triple_selector_8b/db/triple_selector_8b.hif new file mode 100644 index 0000000..096c9ff --- /dev/null +++ b/triple_selector_8b/db/triple_selector_8b.hif @@ -0,0 +1,42 @@ +Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +11 +936 +OFF +OFF +OFF +ON +ON +ON +FV_OFF +Level2 +0 +0 +VRSM_ON +VHSM_ON +0 +-- Start Library Paths -- +-- End Library Paths -- +-- Start VHDL Libraries -- +-- End VHDL Libraries -- +# entity +triple_selector_8b +# storage +db|triple_selector_8b.(0).cnf +db|triple_selector_8b.(0).cnf +# case_insensitive +# source_file +triple_selector_8b.bdf +91b7a41e9ebd47591ce44c4793a9f2e +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +| +} +# macro_sequence + +# end +# complete + \ No newline at end of file diff --git a/triple_selector_8b/db/triple_selector_8b.lpc.html b/triple_selector_8b/db/triple_selector_8b.lpc.html new file mode 100644 index 0000000..fd4875d --- /dev/null +++ b/triple_selector_8b/db/triple_selector_8b.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
    HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
    diff --git a/triple_selector_8b/db/triple_selector_8b.lpc.rdb b/triple_selector_8b/db/triple_selector_8b.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..8bd163af4afb4f0b0723e98d8decd3aac45d3a3b GIT binary patch literal 399 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXATNxwJ2q2J#(kmfchJGl&f^kmYd9FhSJng%? zn;zU>yxgvvIZ)usBW9N9w1g##PxDn*W?MyT%JHh0$iF?mFI}n#-$sq zdH0&mJhD3Zb;HD2XPzBe$Lv4NH}j-Ho8PRSM|ZDJPV^~uX#S_}o8&snW!tC3u2r&I z7yFf{e_5>aL7IW#|Nja`p@d`xCN%{yrbi0m%YO*CtIJ=n2$v6!58prGeEq*UDQqhf z9xzPi;rZh*OS;3+>+jq>F>{?w&acZ$W;}haaTTK^`=Ln=JqAV=DkmHh}~bWdy))Y#0}0Scl2{{giDmUsXF literal 0 HcmV?d00001 diff --git a/triple_selector_8b/db/triple_selector_8b.lpc.txt b/triple_selector_8b/db/triple_selector_8b.lpc.txt new file mode 100644 index 0000000..a463804 --- /dev/null +++ b/triple_selector_8b/db/triple_selector_8b.lpc.txt @@ -0,0 +1,5 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/triple_selector_8b/db/triple_selector_8b.map.bpm b/triple_selector_8b/db/triple_selector_8b.map.bpm new file mode 100644 index 0000000000000000000000000000000000000000..35e96e94cfd869191641f8cdf3d466826663ec3a GIT binary patch literal 702 zcmV;v0zv%`000233jqLb0B!(300011WpZ@6CZgmR)0000007L=+000000HXi^ z000000KNhM000000C)lHRJ%^YKoAUX1QZBCMM*({gu}xR=#XfrprE%x;=#xZ}# z2k--Y1sxS2!0b3T%Mp;FLPF%E^WKeT_h$FjF3YmqXfztHV*kn<6TL5@cSr1kOpJ+v zQ9J(2duYNK*+Csv#mvd{cf*r|!=vM{xkAJzf;+1?0TEQMLYhP#JhX}m_mk4?Q z98b@>GhV?qIZKS}5qTmbJiR`IDBEBNfTWQ{6oO@=f zh;p<(==#b_v%ohCBv53TS!fM4Q?0yas%MeoDTjJI%@p5Mb^3=)XASDA=QW(@witoJ5^46OXuv)81EJn|YcR?-Y?!^4|j$$ea^< zjx||;i~XcbaE68r&C5G`Z>Tned$9L^lX7cn0`)g$ZP(LeMKf&{H5AsQhJxltpc)Ea z(NchAe{c}45u+vw8u}Xwy=kkEA0PNkF={BIg9arUevV2vT#><^twbv*JKlD`NrM&?F0RR7Z0TO3p00L$pRt93H0N;2g|4=^{$Dqjg0RNzncwa|9M|ant zco)YIM<>T%SI_wwDvTg43<+S8fq@A~^8qnPZ-8S^h-ZkWzn@FIQ5MKh28I?e2~j2q kWP_A>c)GZ_`k~tN@mp~P$S4K|9WcqjU=5`K00030|A);idjJ3c literal 0 HcmV?d00001 diff --git a/triple_selector_8b/db/triple_selector_8b.map.cdb b/triple_selector_8b/db/triple_selector_8b.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..238609f6edd3d6103e82d3449db30acbc7e876b0 GIT binary patch literal 1821 zcmV+&2jch-000233jqLb0B!(300011WpZ@6CZaz%_000000F?y*000000K5VK z00000038Pa000000C)lHTw9A2M-*;v(U=S3Wr+!iAw(Zk#Pu@SeGm%#Ve@nH1M(h* z5JC(jDvJ1^%OWA@3L;*jySldDS6!$3Oik5vbuz~3Ocm5rb@f-LPJLaM)2Es#%d*^2 z6vZ2tZZl=UYh;R@$Vk{zY{@+-s?+_*F@C?RE*j75!DW}o+R`|+X$#P-oPyzP>bE?& zFc>Vat_&_x=og5axbt7deF^QI2j(So`Zw`GSq{-Z6N_st_ha?`NjbXX0&4Ry@am&t zuaqL69$uh6YyR!zNKOBm@TEZfoaH-!Y=T70bbzIV9h?a zI4m#?d2O(hf;O{+1s)A~QrOXuCqpIGLH z7;dytA^CP@j^GEuuj?x>_ruEbi?|<^6kmB)dmSm2!gqMJ^1KyOK7`v)$}c421NGk>ek%%AEr^LP8q{I`8({?9%$|7D+< zf7oZ{m-@{7llRN~U$9iKAc8`}=qz+5`m1|fA{hHy{fypJCN)f0-?oOk%P<0Her8gp{1f<)aZl0%*-LLXoPyNOO;r7VB7bjehQcjIOags} zuS={-&8zmJI+hMK`@2S7))y6@kHRvwf6&Q18kVV@aSnMuEK_^F9P(ILrgk$q9e zhx{%qQ@_qRVQ2ErGazsw66=)*X`Qo>cM z4zJ5%hyU`zeqggRH7Jrphn!;@fIOrK1V zhi2%XvvQEPA=EBz7}3wcqWZyjF@j+_jTiQ#O+PsJ#^ihcfyXc-t<>jO&FUHF+ ziM$;2&2H=ev0FFz&n z@|7nq#>?7_dGVibsNF8S`2C3S0!KyC`hu4`gZAqX(hp_kw&O*B)7D+$1s9Tsd*-yl z5F5j#t}p()jPU|D!Vq6o8Mes~Yu8_|Lb$g800960cmWb;V*mn9AQlH=XBVeggJs6RlhTQJ?@-!vv&Z=6ZO# z1~~>fdql!?M9$S#Fk}X+N1{GerQbKnAL;6G(Fdv9r5lyuXu|t8)m*U4md)n7dek zJdm$JqHr$&t@iN@4&k|Ju#XKK-Z?;7X=D{3PsTe(I{Wzhxq5oWd-^XhshPyhpbS(4 zG8sg}O+!=bjcun!vJzKNRKeG9(W|Zu>1aj1?&a|pu8Be8f5FY%GNw) z2V469s6-CCGK9_7b3XNQfNeejR0>N$;OGMf`NgLh{9FuvKsg^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/triple_selector_8b/db/triple_selector_8b.map.hdb b/triple_selector_8b/db/triple_selector_8b.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..ef5ae304e15eb6d3734568faf3ad4cbfb6a572bd GIT binary patch literal 7018 zcmaKRWmFVU)4w1Mk`hZuyCA)Ehs)C4EwD>SN~ef`bgZNZ(%lOzEFg$A^gF9BpAt+SayCOduvbRVE{t4U;0&(d(aE^3}f({}uzy ze=!y3zxbB!zvzc?n2n!8-{aqB&u)!{6UIi0$tJZ;EHQVx>XB$?Z$vRN%3EJgPWb6k zwG}gBn#{3oi(byz*^+3E{NrjH4I>Rvf(ALzf0K<5`4sc%r_CM}b$+E`=3#bTPqmxJ z)>faEz?S_3lcQ=M#o5Jg<<;nEBdcHo3k!?j!*9}oSBJeKl5k}rH`CU44z+IQtG`zF z*PeYvXBsiY%#kFS?tOBOE~wM!Ljo3WlQ70&?&pZ#$!19PbqWdf28xNGm@`U$Qpu3F z5h~=wIM0Mef59KrJLcKU2+KaxiNMnPF62}5Ym#H$hF6zf&)i<%n{IPesW@qe^v%}I zXsSOZ?m1BBve=CLi|0`(c>P)T_k{RXBF|WIV#KS&vyuR`Q*D@|t@IwT+EQZLo2XzG z0ao~2L{?3+AvA+7xzL^8VoT@Y;dAvq*@em2Tn|+g52+awQqDPa4zmv1hOqnpDavd@ zN5M~LFq$_(wfh&1UyZCl&D9-mq28-7U*R__!D*Ckb;Rn*HpJr7i#Dw|&jo_ z8&Y1RxAOme)%^gbOSGb2+Ybvlr1oe8MHA$-BaY^C^ zr&iy+X<0DZ8#FqVw<_Y2&8WUIXgMXf1 z&ESoWB;+V{-CpzKbp@os8*^90$IWoz8;x?4FJ*T*hv%>ofz{4_)%#J(watsR^@ym% zH)gHx&)u^bb&FCF+|QzPdivf86kYiEb0N;6*A5m=j$R zMShiXAvk~5LAc1pC3l8dnwbd*HiIiigWU=S-m)$XDw)V>Mj=_?l3*aI zc04HVIkESDsHhZIh%5)Wx_EoGe9;*!yJ3r@4HP+GGag&-9yM$t^?%`&gP%)iZCGG* zNgRu{61N<~6CF=sKN@$aiIp?@X%42TXku3Pu0>Tq?cS!p#g#hf>#jGeGl1%h^P@Gn zV@_Q5D}YRw$XfF0Uk)pIqGaV8Xsz>B%8N1(U?{1M@ayMsvyE+m%)$Y}h|F#vWUt4Z zXmR?KY~?H?+`3glW}UeE6%6ol+)ORnJ#VmFTs2-xvlJ;Kp0|LG(sl_3mm<9r5Fe3# zamzmFG`rzAAHe@#_XAdi#>>6DNu;LCV35Z@nJjN%p<9RF>c&Vqt&-Nb3ujw2%x}={ z_LIwB>kB_zI<1%1dvUF^4A$2&(FyYypRCwT2ym1gxFe=+mJW z3}XPLjI8n9nn^)!8QxjPnz_*g2K7Eg<45c2+Uwxy8b2Z(MsM!%65g%@5s`pCT=2Ms zX(3PXWQ0iK8&%ogpL%&j=i+fY9b=!}8sTF}^4ShuTkeXsW^ZMW^J zJOHH+`Sc;9ahZb^qhf(hnCkH;lK_2k9i-G=<(2S9g zZ0=1Fz|A+~(ZBMwPs?L}fF|#s{GjjNmLy~-GY2itkEf0l#hBdVz3Zr)eF{2bBCQ@4 z-%2anf=F!=l$)$)r`_)64D@%&Ibc=AJ-WkaAfqL)O#sujVF*>XGsnbawn}kJa)f%I z7O#P_T_fv}XaA2_0@2q-dG+6u_ILZY7n3baj%eB`P=0yi7V2AOdJ%?Lub0osP*!1* zMC2{H%gghWU!cClNg9qM;L!qqe7Gt#?7Alg+@T-6o6bdkuc~-at@_-*k!8Hb0Ni0o zb`Md!_?p|L>F}-nf)3!X2Kk)|{|ns=W>TtO@dio;##l)AK%JOdKSrts=G6mhqzPn_ z6i?E~s<#Zi96Z709Ip+E0L9E*WC`*J|AhkMe%{^;?O_KOf$tL_JAKPujMTJK_~4LO z?Y}F_>#e6pdHwA4%=I6F=;M=))P0I#hFX$oJ}1-ssArI77_c#_pg0gOJY-6T(PZ#Y z^W#R{RC;3JIjV*f4i)M}sA~fnWvPi~KQBlSI%aivpr8U@hrEDBcCkaBUCNvj`b-55 zva%0bs3enz5Jy9wmn{InIg$i6j^Hlw_b*6284_`H=7qNBVmya^DTRm0srvih$qjp} z3@Rj~!z1JlC;53dCHV8y3Oa+RbIVQk_{y;?iD*Lb8*BF|p^+VN`fIh#5J+_@`~bSi z1v)bT%)eq4#SmyZ;6Wsv^!I1WiJ>;I0}woB-1Y5rr_`%{Q%1m9w;6#De8{)($5gI? z2DU$4c*9m2{YzGop?+4mVWk~4L{d6z(}D?Dz-LWPo8>9KGm!da>I_OfBS7`n zYY)9d_G_y0aGd2`oHF+lX8Hsm<{Co=Qek_k9lf6b+d0tx%|^J${Z@#Z5JiNi)ZyHo z!MS-!otJ~r2d^rYaQua?&;9iVEjUm0;S^R(`95ALbOHC=pCg3#@IfH~C)38ptN{-%;GG#szv69m)Nnp1_~7?xJh`m_!HL z)Piol1l-V)CV&^%2W7E8bc8=to_e$85ab8lv!-fYZN7V!Hj0D^Rt+3Gw_0zu0c@}; zg1V*^J0L+7+?yIk%3;VsHxxKK&CiU@F87s!3oWeB1Y!!^Bm#)*K}In@zNJH7&LfgM zp_|Ddn*n8lD3iq^M3Ny>R%K$P6*K)l2vNC`26tr&LfW1Gl3QU_USa7AI6#?@E;Uvw|Q@oC1Dn?UCj$fA_hDQ(#Sn)|aigvsOTt_%>t_7DpA6krO zMi5DE{rx<0rSIyRVq+O3;@v2xQ6ubcr_ViL`R!cfO<_NB26$yjBcupyFv9;J?X5&| zc%c%Y8=F_N)N8!UkAnnK#Noi3>d|AH9BcM9MU$yKC4pUiF;-iaddIETX#gNjs|MJpq=N5a3n;u!s9o$IgAI_u1D^w>S;BG8EzKGtCHI zljWv0#9Dm%9xjdvm62O>+*sg;Bh+Y7v)W=dCsp0tLj0U|6J?!{hi`dT`}o{=au>Oh zn`sEW+fx^jvrCpb3pQ8MXgYa36ig-3?|x)NUSA7y1Z zJ#iIioHk`nL`7NOpwmHT;c-%&tR0x-zn4>VzNJM-6)2^o?0lgAFw)?4lW$3+>-<;b zJu1`P@I~07xTg8di4c)X7HQ>=qf>MHK*j}yRq-92iVFJD=T2!tCpp1IRku(|tclb={=mR&`(qYh-@4&|E z!nC)?JvC-gub&M>^A{OGd(&pmr(jK{Y<8NcP zW;M1^N;S@AaI%lgBoDbFXAG(czUby*cK=Gp%KMi9aPf*k0- z1R<6c-(FCl+%N?ag_{Pqf{Y-p>2OKt<``^KlPL?n1L6XSA4Q$M)~>wzJn8-Pr-g@3 z2rgIx^3X|X>+mro1>OpSJy1rWm_yhIZv;roAb$sKe88lhj5PJ_ve=zzhy4w9fenI{ zO)&4@aJXxhRd{GQ2W!ydig}5pN&gMVBQ(w&5Bzo@mW4ls~h%f}`VAh0Z$*MCAFU?fecVR2%B{yJXc4~`K?2vd0(zB7{#&#>o%P~)C!=TXUPM!8}sGeRQ5Ge)9wjEV1b?>fdlaMgsl0H}kg zuuh1nK^7=|i7=(7XD%pi%kRTYw~DD(-zubrM%|@VK@?-L-GoesUnh>u%7QJ*6a<_C zCa(pPa=Hy;WoFH1wI`=^t!9^&5QW8c?R9mRg)HW(?Fv_G|MB(c^+SI;+I3(*HkPTnxHyf56<7L}rw zk!6V2gq8@7+0I?ZP{2;Nq~EjC+U91Df*5;xS<_pF!^TK%-K}%ZPIdC6AH$B^!%g^z zeebr}`<@nWNXi60{Oh{mR-qw8LGKZXmj%_8k*>F>A&l{$Dq4IhwF2ZZE()K^Uf@q` zUb5l1eZ0x-8PM+9x(qw|mA<3M1d6k-$P8Zl@e2{w9(>EVg}lM~z7GFsxZXK!;kGpE#rO5~M?`#&*)(El~YJ*d7bKt zYstyF$}jRWx=`7PsuWj`P6?>L*vR&I!(n|IIr^NjSkOP)CCfuA-#Av*OTx1JUn6S~ zN;7a=?(*9g$prm`e({=^uba459ja=u@J8>VlM`~BPbFGUo#~|vy^kFBxr&9B-wC9h zwxBhTJ{bh)jNXdzhA)#MDVep`ukAk(Kd7ZX+c>7GATAxnl3T<#{*h=b~wpRyxomxwLS?JIW_G;t(?U;iKoWWk0k1k=q zKdOoK-8UFLSnpF!&}`RR@%TrT5GUK*@Vl za6$o4xOUNr&C;lv*Al2i&6s4{;iU5;Kp(;HSJz6@$dGPQBF`s+)3j>jNe1I%rxbOD zl8&10USFv`--imgx&>PvS!|=$^)Qd}ufiB+x)5AlADnm^t=`3GeQ=9p;bHg9DZD0N zSj?`gG6yeTL_nVZVeYx`nJfwNQ>R>H;wM69TDma=$7uZsKXf#4Q{F^MnF#{5{>=E;G1q!md^`RD8ve1NpX8Kv*F(*iC&p7)i4 zu7vv}YCDLCFaB21?ypEKHQ8pFV;wZ&yy)My;eBN6h>{S$b!r=x(F{Jahw!wL1t0bI_2e^HN!+CN z9vq%51-+8}?R}b>Rwc5+8 z$YjVQZz4*xgZT#{VzrM=sZa8Xb9QBX^8Ked;L2LZ7>m;Sm#?Rq3#u}{hiV0twO97- zV)4P@JUdN*+r-JpV}Zidoj}Z+W_I$2$au#C-Aqm^|AmKNkfMhhd)O6sQ5EMwYmt!5 z%!yk;G;#>sWL0*2Yo9~oa(i^*BQVXUNyu1J5@P{b+);h@MKD;&~S5uA6XD{X z*~8eP+ZH@Fg zKEq+stssJeF+P+m4JW3GVv*?>w?S@+#D;Eendt?^hHd9DUfLwXdi~2I(y?zgbn3>k zc$P!g5-T*v>iXiH8zuS?!TFtYR1(OEi{eZWr%aghr-emX_x8Iya>#~O*PjBBRI8)F z;8d#Z1F_!7Q(LlzFT7c zpoDj>xN^Q!^Gs3v_}WyUy)i`bxk&$?Ny+QNp5}PQ&a?IV&sF2c_%IR~P{Gg7CnJ4Y zRY`xZicay)J%Jm{qG{p}E=^4$+kN;PT~mBqdmVSf;VNmWp0d_drq#Fe^}mEtLsHtS z==9a^{__>^_~?fbq_3e&sq?%&Gc$9qLOmE}S9JOObvzN9e4qdMZ#uv8uHkHLyq629 ziqBn!6~CG`cV%g&4qS(;lD_>CUJFG44J7}qu`(xC~ z&8SN?xlpDfa$>kh>9t0Bv2A2Ek;YvzM|?6fzs( z?H4~AUN+9ue{X6MDCg}>n=JqHe)JUT;FLx-0bhL-yhi1x-YnWmrBw-ab`a-ehJaAf z@|1U!m{XSmLbltSwyKIzK6SCL32?m3h|aTr6jbabY)K%k)zDmw2@-p!@SYRIOVFl_DCsmh`%7?1h1cp9IcYI%e^+6*djb#S|;u3O7lBhZqja3Qp!5FY}q(jJz?n z(G__%md*tQz2(@RpWg$9w{GL3JZKJdGF37a@vB>n9(8?pAnm$!m{|Ou0 zwLOUbc!z_n+fUJ^)N@4WGy^dC=M|q6{le%wZ-6`Jd@w75&*1zmzvTDO&=^t=k#TNR zW1-YDN3Y)%5z-O6t2Lw?K+RX1%9tu$Lk=whz8}W)d%&>aS^|`WyVL!Nt$<7Qcw@5t?zJ`1H?+_ zq6;+r{$=Y4XE{#QMb;k90EMjvJxc8{LQl*F_2?Im{HZ*yZR|N*AwOvW6Waa8WTy@#uzX3T+-BDNvV!C)GH<}uPYOo zI5E9F`3VRFhYSD;h`)zm%Y7A7+GoMv+H0;ibn_-Tj9vKrv3&jU%%k*cNr-Io)X)6Y bR}Qjbf=-2MRZEO_&gzIn_f4z+EvEkgk7k)~ literal 0 HcmV?d00001 diff --git a/triple_selector_8b/db/triple_selector_8b.map.kpt b/triple_selector_8b/db/triple_selector_8b.map.kpt new file mode 100644 index 0000000..03a3968 --- /dev/null +++ b/triple_selector_8b/db/triple_selector_8b.map.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/triple_selector_8b/db/triple_selector_8b.map.logdb b/triple_selector_8b/db/triple_selector_8b.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/triple_selector_8b/db/triple_selector_8b.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/triple_selector_8b/db/triple_selector_8b.map.qmsg b/triple_selector_8b/db/triple_selector_8b.map.qmsg new file mode 100644 index 0000000..67830c6 --- /dev/null +++ b/triple_selector_8b/db/triple_selector_8b.map.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:24:25 2022 " "Info: Processing started: Mon Mar 07 10:24:25 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off triple_selector_8b -c triple_selector_8b " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off triple_selector_8b -c triple_selector_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "triple_selector_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file triple_selector_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 triple_selector_8b " "Info: Found entity 1: triple_selector_8b" { } { { "triple_selector_8b.bdf" "" { Schematic "D:/projects/quartus/triple_selector_8b/triple_selector_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "triple_selector_8b " "Info: Elaborating entity \"triple_selector_8b\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_TM_SUMMARY" "51 " "Info: Implemented 51 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Info: Implemented 27 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Info: Implemented 8 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "16 " "Info: Implemented 16 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "249 " "Info: Peak virtual memory: 249 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 10:24:26 2022 " "Info: Processing ended: Mon Mar 07 10:24:26 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/triple_selector_8b/db/triple_selector_8b.map_bb.cdb b/triple_selector_8b/db/triple_selector_8b.map_bb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..ff17d9a70b21d5104ed0301673c43ce19888ff81 GIT binary patch literal 756 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXATOlXV2q4(P2%$BZAoLd~UBUQflCR%k1A$iF zElda3MNf-qxNt4E{Fq&<|K-O8CMq{Rs~_0&Jt*4KqxnWjVCs^eA1n4%7?pWx&Xq{s zSN^YiUZ+3HGZDvWD}SD-whaBFs`Tn`r03RV&1wGwFa0bi`#gQYW|M%!x!=NXwr;(e zmOK4yzfoO&ErX=eUHv%ak8OVzeqnmP_t753v$o4j+)vDWdM>`Ko?-jh&@bi}+@BQ4 z^L@X%+W*G!$v5V`zo~v)iszIsTh_e5%Qn09)9l+tVz&NDdtZC1&f@s*=$l^O{L1up zPl!Dgb#rRYlCO*QXy~8n{sg0%Rw!t7a4mvU8d_alix#Z_Q;)dTT4o4-<63x_b(;I( znB|-NPR5x&vMSkcRNCafU3cAHoz=>#<~&@!Lbh=3*L_bTuG`Nn)O#Fb(YUyR9TY?V z|5q>yB_=a4`6-C8C#8!ouSn-V-%z3O_LaDhh~)d1(hrIkKHjgY&8!?y$Jo)pRHwij z#2@NNOnIT ztUn=>kuH~(MiIp25Z0X^5SW89(7o=XY6fBycF)c1dq z;^sFbm<>9!Bs`|pcVtg^|L~vvTAKt`p@7r{2~9USgPw5)9aEb!lW9|9qa&M-k--Ya z%n3iwz3cn<&o6G>IYou?MiZqu=ebgv4bE&&(6{($VO4GY)4d`$BrTb}I+-n?Q$gTx zE$5bN$Bivoo-aFSXSShBX2BYRO43d?f%iu#Tg{R$qkbAuycfh zc=-fC+=5&@LR`Gu+#(=tYj;mOD{GLZg_Q${7o`0bq;G8rQh?gQ{-r4&|10rtd6NAX zow5FlwUqxwZ?uEV0B@S!0E9*#TEfYe7qz4NYC9UWa?%RUSHE%P7WWoXFXjY{5Jp2@ zJ+M&)6QIaW);4X!?o*HX*_Aj07bakW$w^7;t=5j*WZ&1Yrex;eWhl4il!hEBdo}OE^9jUh?Ln9+cSyuv)#J|2^*HD` zrk|TD2P5Ef&sLAEMl*$5kN-g|y|NPbjEdE@mc*Cuq~g(2G8Z}~A**%2kFnDT5i8KA zq^|PNd@FD}WxY~+VvuDu#o~ohgBU~g+&PXPq1n;IF_u_h{b>10By6klBz~BH_T;-P*kSefWhOUQJ`boEw&77?2g~9XxaV*Y8XYNz)5q*}db$72$ zPqWnIW3J-Ty*wQ(Wp3RWSdP^|Fehlf@W~0Ifk07 z*O2rjGUTt9T2tLg*DCzls{2}s-=)BtHOSOw>Wz1Iuu++~u#4yo(8g))4UQA&lBr5A zer;Kp6Vz$=U>hkB9Qu;p_+>?K@$Bw{XNXyZ+u^G?5b6WK-yxo1G0^|03_^u!XZGpq zwH*3M&C#JF@o_OAQMvvpk}ELyxJfSHKCqRK9R+FRx5q$URT(xh>X>m_ZiHuXKd%LR z_iqG$wii4*%lD>0dDXL@P@JaJ=08N&wF^WlKfj8j#q3+05X-R=pXXE zT6vbN@2bBS8~k&>VM`(>Q;CWGq0l>#XKDAYx~|yZ{eRqgBxI$L(Yo@zYgf& zO@^mW^snbDuTIWsQXG5eTQH-XvMf>qKij&msma$Wl>ws2;RbI24)T`e$xSP%5jt`^ zUT=*~0l4vW7YR!L58MAE;{Rs*9}%-GS7up)5GfWdiR|C@fb-H!63R@j#|Fu{Y66;x&~|*I_&a)YlK)N`AtYj1f%!4 zyY!oG8ghtXn<5tTc0N#ia3bC`=(Z2j(?@4h59bT2udw&|`MbnLGqBi4Q+PjjM$HN3 z(Ne93xmo*OaXG~vwM4ISBCk5?UQO%Ai|cX;4^1^T(@DG3(rs(mJP~cMrbdZs=ydMm zS`^Pp#Lz;R&+Rd<`b1IN&AuyUQ`B;B}%@X021O2ns8=}BlEMkBBK__{~uWVWBOO-O>vPAtj$#WrqGXr4A zN`{f3X;*)W?FJgM-+8>BuLdq+i*)?%wA4%^7<}Z)P4hHKKQGMR<^jt3Gh?2zUi=6a zc9Efr{=6MNH$CjgOHRN$QegO4#RE9Tj)!9xB0jlHm67$IpTtl34yy2oB< zn!WzHbE(6mCj7V5Y|HkO$!23by|P?5b*1MoNd#W zG7jrf#?%q-d^qvjXa#G+z4dDr-$gT@m!FO}N-iLmfLvbndUB7=ep3?2k?wI>jVz*` z#Si44zD7KTb0(;h^Pz@|9~I1-#K-hl3i(c!=|} zTmoD>*i|`-`Cc71%+IDOrY!R2MH@P|B#%eePUYBK5ea+U{E+p=3t+PrL=p_nPK49) zo;?R``L?SIo)G|V>4;6&t4-IPkY~b|OP@M05T+}XE22NGP;{~4DS<2X&@{p2mm#-k zl(~ivnvg&yu+UJyM)1jCX^Qez&8rK-O!+6ag*zeOZEEbnH@FydLmhbA9Qz;(31kI( z4fHSZy2r;ZvV%L&Esrua1|V5U@aIZB86#S7;rC3Yu2IwTsRJ>b)o)eWm;klohT*6d z2!xmStcaJF1)TjAPAg3a(QvUhZN8H?iSJ;;hY5giY@48qxFHVmK5-KwhXs37<~J#v z2OS)R9_5Z3?7Z%@#9K|qyK9bUzofm|Q!=TI^9=>a9FvJv$tBn6w54@_ySb%1a3p{kAJ5pXa4G(9Z`~m7OTXzh?kKFaWM3&zVJO8bB_NyMtoV^N} zwVrh8$8l*?+?#Kcje$waO<{ak{q$T{rp9OQ9p?vqb5)jou1limw7!EKyS7*MRM?6d z>tBoQhP%+lvFE$pzK_Y7qPc6izS5bs9vNa9jq+N3Xv}NHGtM!Jn~eTCf-BvGzMwap zu`oF?hja_fd4(C*-vfQsZVWpa$NqWjhx_&}fJ z*bH1u5y85|S}53NsK3>ki28wlYRq@4n0{2&VZA< zlhg7XpZ~yIgGwFX<7xIJ%yhkR`vvP_*&FLYYvZQx#8f+2By3DU#A`l(mQ#D9(T?w~ zUY^}8sEA3Q9T~GCcgs{^p4(L$rpo)ty%p%Rny+wlkLwgYg=#d0}UC#?A9AFkXET9rj@h1n!9W%v_L`Cre3LxB&yyW zGSjS7(Ry%I;+B3XEqwZ$H_*Y0YTqrD(MCS_2p_rlPpK+UL*A^q~u>INU|25vm*P+ zcx&V!72n{c*3hCD{#_e8v*(s{O21f(&ffr=-$77%5a%R#5GG^&Abslx{FVoKh%>fj z(vg`lI3gx58y#NLsv`JN5r@w!glGGj0^}W9$TyjGIHPW0`mOf7CiXkGG2Y`Z4)8IW zC8xH6p!=^_V-WcGIpg$(0z*m`@$mh|!9@i3Bz9lfG6cRu5rsx9tLE|z9%Buyjm01A zCnm0CF@l1P6u?hRfX3(^C&p7M9GQC|C=~aCxGV#+r)<8Y22Ks#P{!Ag)%##^vf4X~ zCEoU(z3$q4`t7~{gQHi^!q|A4QO6)3vh>uHlgMUvsQ-Gg&OMbNHN!K{mz3p2^Vvlj zhqtG5LV_=@F!mYWxwqoJ<7lDbc8|5e3fN4r4QB?>b#iWQVQ{2m_e0j?XrD4*jTgGZ>Ci3VWMz{e7Ktw#QY0oBGcYS(ga;$&DYM@U6ZO6UnLbg3cRIQ zmiuUcbaea388Kc#VL{NjHdC3Eg#=y9d_RI#grI#6<|>puHnEcU6KT8bhNwcVOuZQWbrzb8h7>ePQAjdZO@ zT{7d}tXL0}fLYQ@a6DJxN7|4>K_jb9Zt@mQ7dnXxl}E2N}S;{VzN=2j@aGe}vGSYoPbhl%7pm1+4JPemuN zRDAh!C|+HgR%+?DN6XS@$z63}(qcly?%w#6o~j#Z$#(JG?jXf<+-9k^#GWSCo4lDX zakH13#jBTW`t1ihedH!hoF=47rRt`shPwi{ZO;!Gm1~5(uxQGRG+clD3QadR*}MIwSzP zwc8qT_^hx+Jd^mE!+t&(SLg(C`mjkQ%Oz&3ln#zs8*FZW`+4?JMCZ0y=U@0rH;_~7 zUl@}3lu7qga$+^^dYlkAdSe~qNQBlcvHI-$`*TEE)z971h6U27^DW|%^tZT9YP z=gf>tO!S^l!ni2D!uWE{!xOgJ^04DOpa{=%+E?tEFP!BNx-6 z^a}dj-`8G}Pjd(RFulw0RF&U;fjX0P z=sqvvjZVE*-Q?>%jBiVEOdG6{isM6Ou)4ke^IFWg&{hz6%Rk)>-R}LK z#hP6xoSDf;am|nWdrR~c z)QG8hHv`jIGuWYaas{WcjUvTSeQ0)S)5VO?@`FWaSfi#VwhbkF*-Ic$8u7q&3hQ z5P1J=IPA1HUyum_%>6PKs5(U!yUq5eD3S<=j|rnAo=L?*HikmMOW=cWE6GNZumrN`y_=EWuM>7 z&(ZsQNkdS-OQ!cc&xB#Gq*wfibF&4^!zl+NN!%s8n4yKbFvv>B^6$^AaQSMB$C_#^jS;)dn44DdoQpe zU>3X1JfU&xr%Ea^LPz>55cWP7b!|Vo5;*3Day@o?c%*d^n>PzYVU3__oDOHa+R7TU zj*i=ahRh_q42lgrgd6N>X9MsafPPeEjo5>HTj($KvdR?K1}ux%HIcHj4CVpg^n49_uI zm_u&Wd-Eq?2zT0rs#ooap&1F;ltwphEpSpGnj{tOdlC2vpQF3?1+v?ha8w@jpw-#7 znqHvXE4Z0_an>4uF9JY=>rkt4i*oJ0mizsCze4g5YCeKQP7L4-C5(+B z%oM@TPbqsIO?GmJm$2)iq#218_+nh1-ItQxb$vQNCqDlx@%q1BTnU`_SdpuCmr6aE zLzxk}Jb$%n?jg2jds~Tv>!}3zI$f|i08NpilJ^a5I)2u2VF^Z(WkF&~!%J@CYAsc1H zg$h z5)GU58>(h$mQ6mpiD@#rrQ5}+0f{z-y8?AGXB^2Io?`vZxMwWn-4zD991?5qF%6op z3vA|l+4`N~Q6P5a&=IFL#kcsCNbvSpFFA&1RBZw0H_Z=U;)c)upoW)E8gg^?ZhT)8 mz|N<#?@6CZfGe00000004fCl000000PO() z0000007C`<000000C)lHTFGt{F%X>z`%YK{5*H*6AaQ_9$dHHwZt@j;0v9fD4}Zg* zGvt5-T#&f2FXF(y?_0?5UXN{+t+B^$17V3HkKL|%sEgsvQPHuFvq^CEQRptG5e7cnnFR}MOx`GF$lMd->wXEUED zVqS!<9CSAGZV~e$bmgG4nI9}-UWBe3^lbmz#?lF8Md~pt9<>>{-I{OVi_R$_H1%q< zlh=53kR^nsUX6BgOE%iMzq8TKJ(i7j_IWni*=yNoXT2|C9eKWZTz~fC%9DqwTmy3g zVW0ZT(H=W~;$$5_4zkL^FUODp>KX%f^|_j|#0*f^7_b{4*T6Cup$leG|AwVK;{jW; zmSLd2AyLP(Bn);l_=k#E7$`Fg?0#r!z;0$gj>F@<5<|FS+XxW zjWee4q+?TNQ%^c}RU2EdH(60E8mCPgi{c&LDc)Hfo4ZS5G2S?H+E^5)&T+e{jrWDU z%a}v)rOBTy>Td`3AL4h9v#1zS9G`Uq#stBFRw-ls8KM(>X$@oiE4|Jdhtz+TcX$?sk}6gl|$6b zlhD7f#-}g_h@PGary7XsLNx?O;eypKCKFSQ6>?U;B&x~Xi$^A#@I7;q+s5#uBN{e@ zTcVnD;Z+*9?F+%}Q5v_M3&HJP8n-Ts!48_(zvN#$?cpW1h?_Mz`QZA=nS_H>A2_4Li9l_8ZnIwiPWo-H#OT05T@ zGu@z!T=)`$QS@4c_dsUep>Fc#B@VxKDo@{BsySd@2XOic)9A`p;f<6aZg*t9ly#63 zu}=(N(D29gwZc#KLEl$JxZuT*pbz>0J!ndWmurH!y^;Bv`X1Z!A0oc5jd1xG@c|ED zYLMd?Q#|8`_RGEquBq>Qu_najZNzuJ#1q2tJ_-4-K7xB6!Qr>w;CxMezaH_O&oiO> z-Om9c4vKz@VY@Fs%8Z@WL;cYU@O)NNNikNCbd!sSK8hbK76e8Sm*E;B%qlzaxx&}E0 zIeSFHbVSb8Rxo4+tK>jZF9c*`xMN4pQ!kKV3=Aw_l7RulgDDMmcMNfj_j3*L@eB^B ze52mN3RcvEq!i{_s8W9y*9of^FW?5N@PMj|0@4CN46+@f&OgL8$ajykd3@_=QLJpu{^5s*5#&G68A zI(P487I5I}02T0}DDw2b)xGx)8-p@XGzN%KJ?ZT4>omh<5eqxmIX*yn00000|Nnsi B`8ogq literal 0 HcmV?d00001 diff --git a/triple_selector_8b/db/triple_selector_8b.pre_map.hdb b/triple_selector_8b/db/triple_selector_8b.pre_map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..4c19e867416b229ac0f2cd21b7a03fbd519d5454 GIT binary patch literal 7160 zcmZ8`byO5k(>@3Y2$Iq%EzQy$BAp5>jj(hGEFB9X-K=yh-6@?4QoFE7NH@rWba#G! z@B7Cu&YXLmd*{qN_c?dY%s-b94GpaZ4;}5(Ka>5pH?RSFIJkad6zAngF89&&7y&XU{j5?MeCq@9{2Q@~ZjTPfNYX{GNX}{3X|8bz9{h<60 zpqV}YFJ9C97k$z9VSc`}n-KVH@8>>9R`daW>RGp9HpL_54GAzFSgxy%iAXETYdAEvs?rKHQatvLg zBf2$YQ9sJfNY9trTv3d{aMzKnO!`=zKDbWiPc2@S8S@JMYPN(*>&;SH>wdy&NNJxa!l4OWm;q;c!jDZ?#4=Sk%qN-vf+%YBbpV%JFMvl5pp^_|2=Ta4C}<%LRjy_LVrzg$GzPFL|=oxN~Z zxG|soc*Z8mz^<{mMXNh9mo-!|!=V$4Yh?}_>~gjmXL;+843K}7Oe{D|DY!7r(~^?N zx&@*-tLN&m7tu!zu<5^QLSW8s#isx}S=dNbHL_U-0WtM1plXNQ?m01&IB<%4n#!)H z5>li3G)_0+ec9Xh@P7d{p#4;`JxY^z;eg?W#RO)@S@|S}OH`!(a*s^HrvyGL+TOe^> z=5N~T**H@SX@J;)W6`6z}>Hb-jGBAdD+CON$X-T9aV-sOzgx*S!?8^DL2^$I;3 z3qL$VJMSB_!WZeRm}I#gy;X8nb-r6S)GRjsPW0e_wzLh@!6RIARy|vr#|*zkKhYcN zl6dfgdMzX87W?yQJ|ef}I^Gt{nBhoK1f}W@pd}aLV{k zm2Lre)MZ~Y>xTQ{a!!UOjFi1hA&aNcxXlT5K*yR5Co=gxy_n5pGJ|R@h63S4!#%3J zoP0md+iNw3(!|YVQb&e7UgNuK>WCQ`tFrC$&C=#X!y~FZ+8Rh8hC(?c5Ug;p;Y1~I zR~+a)Gta)c7ixLyc?+JLXJ?%W7-Uzr%S)Nl4PcFcf z!-s~hasDxt5GI3eP+<#jAQ%QQhVZ=o7s-b|SvHxTJt|XU_1!KI+;mBNS7Cz`$Hc09 zFrDlrW3B>3t;5%3!s0h^7wLQa4qcpcCc_5=_hqh35$U*IBM%?NARFvuVmBLIp0Yk; zXB@ML3cNlq_*C*4y@vXJ;w+Zmn@4sPJ5FpxAZ@#guutj!s<`H+RlXZ?9|# zwEH{iTYUJ=Jcs0z$%_AtVQ^W=&Vu&$%j)#R1BS&sa<`?Ne(ahDw|!Lm+xUW|Ms1B6 z^8f~KwkuAiXk)BqPRq%a#%ZVZs9)`}bx1_8{2SY;+2sSxor_@Fc5vlyyI|knG)4E= z%vtIrGji?P;aFE^KXIsFIQ=;jstrm1QY?lLqShz_sv9<iD zdP=-ds5_&r%j~d1sp4yGgn~JFco!31W@OqgrFrS3F%ZS>Wva>3PXM?e4u1&2{yF1U zFpL}JO?8uyG3F!x4ZRZYo_Z2O*b{=ob50ge69|}`UCcw8Y~}=oT~}1kh5}BBh*k#O zPHS|LFMDWAyz?B5%4_+Z5P3)hvz$Fl{pezLj=bPFRr}|ui4CgZ zy}jtz7L|*HjZ3-g_yA5z1mztFv&*r}J5iiK)wF$^`Pj4Ye z5)>nfx~cXc!f{Xw{)V)!wh}3ilgK5Ez-wBD4B(Gi+ypk{AnnwLJiwc|)~%_?#=2CG z(fJ`>r*^*iWRrzt?hCsMmqVhc65^MCuiu7bAblyLZc7D}r(l0nfVzF$>l{?#)KTr+ zszwL_02n}YClrU*ZmzKnDCb|rDz7v+)ULobN23hJ^@{z;g}4kxuUBYoQ⩔h3;9S zVgcaqan31FJ`91`y_$w3*I@)qPONa`Q)hE<{ z%(s}`BKT5jYePsH-S_Sf2a$r06amiBz86KK9bvQZ)n!iE2R|6M^ZO}#HSz{}9OZ7) zf*c<5bBcoWkPXDl?;Iif2UVSN{)bFw477VHg9l#arjG-%ufp$%aRMM!Cf%NQZ}_>z zi57p-?8?wV?=%z>p5Qpa(E|(bHo2J9Zb5EeDjpS;WVo1t=szt~YAXCL{u1$MM$0mU zv`aiYD>a-@_2LC_dpvPF4HH=O3u)GGO}S%=-#B`$?MCFM ztW-CGR5uNAfmk@oOa~;Jc^Eww3c)kioQ2xYbUPm{$-ZJ>nTFbcm)o6z4Jjs$l;W-- zls}s+JiI3Ah*#P0{QAfmR7_B!W`(Do(8{*qM;>TKY#~mGLpqdz%7}@%YzVOf06!;s zwK!fomJ%MQp)~px+7xFfH?rV8tkChE{_(4VasBx9k&AH_j%Z+jZfVqeqP3ialT1EcmZ|m07`|F*qQc)%x%0#kC673q= zlQ+b>N*aDo(yvR@yO{g7U7yTBKrfqX&xaO)33?o-l!6Y8}>2r2!U9(l6hjo zYX>SFCPT5$qdF-4FmYT~3t_m@oFq@{w#kk&FK#R-1h_4p)RcmFm=lqRWVzn#Y{2k` zhNQBKsIRBoNoMt#X}lKWvGQ>TE4_R`(ov3&Zg&}^26u~L1@WX`Hru3gk4z-OI>vdS zV_53Z$bgPhbz>(R@z=_qzfQ@L#EpXzo{@ZVNj;fhINQ5 zkLsZ1+5_iQ#a8^$CK8m;WzqVoe^j}pu-RK?K(yR z;^+^i3f*B{J*?-VlZ$fsq&szK=FfY)J}ZzPaogaT9Y=Kdi3Mj=t-ocek5t*mefA>r z)9pShb3p9PJ~PQ(On(qleYXlNBMEj)zdV!sHIRTClu;^@z+ujoU}8(Qp^y^;}}^W^qZp< zJq$85n{HHUF%wAp3V!EBO8VigQ{=2>{6DKMz<*UVD7`++eerUQhe~NfKX-W9HCz@q z=Brt#_Bo@p?HvPeN@AfVnjHdONw}LpOoNyjFmb6t&Lw=-=aA8_Nn{((IG- zgQ`gQ-a7O)M@5RT_s5%qAE^>ku4G8@$?U;&`5+RL7cR9$Ub@IXtS&Wlbn4_SO|8wX zt=v2I7Ad>-u2;fP`0)g*PgxGZ3CArr9^|yC=AANVV!3qm^yAMf z@jO3OY}K!9|CN6}MqWKCrT91f<@&or=hvWgx1`x z#TKN*?)GcHqI+h$)xhb=F%TrkKE~RV~>Gu^eGjjpC=|4F*S=56)i~Xh% zZt%*M8Pn+zKrZ<#XL_Dk$*EkN}Tyo)j zpE@Hnt6V>4{e54sn7gjM;19kK)X01MwZ1-a@g!>!#B+o~{&ns>m4<ihj>#-vUH;(4G7A8T=g zEz=Y3RCV|ZHIseFskIOSm;!&y8xTrf@M?Xo(aChOV33jcBEflTXdtDUa5l#;2 zJzeY;ZFsF~J&de^A^Ev5H{;(HPaSS$rp;ZvUAkb;Q`qUuZV}$>P&8$3DXj8gXH>kq zWlXi@VE_2@1J^z1u$_yO!5&B^o{&4$Gn9OnbZNTob-Rt)?!^G_Z{{+QAAB8{h+QI+ zG`EGky8Q-d!;&?MXAJmWBUPVf-gZ7Tx?*1Y_RVkn#RX|{np(r7_CFt<9aMXN!AN6v zp@^WLHQsGIq|8HGMSoYCx^zIYfo!VBj^{B|Tt3fKdUcFGaPB<`4|LNYTV&+NzpXTP zrGvz2_r%e<8EnxF`NU!5+Soy7bXb4>dzLw_r*(Q+*Yk3>6%V~2bM7~?u3~Rwk68aL zi8oI{^s+||6>hx2T(YiHoU*Ps<4={6vV{!U(l{*4eES}kfKOOM$&i~vZQOiWXo)#qGXG^;%)}t;x%#h6 z;bl+m?P5=qWNdDg$ZAxeQ(r}htW+DN+Gjo56+=l(@*)S@#nD9xQ()r7R#kFiW5nM6 zM#^juk*Eu>u#W!b+`8$pB-z5XZS-;USLMQEEnc0d1zlZo_xD~ee-X~WfK(^tp;le* zPbsvpWzPo{iWLqBt@x#Ia8@WbU4RQ{b2b5^QS-;>s21cvA-mXtMj}lKn5cDqM*Smt zX!5?GYS_KjK1ZkSLA%z^t;xJo+O{r6skJ-7Xa7T0cTwWQi2FiScVBS2e5zZiZ5yc% zLEeYU0bK*bn+)Cr5Y5Rf^Fi5rbzC!iDofRH>D80@U@F{wyZf_PUElVA z)~0ka({o<;kA_J0P8;2Ttzb=A1--2?#fKm^X-#*we~MN%suMQ%AL9Ca0D-e^_;%)_ zjT}KMxL1iZ`r^{mHLV;oZMTrWPF!kZ zJNxc^Of@2@=5zs9oV?C;8=jtlvj89@Hj}g0Jt)5vW|hd$GcMthFba>IFfU;UaeWRF#cj!B5E@5);4vQqbST z5?|*3HJpzPKyDs|1P3=wH1@2Q>~VcrtY0g`YdA)MgC(X1=QWN5nS5jdtV8A1 zmsioR9)7rP9aJ+(`$~4+-HjYQJO&2ynf2Kp6fetnezbn8Nl^-lti{n>q{yl{8*f^& zdc2srdu|{<4h-zC3eP=dm31Y}^H8wxEhxaP*-%(rKKNRhIIrgahv7Kr=ZT`d6FFhL zv+d(NiX2xzwcw>dmSF5F^mg0o*k(arUCQlI$}?C`k&YJ}Oqad*_RAb3ai}vYQZESTR1G$I4041ktLIbY$GEB`n z7;QdEiAxJgY$<%kc@-g?3z%AW-RzacA2`tQXrH~Mq^7R+yFm+j2#+2~H2b=fng^9V z=db8F5Y_Je&`8eE_g!RS1hM~b1OCc=nM9Pzau=}_Y=uRBlV~%{ zvj|Py2rniXZw1m#pfp%$E)d}@GO1-%{0#SSQwTN&GoqKmX=ABPJg57O#WQGz<8`xX zWdq}e{uVOVfi(z;dV*1aTxuc8PlV{4?eB3#7Cnkj7kX!J%shd!D%%r0u-=7MS zJgY<(tC-8%ixdgP>ts!sE6 z9Iuq72}bXfmPU2kYG%ak6Y5kHY|X1rT+hP7O3-8$7ktx%a4bG}b@MsJO<~33nP{|R zWy~JIcQy5*x=LOm?r-z+TFLc%HdW)+#sF!c{QS-ch zjJIL&b8c@DP|bV@J{U8<%T4mzaF=I8wt!B@RhZqv4ZvDdlAgI_D8F5Ebgu9D3=HbE zZKeL1z#Cc_%Q!Xg$C3Ub$y2(qYeJxU{&pxWnuB z-j6rm+_`gh&g`B!v$K2uTtYN7vqeZ;>;k*&C4L`Y3FFk0OA*9 z;1%NG6XpT&@`^HOTe*4JSy(Y>nprq7fEcuu8T72o85At-JpPr9qND%QKtpS#_+LOX ze*S-WN&SE5gMOIh>qD~@*gw}7(jAzd;n+l7jnJ)SazD0hs70Do^c)W{V6)XShsQcn zs<0HR$u55RDobi7evkCASZ(?e)xb*sM?;~Ue%Q9U(0-vn>`j&GYi z-%A$FMP$f;lyMB5e^!HKj@$G9L{{&!l^Ex83|CPr%ieDmi5xtX#*z{xwPJq_%U@O( z@}q1_PRN!RoH0I8M=!}NKlefkH+VS!L9KBmKFH%Y<$euN8Ow*r>%3p^Y3P_ zgX?SdRKkxFZ4vMAANEhe$Uo7z1X0g_jt%}V6aVe1Q{6Ju5fImpyNnef*j=WWWrO=; zP44&94_200<5=3Y$BEX8^`e>DZd`rqkFi5j8227{Ei^6?u7o{7XQ98P`jz}Q#?LC5 zY_Pife}}kC`*t7pei4mXE0vGN<)I?g=2`T`nxKEQ$4d@X`P02-Po|7C)Y3Dq zQ|tXoCkYO>lk^s4Uu`)8G(|*hTQu7LG=SAPkzH#M#eZvFL45S;7OD-p*yp%!mCe*_ zpA(#~9~|w+(ME|XzUD_-yM1SVIpY|XrdPtplR+UcsJU3EO4MuF0|oU#y*%T%wk%?9 z^!ox1U-G7_k)l=#W5dWY7?xTQr}l|GmVg+$9=ip|t;>1W#yNtvRhlS*a!%;NBxz%&|qqTx7>Eu`*w3b9{h3 zcGHzoEz!oBs(1Tf@lDh3zv`7p z^VC-QQ~GC6cwjhE(kgkg%{1eN?sQdwlzsE6p`doC5K6@RoWB6dQ_zxII<%{GZ6`6N zT3s+rHgLMtEz71TeO422b5B(3PqYk=4FV|`2L-_)06zVgjO-!MB z2~S<_C-$#5-z7!{D067CJU{gRY~;#G(>%ELE1DH+*Cno3FVdHSnDy?T6C0dGH)Nj- z;-r`4!>i8CZuO-^^Da;J@*Ch)X0}H_uUK_cXM?-wi+?$ji~Yh35sUq-tTR&WDS34o zLpC;9f$!U;D2g>oc!d9j=500sZXTEKVpe8O2XX#Mj5S=I{EV2DYG1@|fb$4f1O|La z%Y?!w7W?&Xc|}t+)Jp>cnCBKsdgnm(mnRoLcmu@(QG18efLZ@ORz(N;nj8aUrkrN( z;D58N>BFq zF8=VY@4Kzfq;5Pp`qi@0J;{<#;WC8!W2ZDrKJ*BilE2w z@xYR?ad^PHC!ifS3}4gu*Ie4MKN^9&ZC=&9!&c(g_SHwDRrZ~8V2_4X8(k72D&()w z=R}uy1820=`P#b=X8_Mgh}@$Qm=0YQXlr#}!qLs^CdCZYz~Gy^sqM`yI*J|--*%$n&`$YHzEARCE}3uoUFF>6Am6l75ux{wu{S<< z@SLogQ|Le!JB--2Q|U-V2wQE^Qu^wFS25fumWiO7!g_yT@`T)9eTVh#(g-8d+BNp8qy&nDM2b%LHjK7Gx8T%Q`+M(^SCrm% z2WX<<7@=w7VlP6!6raCnQSD>54j(Gr!1~xEv$Uc&^6Nf%oOKu#fJ~AQ);oUZWr&iv zGZ5X$%sQ-1xl52l6-f@h57u4VCJ5E1nskLpzm7&hqe4qvsNCgO-oQLa1xH9=35bHw zwBdxLNE#rJ6{AL@yXnh8Zv=Pv%QNGU@Ag}dNq)Z~LrzjP8!1}D9G}REI6UGUEH@1( zQR?7!HsIXw0GRRyMC9JK%8q+e3|+>FNEA28Wo>caqB>|n55|DCwZB1Gzwf{2qVOdN zO63@=XZrhqJ+c-`oZh=OJRm(VKxnzCDC6T&lGbpFBJqnFlb24hdXNVnCnN4GH-jjB zWxzq~Kf(srf*8BhV85`1|zk;03EKZeRY+eASeAhq-d z6u2*LJ)MpjZ0&U~c@ZK;Ot5E+9{n33f5%L``TWN;9kgA>Z^V-427j<9#1~sK9)!A{ z50efpn7<7s_7fF>IgYBm3!lwFi+nkqGbn`CnPc|z&r5k+-FrE$0s7GDxyI%TmBt** z?gWe)+JDohO^nh|+`JBk{!O)=<7N+L$uE?C&YHHbVYyicaw8?WkDN(Q*9#_?oJzVr zBTg#=@&;3d-pFEZr(=&a5T_jgcug^171bEiBbF!-EsOwfph(`E6->-v#&{Xej0`JA z(*(r77Vr!Y=!Lj4O>t{IilW^!pWDP&0dARy8V=}n8AUZa1@&3xvWC5wRZvBr5ocu4 z#Hx3KdgKpOCr-6rgpC;E8We)2nb|eSzr~gvu93v}vc+nq3sLTUK`?{d(xI8$KpV8T zHTXxjs*nK)$PEcCwCZ_gK8w^Qo5G4nycoLGDMH7TfIw#d3@hPa=iH>z&{H;BKE%q< zC3k~(r7E|~<+2s$IH0G{K~#`BSm@aTJ|IIoj*Pxa{>7EBe5f;~Wo1f+%mg-;iRE@( zm(k40Xy;#>=AC;TzA8gYM$<%uVW{TD=|^dD@ubgP4^H`FjV059*fknu_Q^M@lj3XcPaXCVKwZ(o?_ zHAt_VCP$w?ePqj3)6=I}ixuFqQv{Q~h;{k=?r!{M=D+yB3o(Nlb>qx(WlPe}E$RnC$FE7&6cX za?62AvhX$1slQv!udD#K#Ca_t#%s9m=3^vKYeQ1*TBVrCzmL@HMfXsR*D^06arR9AR&?K*JCV?>5UEr_BriSYa*| zr;xA4v}mOuX2c|&+Xk$|#O{9~(K{{prR(V0u}06c^Vg#QWO)zd_8FS_255u+TY{LM zuzLwdA=pW5p3t3p+>0!y`uvG9zKLGD=XPUTi zmC|dYe4Vz~qNMV}JEMGN9h{dww+ibte5v^=^$t7y%m}vdUy%{IljwT#b$$ojnnam7 z#>5qZe9oPyO6&)Nd0zk@8_7;w4;Fxrg(R4PBsI221bc6@+8_ypE}J$}P8w;%f(u~< z=8&JE49MK#p++1)YOBrXlqfB*vm3(tLH_taX2fFD$xUi40pT({KnpsZ1G%vhjSZYF zY%R2U^;}D_k@-)4YexV5!cF%HTYew-v>D6yp-XyrV5JuHM9^b|xEhcR!jxy946IGN z14jFzK_P27p&!*Qty|nM7Aa>9YsFmtE!z&cSuXo9wltvy_jE4jW&kBh2F#5K__?V- zoR)_@(r@MY85j*g?{jQR2Jit$3K$~NO_+h?!voA95DRcaW&{l3!i4}|-jE}%^$<;l zOsg1-^Feh`nwS3A@72-Fk&A=1Ule3Ef97igwbT%$+e#nUNq&;V*vHFr!Q>kh@||BW z&#W%V{IzKkyoD*Xa*(vh;I`vWXLPrS1ZdfpR^H3su>zVjv)n(KeFp zh-jT)J{O)ge4G1fuPVjld8cQHEZHAUD_rBhpPO$)g44==%K>Vlln!y8z5MEYd&ms* zkGnYplHA4i1OaL~lxP@8Uc~mi1$?Sj;v|ZZlV8_Fh~PzNwnW9r!iZvk3hQhTM1cb1 zJH&Og5)F`~Ka9u`iAVeSY9N)<{)Y~(l zISHzQI_+dwKmPbk8?&y;nE=Mi=%0;sDYe|5@d88#JZxR2;N4^m-I`Q(NlR!y+BXk| zIj4CXqzGa+9-3ofzU4J^9~Ac$_p!`sK9AMf$ab|#xqbdO1+UBkjbDkxLs}UfQWCX_8;xA~$MEFFx7&lGBqSn{i+ZSzc~0Y$z(Q7|h>B&LWZ9%(PB% z+O$qi?JTDhQqA%e*V`(3UcLlmdq_X`n=VN$$Y<8qA!pf)7Y7GB;;K79Gt*B4CK%QR zLVfAQeN6t0&s@|lZZf!A!Du zk;;ONlu3^ZVL!h{?cUciwhm-*SsvDQUz?REv&cesuQlGZj*caDTC0VyI{VkIwn}eM zK1l5Aw3;AEN>4_>vuo!i{yqH`+=g&Q*N4sdd0l#uotIQ6XpVV=m_@>QD>Yp$Ps)+i zZgze_d_ngwNvqMa4c^?dFB8WYPei8S3U6K8{`|W;Ffc@V)ID0^#Gwm=2~N$KntoKL zy*|r!rYVXToQ_nvkwAZFLM6rv^en;lZ0mSi-hn)=ieYA_6vy+)?egi;9>srovjz_w zH#YqxdW&!5N;Zm4zaHktS(sd;NJ2}Trw4(mDeqXbXb9QgCWknqyJnc_shfQeekSY~tH%zyM*M4OvEZchO$8fQ^3H;+Ig za;Y}4`HY348#$Y)HN^PvFWXjU$H~Zp^SK4J{;0_D$SJ%kVc2Di?vHK{(9htu z+g%^yCT(e4q!E3o4Qpn~`CJG0@*6#_DqNQ&nyi45)`r{NAD(W2&n0#x_QB2WV^v4) z2~OhT5+9#lKBf5|#}2(%)KP#HunM}KZb}tO>iJ|ziHSD<4WaQzl|(DFSbjP;_wN1o zqSHr8mE$>gY3Kq6Ok6lL%8SUk18eIVQx7y_1-g-5@ZQfHbN-drNiyPPS-(QIl$Ij% z`WsTjD#iO)JH2HPcFf)_J=Y+o!?zZ=K~_;WY4^!NzNfx85*Zn9~$?6H#FX& zKGc!ZKkje9o;kesk8b#PpPhH?kHL5Qtu=ypA>Wn(=`s?YB`w%X$XjnfjnUHf-aJ9w zFu`hj$aaqPT2V$Em%iTHl)wzw)o9q^EZvK<_4&8t3OxRd&pGL@=M5ySRc>=7MXaX+ zsg@ik`DQJ=E{>X{yvA;^VOW{`lb;^z8a(NeMnx%BRG}xC88*_Qfw-ceqA>fA1IeHEGXwqz>1%vbfJVA>i87~{wKW8cBdTQdSr5OsD&48kEA<` zk$JoqJIzuU*U4~^Z*TZL(@9TfgvTtyPT*2b`z&r!pw4N>iMFz=-|y__-~y!E^YYV> zgLHHTl4DX29^eNuc$yll5;n34-m%tk3Z9Fc%cz3Q4X&B|7L%kVZEqWDDA-(L6Bky7 zjX5_575bL!9^y1-&;V^h-Zm8UjUk58*oP^QRw?~5;;Iyilw zS2pyi(l%4G>OrH@*R|fHMbf$|7TnkY^FGur>nMOfjC@)w>*x+neVgoBY~A$An>$DQ zvR6x2|0azaW2mLFZsrvP&h<5X0Q&t}A`_UPX z!yzQzP-oh!>{9@Krzs)7Q|i~s4*Lb~F(JN{+ogpgb9Pf2aw(HPY+hfTGjfjS>-Y;Z zDo4GNJc^Mm1xbwQ*_l{QckWH!M#ots%bKt0olBBcoql|f(l!$8xZUq6RMD|MqOmHT z{_3%ywXQ0ZvDZY~Yt3I#@{P{gfLuF>MN;h(%Rfh}8|ARA!v|=$Hz;7v70c z73T_0ts^Q)b#o~>D*)X4e)=#Mh38$l=6ULQ?<9CD?pA5;W%oGob@Sjf%jvgz;HVX3 z$}4Z#P4u@|%g@henXhy^rV|Si=_OmWw!Z5H$0*_;hsF{DKA3V!SEuyr)&(93jn8## z%jTHob+~SH&|D#Lf{l(ctJ9Cw3M*zCq_Z9lO^ax+H%x>J>pBb&L9`mzt98D^$zNPr z>h-#=(RAVXBui+}uFJ|g{h$b!qs~IISEXm_y za*p{_<`$LOWFuH_)4SohT(_Q`?4?FDZvQdbCnDad^O2}AeE#_-@mwsbMS`$7P`mSN zXZY74R@*FlVr1O&_|f{Bsq^ARGxLDwC$!~1lqKUrxe(X5E~yLLe2Ek5`&U_26>O3v zb^Z8p^@|_;JP%jOnyTAi7Csbf(Y(nvKufJYgG@v9pRVlA2RDdHJh z#?cuL${j8vc8)jW5r_VaiT>Ls8_}oaVNWjA_T%+$;MqPO0P#=;0Ql846`exCuB9F0!4N$(!K z!2U{X8Gk=9ZKYnWdfXr4`?^pskp%_$SsM<-TRGn~FBwpu$q^@+*{5FAn@W8*ODI~u zzWsLk;_8@n7b=D|EiZvQvs}HtR@j}tfBN^G@bu(^9+0G=^jeFiKc^U(zNed>ZhhIm z*rN%=cssH1wXp?5JUGqw6;+pfV{LSPobT>?Z$bsnp;%cqrr1twMr<+Cw^6XHW~J9* zuVY?6w7YB{l>;Pw#9Qv}M*ciJ1_bjMciSEnuDoseXep;gUThIniLJIoo?dY_QNL{d zcrkPLT=(sSUO-P-WcDeuw9Bg;cUe=PygZzW4cWDoqlEA91!cc=`oBS4C-SxqWQ6gK z){hIDWH>s>dH8(k{Bf_*QP$;g4g5Y@6uV;-XIY&Enx6e`v>8ib?WEo17En0%y;x2{ zC}B8UFFhOdWmk~K&1187!`?0%#(4iew<|Ew4bM?KV7NR$gaKi}7oAIG*IlqNber|- z*70^qQXjzTgPhX!9o$6dRoy&v5$G3DjwjYH*`{JOu~dcjW*?7&icXaUdR=U#01cWL zP2OPm@*-R!!HgsH?XL1x&ROP-mXh9E=F(Yu}guUb(g!DP@ zx6UJBjUUc-3OF^Z@A+m>#czW~G6&oChvA=z{ibwzzaL7$Hmh^Av!xsfkQt%C#DUYj)AyxBiD*EvIQ+|?X z-_b?B&F36M@rww2dYNoiKO?dTv#G!@oDdc_92enZTMf3R)zX7XYB(kPdJ!9`P%BK} z7E{+}(K)1~P~J8gLpZ&|9SVXixpjzZn3$Le>W!hnH}wPdg-5S%LXuo%SKXfp$B2KA zJs@aPR;kb7)o~o@_NzTw$+b-q&ENPweZccPPXqtwki+InEoGY@g=K71D>sLm3*5d8 zw-NF4u5tqiwcJ2FH_Y5tSMlnhR*%{YKF#K<2%E(lkfpFV9k6IH7o|2f-~D_x3*otI zt`b7vh4>!FFw?tkPj~UfL$a><+`VIlcSL#kM80Bqk8+th9!QzYAT;G#nz8dJ)w&39 d_^it3rD>T>eZVY1R4&v23P+>4A^gwg{0~`i3orlx literal 0 HcmV?d00001 diff --git a/triple_selector_8b/db/triple_selector_8b.rtlv_sg.cdb b/triple_selector_8b/db/triple_selector_8b.rtlv_sg.cdb new file mode 100644 index 0000000000000000000000000000000000000000..943e1caa991b989ace074bf782fbbb95f21ee05f GIT binary patch literal 1518 zcmV@6CZkQ+l000000Qm#}000000HXl_ z000000PY0<000000C)lHTFGt{F%azxyI2E6iNpno14tYo6IOA+oqR<;feRP7hi~Ex zIUoTSByJ&L6$kcx-$I6Z-7c$ajU6w*u*Ar*+f~o?s_d?IH`D9&dY!5*OVroh3S-}e z4C9M*J;VxCwTrsnXy|v<^%3@_NasthH}jQvq28uGQC8^R5&gTmKdNPrW6W(wgdBLD zhua-O4qF(P?XZyF(sJ2u74n-}F5AsQzM$o@-6-VqS}xmaH3ejd{*9fZ4i&5)1*loa z&(vaU71edRojizCcp`i!#l(5~}VkBB0nr%-N*{+Lh z*OqO>Toxa5=rvIrm)gluD?-;6I+=O5h( zE@EDUt}S#j^CLyfi_o=&PG)|zhMQJ?O0BLqo4Y zTX_vf2U&b*=rw37wQ@pOYN!CXU;YO#2~6HcsTkdfG$s9Rv)V=OK1Y<@&slBq)xDgCV>lV)V*ObAA5in ztYsLW&m@|7mW07<2KP`A3Ik-!!0dOxD2hb0qB)T;j+o?>>WG=atZDWP-n%PlS2T-S6pQTbZDL_oH9Hn2X_oAZ zZg$3GPr4>$=6cdKtC~H7xyg!Rk)1Y8EQ)t{w|HlDP3|s<#YA@IG_fd7ofBqNv-gF$ z%aB9qq1k-407LX2(sz#wROwPtt!C|jAwi%}t58pBwRY6}Wo}TpBxW-TZD$~}@fiZ# zF8jGj7pSj@F{)c4)ud56)F_$;)h&^;MXIeBb>bTtw@9`BC%pW&iCe}Asl4RZ%4O8} zP3YfO!&?{v1W(WVQw@om0yPSbq6<{Fa3+QtD#=mZ5~(J4@25C!+x$j5n#D5QBGse| zuj06k4T9UFIBvTK!R=lgw|#@)b|a1(9d#7#D^#~cZlp*xx!+`qt~Um+Sj{z<0dQ`0jT%2Z%T* z`0ew3IN-yZ0FJ)x{&e5<*WU@6CZfz(4000000AK_F000000PO() z000000C@!f000000C)lHTFH*oFc3`-`@X{gi5+o7BS8Zfh{S=<>d)a9;J^q05*#>i z;DFc<9FRclh<%#(5?8uX?Q*AyLY=(IW`6!*W%i8tu3Bji)*Xb`r+xdIO?^wcwCF?ZT9z3 zALo7#diyB0HkQio4Q{ETc-(2+a<)lGGq@HO}JiQi2jvec{<@dgg3uAQ~@3+Vd z_<+JSz?{M64U8eN#7JQ-p-YNuvG#c$E|VRtmvf2DF}pRMer(oc^i#THSWQoHDbpqP zEOyf_$$zgs^cMPlPz0c`)W#8RA@zU>&G4J1V{ryxvr_a+=ykh&ejPmSQTdrQKWY4>w$-&WIoc+AFvyWVBbQKkkIcm1VNhgI{ zf(32PdOpC)(dttyCFG`>tpb#tPG*xE9c@F_QF&@?(=MQ&L(FPRLzq^&ruA&SQu7-6 z@S1=$TpxS7q&fUX4}awY`fRYwliJW zcBh$b7rLgtv44Fa=(}s7z%oWq8#-v->A_LOW7XGjKC)6F& zbLZjaCh)2y4}b13dhhU3YhpRU!%>@8N>qpXLSCdstFG%G5Uz}KGPU@Kx^r4@j;uYe zG^h@F_{)tEQ_UC;KPpfYuuF4m&ac|JZk?ZJzI29euQg`^0a z0mb{E@7(L2@7oDd+P647H5@LUJ3BnhNq++X0RR7Z0TN+j00K@R76)Qy7pHh< z56^&jAnocG5)>Kl=^Nm4q2s?L7Xu?uegTMp0)8M3QV&(?=^C69ty}<6pa50F1f*f+ zdU(19IR-g0mOqT4R&`7agFzL z4e{{|4yk;j-ogr2)PtlH=31yye;3yYs~0ce2CMLZs*3{B0zeG19iq-Z#5Krwlm2cN zP6lV7gb7ZCo*;!bTNfE~Fk}N2DL@r=0%?#P&hC!!{!U)5&LQiPKAZA@WsyAs3I!36 zI=Id7(0V#|?`9To;OhVt@S-U4^uN`;_YNC_GEg)Ih*3T1?ClsKzRTF H00960xb2#? literal 0 HcmV?d00001 diff --git a/triple_selector_8b/db/triple_selector_8b.sgdiff.hdb b/triple_selector_8b/db/triple_selector_8b.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..c9b62a5a0fe6fbe91ed0360a3e3fe9f5d1fc30c8 GIT binary patch literal 7182 zcmZ8`bx;&=(?2DkM@veFbRON^sdP)6fb;=J9Uu})dvqf$-5?wx5_dGx9ddxQ93jo? zJkKA$-}}za&gZ*3`~A%B%Kap?1`8S-Ox0FL zgVQL!=hN)r>})cGB%?5PcjYM(4UOj(Q{dRvK>;h)2;#TGdr8cV&Sdw5L-G$&s?!4cxKk$h0L z0NO}7%ArPh=}rl!e!KYRUl?>VN!)DI2c_Nt?fGis=4C7cLIZy0(T303Uh=^={l7MU zn+b!&d_TU^EVc3XVVQRQSn|4#`Ow&Hg~M_TS7c(Xg7L@jc|*5hlVL@MU1>(WcY&KI z?W9bMHt%OMrUnK3@P4eACu9INN{#>LkdAv2^jg*oZv#BMFlER-#|L+YZaW+L`EB@{ zQM}PC_nGKS=4()#!c7+Y+#Fs)cr7qxWvePN*GfOZAw<8H&~ckbv$W}+aP1ePFk%rc9ooKHQ!KI6e-(>sK39)Xl=OQF}2y* zrT7(z?yB9~(e;qi%N!n)EXs^AK47;jR^Vg*6zE5D))-@#LQtwZi1SKEZXnxy{tK`~Ebvs#3AM&@gHl0l^sKMaSm%QHv*{Yn(_baJGZyn3 zCnOLSYsKUh@mP4+ckLDp2@&D*c7akImN{OJAgPb44^xCL72)?PBf8aptwbd4#arUR zZnxA2ofcpRT_dgrv5uncw3eS??s~k|I|cUnK$Eg|;rSt^?HOZ&v7@{Mxdo8z3J&Q zLX2M($$@^?XDkOD8TD;3cH-aDf5!NJRH%_A4IsMXE{>;qHp00(;+zuaqb@Hzd=2b) z9$OjD5MD62HHsrGS#gek>ZRI}yX#5>Z zfc$L+zpV`imTBp6lxKg%Kst-2&T(NGIGY{YX0>K6x@7C zdRqY+k)(c7`DitLSL!h0^Jx>YA@?a^`|0vABJh~cEq6Lk&eeV8==B#`T&T*HYQPsNnJ-Cfkb>~LLL{AGa0 z*sprKU}U3{W@jF{f@=Mi#9a?z`6O}T;hxm}(7)+5{3N48=``Lavup;}s8W1Ib2ldNwb>PiD7r0!uC+l>mKOB!+jhbT$Bi_f?tJL4C1L)81^EVfzKw5XGq*MO zUWcf5<%GhOCVib+tFwliGrj$1DqMe`$*9yMTcp)H$ZbgmcfCkl$h|$wj=!TywO6~B zm0e4?SsxUuaJX zH)u;*xgk!50URAw)Z~cz-5y*)%xyzR|9)sU-~*w7^~QYGaWDpvf^$XBiW9|j`$KoQ z($7lrbJqR|$1aA?Fud?TV$ms{c@`cRYYUCHMk7$aD0B4(B{c?IC01w9T{%ymAXtuz zRUcDm{$`r#@*|6K#C5Z1CjTxKAw>gy$;8c^ z&#_dA5foK3?rHE%Lca+$R4BlMQG>c37Z0F~-s^?7zj2ZNiq@#@;F$o3QK z&45`^7*$*-S0al5g6v+4_h#1Y+_mBNyyC~aNg4OOzd&?!d?z342@(lcAOgW@b^a0? z<3@Au9fR$j!iS5%j|Q5{fVC7=&vepSqW=9QI+*=S=h&P#lw@?jsY?usWVyG0$izQQ z>zt#6_m4S1?qnbdIS9O8yA75rGCNF)ci|9$60#sC^x8R>ZbkE zwy|)KGhH>em)V2Os{iA}_hnW(PB&xtb+DjP(-j`gwK~mpc>EnT{>f45nMs4-r?o=i zpZbpG@@cSON0bdsyT00S2CQHRIRQdp(gYf)F(kumk;pzE8lS}H1gc1hE{g9YL@38z&XFd`&Q6mxVk3GU!>WkCdTPeh*)(rBULZO(w=y4G ztGC_KSnpS)meTn7LP6q&LMsj0Uebf#MNX&Qn!eMlc%c7YDQa)RkrrcPqJH0>rsxUJ zLjxyDUt!_EQud~FS^tCGQ1}ATn!(?SPb=lD;5&J>D{Vh#s`br-+J5?OMIYYIj^*QyvlI8GNTl_E1x(8do>xbC zU~q_((uQvcl#qV)@JZoCX#>%_Ks1Qv`WZ>0%F@f>9*p-rsSnks#wrE)MWOe7+@&A2 zzTu8g1U#*yBuxj?Jp}s6nfEQGTXKEO*$tSIqvjYUQuWTX9u;u~?SW zGHW#{kYizdE;noW5OPn!z)cx0r%Z`{MI&jb)(Eu66mngD3E0E^F%;x?U%7;VmEXyG zKn!vu!7sSBXtQ@i6ObHaDxy2{>0d_<|6owW_4a4>BXlOMuK7;$T< z>gVAT6CY$D%*k@W2fGr(zsovA%f3R^DbPJ-?mUuw&AB~%-3_gy(ah^mz) z4)(FUr^f&747|Js0-r?|k6uNKR?X`r#=A(%u|^)I!yEZ6#2SO{QP~%rX$<@9B;3ef z`-B;%fy7>~8hQZ!E_i2Y&nPYNlvP>0SjAohPVP5(id+&>H1rGQPXFCHP|QhyMrf>X zl8MLkXDHxbUM0q_yxciEY5e@(Cbv!c8VCCrK6%Nvlr_o9a?0o-mi4yg^P?YWANryW zgc1j$Gvj42**e>~iezSl9b&=Pm^G? zw^BV$8zSyLPR)R7=_Pvc4VSm3r@yzIYZosE%F7`6M*Tf$&?rpdM@r~oC2=C-)(DT_ zSU+tbkDaxA1W5x#zhcVeu-~yu1K-fLJE@@>NhNt$<|$w!s;G|MQ{YIzycFXrGhzvN z(}td5ee&#S5x$Nm&RZdBpYns}G0ED^6{ZcT$+ICn+Rbk(6)`8?YvjJ%c@2pnI)c76 zVB=;AU@kQFMN<4MTI&Fi~ zMf!rxxU|d(l``F{Nu#V-0OmZb1ypD4T9n|Hcq>*`S`aFTrd?6Z!xu(*uX22#FzPT? z1D0D)hIx)4kAP@#AQ+Ei92s~0^12Ape%i}nF)=rDI2xO(RD1Ro-E!O8l3>8`un#uk~o(nC3M97ZY?Q}%xB@qD%En8qHNYz ze6X)NY?Y#9vy?FwBr)%jR911dzIL^}-Q?>VpEnwr216R)%4b?8m&K51%E=glTRPE(q3$|=TBr({kznEZ|JY;xr{md-h zocM(h;^$Fx{AB8%`eBVM>M=@FET?ub2@AGFOy&hQAt{0rm_Y7&d#tf%^#nltgQt7%<6ow;xa1Z)qszu+EL5ZYhasvYfp zVD5a`=iE7L*mYa;heN@e)7|C7+0)hLP1uaaK;U2f@G5}_cN(hT7dR(G8E4q4JQ;{$ z%+h>&%sQKN%D^Xq_Y?j4ja*j5Ni$BWza&X6bzT0}%)l_Kthh4QM7qh*(+fanpS&7? zg%o9L3k1TSyFRrxx3<<;-xQ))*3`8+ufi~(p7E7p9lAFz!1bL)*2K0@q7#pMvx@zL9_XpOhG_ikw-X?4Axn>%mCZ7~!T$fRU`%Xp}b|HRW!Ekz-sXga_Z`I>rZ(l{6 z>+>Yxn|$je-iOAV$>W8M)v!_}vvywD9xLya*T;*UyY^KXKk9za=f6{;vgcn(-6}RN z-g0mlNr%L@Hn#{^i^Ky3)v@=_u`M8KV1d?I{Vo{mY4a&P{@F zO`spBEYS{4eY}wNALg<@f_Xy+Zd<5ex`DUX%H><7=&Zw{I0qZ=5r#p-wh9y}`$$R3 zc%paT)(&l(jo;1hrIf@1mrW_SEajA`--Yu#o-zsR9%sjIwNT+21_(}w&ep8a{%8fQ zmM-X8*(tfWO1oTO!U@eA_M20E9^toXSQXKgY5lJ#_Ivv4PJ+b2gF#B`Z+c;QtG-aP zD_+jAKi|BY)mQHPE{Xxf(?dp&-WNYlJG{z6cRbqp4JPy?`1b0;tiKu72H8-paY{;E z>jfTM{ERw4sSr#|>9sugs!w|!`XswcOUt}@lKBw`6RbSj-{ng+|Gh$eIS` z$w^6e{CQ6o++H593il1XQ}Q23%rIvRm#Zf5=+!r2McQ~)Rof^FSY5I?c?!%IlAA}n zA2GxcX4L()I^_z7m`L|xj=)*~%1N$#est1<9N+yFVCFCV;r&-Y_d`Vo0M#q;kTTLI zo%%vutLH)|jD|18@ChQiE9WiM8MmGb^5NyC1*)Uzx86G~(v*lAX?h3wkz5XkWg;eM zWw~?z(xnwpX%A1(>J3i>YYtDq^@e-u5EH=`+_@v`|J*JA6zja_*Lc!z#9RGPLGy|= z7K?;{eLa87R`-h&6|(l$v8EXMx9RkqA(&(GBi-8K0L%K?54^Wx^d~t_7HedQb_Ng4 zIvb!)s*nK!6m7YgyS(5Pb(-@vZ1|Eg1v}vl;$MeiqicxEaUcF{*mGjO)(mhsA~B0E z%W{|YJn*uX9UL>|aB?D?Uqo=#-7|U2)vDOaqE8#0@3lGPJ-&@UjE_~`Jk$b`a_SQ2 zht_R2rDSO-y1Ry3ic!m)(&Ac);~pKMB>>M zQmEV5Oh~U?T&2!&CrKzDH`H`jST*Eb>zr#)cduU=>?KmW%Ftq}TD)wFkiM*#U;l{+ z-H|w$UEk~(`SMP}POStZj`+^o)*MPZZ>6=Q43}454U_2VkAG;rmt2|IaZ1h?wZ0>6o7O55 zv*Rw{s!g};3=-UXonkJpo^|ZYZVgG5NEs`W_E2wF^gVE?{J1wakXoRrZ97aJc4ymy1uN8Gv3$&{~z~TYPx!LYTY48q9Ym|+0e%e=DxfG|2!ytm}}lc zVlGeCf5!N_1L|b)&Cs^VEWO&Av&~BH+qlQYrp#{S?8yx3H%gt@)2RK|zQ8GGugRi$ za?-vw3U3a*@UFFP_3iD=Jn!!_*K;b5yNs#g4FC#$|Iz(g8DSfH+p@ypS$6;u((kXzQ}QLDBaU->Fd8D z_$6(Ym=;8dZOMI+vG#l$5@U|XR~s~Oy%am;!yUX*kF3-!HASp-#R8TOB%(i_Cg2+e ziYZZo+yK^FzXPNXre~E)UC$ZnW*4{Jnpd)TPoOJShBqO_6%E_+*o`OQI>%WEeU{+@ zyoa9NO4;eRGC{vy#qd6eZ;4e@Jzs%_?!nf>>+xg|!!|3H{9)pEw}lD$Pl*JqZ5dV) zF{xSv&=j6`<>AOqQ-1k~$cZ?&Chvh9(_ep=X^xmn-F_foskTeO)2B`1s4jOm%d6|X zEO%ycSo_g|hpYQ2v8q9Ih4r9I57elSO?%+1TcvXLr#_w5KOn}?&Q0M7s++@S` z;Un9z%ZL?YVPQ%_18h4Zpn|J-L5?hSgO> z|6J09M?iX!(b@bu2qJ6J>{bC0%iThk_JO;Diob-_AHLLGeKG~eZfl3GvCHj7cK=aQ zer|z?>V_}BG-hl{JMWj@xc{&fGdai0@M3ZFu4#ymtdd)q)?UPkk_!twW=7@e^ruL0 zGEZnnI$XVQKXF@1tnEn%PqaCLn$h~oPwpi)BQ9f|h%AWdr>g|&H!=<}J0(M}d{wFt zam&6(I5VZZHOhcA2PN3KrAlG%5a+JE)YjkwE{ObMRN zU?gNcFR6KBv>3uL1nUT74Up>6{4mTs{B%zZGrf_LoP(HH!Ea`E_$LV{#Oods7Iu#CWQ zOrLAFcl(NpU0?Hd+iaw_iul$DV5gU&oY-)Tu)SV4$j=iyc{%?g=k9Z^@NTA`u%Oi_ z^n|qLd)pgyK8{Ki)lvuNFL9q8vV2Pyi~T~SBkn9MJIBi>eC`QvG&{zfS9?TSRkGM? zYG~0p!>J$9F0fgRWiB)(*JfnrE{+G8zY)beeNbAuv%Fp9LgvQ{$7Q(UPfi~dgmL-2 zErC+Oi^{ZlBt=o`c%-K3E0K<~#i1r^w8HsQ+J?>O Lx6~+ng}_b7o3y|^M{$QQ zz9&y7n$JK;4~UGKR*IrePHw|T!fI*OgUNw(4EjSBV=Be3aRak5`^(QU>l_cxJE*v>PVwWsy1akCa-#P&h8P)q OXz*lXQ`6uDYX<<%9y(|M literal 0 HcmV?d00001 diff --git a/triple_selector_8b/db/triple_selector_8b.tmw_info b/triple_selector_8b/db/triple_selector_8b.tmw_info new file mode 100644 index 0000000..15a6255 --- /dev/null +++ b/triple_selector_8b/db/triple_selector_8b.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:05 +start_analysis_synthesis:s:00:00:01-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:02-start_full_compilation +start_assembler:s:00:00:01-start_full_compilation +start_timing_analyzer:s:00:00:01-start_full_compilation diff --git a/triple_selector_8b/incremental_db/README b/triple_selector_8b/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/triple_selector_8b/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.atm b/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.atm new file mode 100644 index 0000000000000000000000000000000000000000..1f85076dd8b4f9a63843b85bf5539349dbd46803 GIT binary patch literal 8675 zcmY*;2Q*y$7p)i>5k?77M(-rb=*);@h)$ySHcHg!y#&J`1i?h_qW2bE)aWf*2+@0V z5u&~Eefi$|zq{AEYwo?jbI#uToZp(cYf6ajVBH>`sk>>fU2^1NdyGB&?@KzA!LmJ) zmy;r!DDELrtaYt|f70z!ckF6;>IkZNX*EmGSJ#M%#iVLkz^_{e*d-HCu z<|4&`=%%rmdMzdI^ZOgIH}%uV@i)dzEzLfO&pMYOM?Gs<;qeJ^Pis zb}jd7YC6}oyUJ@9t8ozV$k`WJ>HB>-z5gYyc&DfQXrw&HKdM}2c6Wa0chf+|)3rS6 z`s~Azo}D@LvgiIrejo4E_4T@q?>Xd8kzY%L_oY~}I9cRU{t8~|j^$x~jrGa?_olI{ zt6%i9S1SoObcRn>Wj*Wrc=2g|A4@zvnH*Z(sdpSciOsj)rO?iETjFP5bUfcVIUKl} zoIewODt~ZgFBqF}fH;jCAN#FXPtCk*wVjKif4sZo907Cm^6`1n+IZ>woaflbxyiNWp1&+;q6f`G1ha1DE3WP9xx01Fj~D2&=%4< zO3{B(BD&Q|b*yqRVBE|it|!A-ra{_~eKr@ zzmz%!3F=)bh5B<-9BzCsPQR~>@DTt+70!Ale+`*yh%RsUoN0M4aqWSsa~1{njLbL2 z7$W27Y9{kx&@nF>nF!dd{MRAxu?p(^&Yz<4&?9hO3T)RsJ{^TX=gC?cTJ)%j8+=~j z*z4YspMXaZ`Gh!)M&6LPRh>$+S#aLGo`AuIX5nDva=)3@>~>u+NLY9iHz;e4^jGBQ z#n5QWsJe$m=b7Lh?Ev}WTT}HpSm@4HO&-Pli+08NMW!L75ib?6Gl_P~5$p5a1=Q%K zCow^L&^}X}dS1org zd6lYFjP!R(M8X!QH&ka{jW%r7j(o-Ubzr9i?muh=+X~Uf7lE4nc2Y!YKXb= z^xoFR|CPtuve#W=k$4idyi#plE=JTT+SfSdH8HfmJpfqT^2?TouFJKVHDmuWBXU=gNx^!@LZc;y!4CTe$gM-KR5pOL zhqHCB$D<-<+7!?#@t_4_%AMGanNx`I5?=<>bh*&}@{h)yd(US0gQWs!T&n~LycN0Q zLY!iI0)(K{ji~v{CU<1 ze8-CTDqi=`R^QWCv*f>!?jn!&yfSHw=YME@+VJLbkOrs9$c~ogpy+8?0b#6iBU)wL zpOZ3hGlLDiP@M?I&qq<~vN0FOeri;2mvoMP5f3J2J5A zy(l&VG9QhRa{MF}C3fcbK;gCpl|OPM{!V_V_Dy zd8(LiQG5@!U6~94;(MCQ&$ws%mZhIjL;}w(xcWc+1Q63})#Vx5**-XpDee4d{0#2p z=lq;(5W4Hu^5W#8X64~W`Wr$INPda49QR&|+Eo9rm+Z*R@I|#ls~=#AIWelf8c%7g zXMsJZ++l&MI8*51neH)x>9%zik{e}#tlp~#TZjMVV*f}NENM0R(pmdac8alnncJ>s zVvwuT*8X&q4}M5(+n1KCcRs2fbNDHnZ6cnF$88Q)i~1jMTWJVk^yk6^b^z-0JpQA| zM&<>`+bG{SS&8V&5Sdm(uhoI2isP95wl?9)7za+~g%a42GTe1lU;M~xRYdS8t)F>; z3`eFFAMm|HrnU5)Z}DmGZ%l%ezX|mHRxdD*aRJ}I$h79X^KCl)ldkBx3i})0vW@S{ z6Bx7K+2&pmv;T;Bp%8ZTy6CYlPqZ)HIVjTi^HFadUdaKTVQ9>*snmjcNUOfbCQKvY zOq}kI_(=-YAIWt^4VnwWKp}}N{L)Do`pt{u%7yf&qc`qi4PL(Wp;FN<`gK}>A_1(7 z?tt!0PCnuf-6lI!ZC;dGXyjZ8NWsLa6zk>g*oGQ$Nes5C)O{RHNFsRHw9RxhfRb`D zD-mbWCUf6-tAk!&#F7@lvQBCJm91N!QDVN z0R8%%dN2o7$P!rSV7ubZj2qwu)Lh6)2~=cB3vMzNA%+eDMyJD3v96Sq_24t1i8hvE zU}`9)2pZg*+g`L!OQHfuLWLCvCWl~7It#LoD*~l7L82rv|9TR}f!kF!*%si1RRj!) zeM?!U8U#%W15UaDf&@r(L16Wu?WC|Td()uc!ROBh-c#DJWxp+krzt&;D*-#QF%`qj zY*e0*jH8G=YoantkkLD|B8JnE$ESvz-7+ER0g!+Sq2Lg#6?)C z8HzweDgLsEuzH{);%Gt_5YH`uHt1^Wn9O{^lP#?U`kxgTAr$|((Ga#PWTV1PF_xVi zVdcp4mjlJvtRGMI0VYRrU~I%cIvT>rF@_CG|6^FX>hAlt#z3NDteU~XtW_o;#&|bp zlGjx>Z4F^1z{tXOfkzB`Mj!&(|G&soVbPrpCQMIqf&BCJMc~aQZNQylECUdRC$Tob z%#Xe_6KFpDzfAYv%(uPQBpIvP%+q@~*8YzcjOR*!nG|g>L2)M9g1xXXt>-btw0@#b zx~6cQDLFOb?{rAlcw|Ia=|G6uCZF|56HFJt(+r-6ZZ`(@{mT{p3v-E413Jb!dHg63 zqqn~PHj8WDU$U41av?FqwpnZw$I=F{QdG9cfVNkepc*bD%Kt;7X+&DkAQAU=H!y|R zPTZO<NfG&!@d_|WyZR|s8+(=1-ELd0Z2 zgM6L}t5p{jTZpSOn5}zlP$kmMYUW~~cTaI%<&n#2ll3bKN%JQSo7Mbo^BP@E)N4y- zeq{YVlJ0aUf$3D>R{l@H_jSv(9HY3PFU6Okyf;hD+WxAIc1t2qL9Uy+Q3*wWqm$`o!T@@Xmms;!2`X3xA8-7- z1=&$J)=F6to_B;(ub}{G*Mds1DCx&!>sLt{mdI0bVhJJ*@}#82?CccNh!2&{Y*sVR zSIQ5Dpoi00VE?)XeHwocQva5r!)d8k$ds?NJ2EEfA=0wfov}9+#vC}z`B|c*Xx@Oe z_J@&e*J?O2mPk)w?b@@)1rn9DVB@M1iHEK>C?;q`?0`DF?ga-^BZoDN?&aOztYq9R zk##iTG^;D{6uQjm$mh<)j7n=_A-GZYQHTP0|1S2Uv` zLOH`P+`A_E-KznQ(V0A!v}3Cijwnwe1}nDreqj$LGz!aJ4t{SZ>TDg7(?}}mU|C$& z`nlU>=o~$=piA?xOw$rEIrbK)mgRsT$Nf5w^L1$C3@6rnrPZ9_gKhc?iH%s>^iRJf zW5~on-MVRoBa$p&xuLaZ;ltb8CB?}a)pj(MO~EhAhnt78s=Mk1X=``5_@I%zd|E^~ z>%s{1%Fv7D$cA%xdSDmz@m&`MnudanUSGu?--fr;&g9{wA}*&aBgO(w)$z-b(J$u= zd?z$h>s9@_+ZY?8HqWNW_umbz#I!WMO{qiKCyrmKwTfP-t0JNbF)k>v3_&lOTI2| zL8+2m`?yDB_G4T&#$-Jh|#-pR~^IO_?bGG9<1m4>c}Th`1*Bx7|1G1Ep2cXoAAq; zQ2r@MwhXoA8uo)+ zagip%{Xs;@&`Yel58jn1ukr2xnUfT@`tM3j`?a4hZtHRkidZESpdD{W-x&qHOMc9@5F@ zc}sdD2+B|IY7D^{a8uJRi}QBwUvJSMka;2HEMYZ*d<;fjtr5Q)#%%%L`IgtnutOyX zfDGd9Okn*Qq}3{!k*wKZj31s|Wg?zo>&b3;EB&&$KNN@g;w}aIlLoELJ_F?u?}l>* z%p@PTZym#3lwVW$6n=_m$omvwyrU^mG!REOw$_KSbA(ZV-XI+@A+LRCz<=DH8!MFk zqP1Wn=54#;xWSvWH~yvvq#qX^VKreI$nN5s-F3_s!3sN%xuywi;WyVOSP8r_?u7-2&-8_BV#CgfivS z?|u#u-BV_v6yrTpXw2Xx@3)Zh7y2Yf9`U}KIGI?IJ@p&r-KEVkFl34dI?A>X?MpyL zi#UIoeotIuCCcIF9xSutxXx46C*$ee*?sr5dwa4if;!?O^2uKut6aU*dDXa6!in5f zQLhj_vG?XL#qLX3TnW@?qlR{?1!3}y+qG_Q01Jj6b-x)NQo3Gsw`$EcZ*S;-74{A9%6ZIn*>-zJ^4`&1}3{VS%Awk3@!nI&&$zsTq7PEV|Naf3BUz zmuBbdk$L>PZd)oWNuaqY7tXnQ*JqGB( zp`d;jROeAo-`JS9qk=+NlKX(K)G+M;jheyD{lF492ww#|<7YCfP3E}4<@XRx6)BZc z*kVeP8K`r9T^jZZghAxPsR}LKPPQ=cQFfwGq7WfU$j$^0U;!#N2La4L;yn%bZ7DTA zYc1=;%t1aW(Qt_EElMgvS~|dV-4-Cu;6d;pIJ5&ySb_5=o>!&!ozUoSpF`ei4FpJC z#RB9LI-+9W6S2{LyKE5rxMYc8M1=rZ!T8}lA_%dfV$yjxu|nmWqr$g}QQk^>B5DcE zZ;tNwsN;u-R4Iiig_8q79uI|ax<7ylg9)e!s6(-->DqAq#4xqJr?Sh;VDESws--}f ztH=Ju!vmK(@7sE$eFzAH$cNt!v`ji{?p1}5N!|*TLV)OXI^epUk99lY189dKu<*=J z6^GCgL=vC9ZnMr<`cHZoWs==>mSv-Gpzb0H3vI2=aIiCf>ag{tSD;Y zsLRV*fT#9csY6ZyBF~4xu7Et1oZdd^fHI7c6Ye7&t{Sddq>JVcq~TFPSCOF`pQ&um ze?za?ai6a#>c83Rib1`mt-IuKxn||r_hW9B&Qam=%=L}dKkRdFmhNPES4XhUjX|m3 zI`_xDQq?7)qUjCpS(HOkU1DAG8aIAPr`Lcg-JP-}?zejJ&$;!pE%f-KehbvjYfx`c54TJ2)71UL zp5Py;wUQ@%Stx(2#fAYbDBdT!6$c%T>-h$cp>s-Jf+#8st>~) z-5hSuIM%SlG6%HEVjJj|2ejf1(yCUs(cBcpG?*WB*IVlgmcmCwX2Qpb?`62n4-}FX z=%)OXPwq4^0O z%-sQw2+T=8K{J^?2vH-fS`lT41> z)w}`n-VJ?eQVxr+i-eH+L1pd1`MsSQL8T6reoM3|2D4^pXEzq@@MZlsY_}p9v(P0) zQQ7ExEzKU)qL}GRf>0cffcE36Z6Ve0F!_55cxA=6hSyGWpe8h@Un4nKHQ(P>HBrF~ zDr1zI!mL+V4to5a!k8QvcF;i0aRraU7z9s>lc(grxQANoN$$f9YVXuSgVm}k8sBE4 z^>pT+W6BwD#sP1uC2zg@>#c#nttOjt)%(RsMvU4D{@~n`SM&#b3VaH*4;1>6w?q{R zg~pxGHmffFY>^JNm|*WsH`VYEc}fK*xa(~nT4V|*k((X9$T;^PwaarVnL;T^PTdEz zvYfRn&~UY=nZH~&SjTp<$v|-s^m6RxI}AIYNHsb6lSlLkUVi9h1574NoUH9#@({Jw zSa%+M298NNL(Yg>!WOd)rh8f((p@YBx%IPRw?-OareSxkL}mAKQnmlqX($WYxgAQA zi>^5qj#7*Kn5HkN5EO(7^=kU-P?`IG4r#y=sD7+|9p=8hbvp~z|IEU!co)W`8kYze zQxZypytL5&gx0$JE0pu&Y(u8b?%aQDjcxA=hhtjOU{@KgX3yN9CJfrcY^WNS?zvJG zOgk|i{cosrB_(p`?{3;Mq5Y?s)Uta+zIynh0Z-3`(IOrODS>TO>#RQtzC(Ao8m zEOu@k!wpuGv_)|!;2HM8h@9e`sS%vuBg`W0}IEa2kqG=+OC6T|qQ3#T|nqKFW*paj7qMc_oftx^{(6_Oh43X|)Bgm^? z7waVJoG|JnQ#&?zZ;-T{j3E(qoZ?u@I`_&yB+(vUW;(gV|$A*@)YM zzy5DQZ=OdJ3Eo#9IMXl`wgXK1r}P3NI?=KIJShy2Nx5OPB0&6Ow*_{i>Au$AqI>@p z)%u;f+>aiBbVJo zr74lGL)pI6|1a0Ff4K(GWkc3hR1X>;(#)Ub^bFWCL){SJA!93Xj%J?_>yZ12?k-ki9a&IWiM(Y-(=$a~OKsIjTqGvGRRK)%|HB z=8w<0Ig1|&Qwz0PgbZ;5895hB;DMV7@4iK{>`NkqP3bd-!b8!gxdgOM+A~&Z2mVD`wG{99Fvp-7AUBS)1W!X2hSAxZY1Y&xJJUN z6hfg87J2@vVNIRvm;QeKV-9>CesfL*_@s0QyiGcfkcYpZv3G*Az864|WNx@h;uy0Q z5W!W%Q$>T#Q&oy0dh)I4f#%c+pSU)|o<)2CyF;#Vh|LM_u|t zbe+kN0TFhkLg{l)H6pF~>&*IOcdOxC9xWvtr5+zVt+j{Be$%VUUMz(dc3yXQH5raE z=6Xcu7_l4=LqS}lOtdz8EqOih>Y~|2*t61&1jK&W3A3i0ZxObRGr~kwuL&9&8_nsIn-4B97^r_d4%jbMjlLk0W>4FI#-UvOJ zNp3(w1;4vy&ZITGGu?-)nUdWIwJZvNmf75F+&5LKMO>)5e+0?ZEPu{pPMB&e_!H)M z>ik^Y7GV{@RB^_NWyez#l{f7e{;gv8m-omWM3I;3FR?{i&+g2F{&3E=$C`!vLd~XX zIugQu2MUw0vK9M-&YIlwfUOSz|CeOuvHNSY*;2A=$cLdJ+aK;;z@|l_eb@Ox! zx0CeD3BQDUchHvV`4Q{JmQvZ*6Z;%89KIl XD{$v2-kW6b>BjfE8zSTr2cG`}`_{>W literal 0 HcmV?d00001 diff --git a/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.dfp b/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.dfp new file mode 100644 index 0000000000000000000000000000000000000000..b1c67d625638bb473b681fa4acb00be38889ece3 GIT binary patch literal 33 mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.hdbx b/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.hdbx new file mode 100644 index 0000000000000000000000000000000000000000..30c8a44b56829a8a00cebcae81c450245442ffa3 GIT binary patch literal 2157 zcmV-z2$J`#4*>uG0001Zob8-lbK*D_hOfh~P;s-}RcvC*-_tc0;5a?rA;1F37JK6| zwjkayHsz0WGhLnEzDKeJMBVYGm{iv$?TaKJ$&${aBcE4ttcwd|r`uf?t?vr-&VG+% z%aZ?&CCNhlxFDGi+1WPD{31$|&cy{T+r&rI)WgcYFmNn8}XN1r$^!O#$WbMOf5Oh^j zdUWwodFXC60{(UzM}fbL35x5Y{-M~OR*zNiDJt#&Hk8~%mEAq)uwS4ZcZw*dz?l_! z3UzKvaE;1YXa&cxt0ApMAx9fODOY|_lo_C1?zlk|5LCpHmVD&k>r$#D``xvH}}xPpImWL#hgVwt>ov(}= z{ke+(SQfyaYSMRj!VcYG)a_C-iN7J}PF8@g)a{%>%2~17(oT%V)9d~anmAj<{yK-0 zclP*t;trjucj?aT@vSp;E_=uwO>X8rbTu7cd$aM))OLDkGIhM!Vl@BFnYlCgI-bqF zD|bG3M<07=XgkAUop@B})}6U?TH$PX>CO9-Nq;bO-qZGP+z~73u{P}S&1eq2k!V&P z+>wp%?R(grOx^1I_qx~%3r3%ocDsv8Dy2mjpy z&z!_?uShe`T%Iq()jL`5fnO;Yew!-&sG9HTz%pCze}=_wM> z!!5lAdWNdj^bB1R=$SxIY3Vi4(<@ar)>gGLRbrPn|Y^Pr&$F+-;FcG0qH@;q$p^&U2b znQMT0Z{>2cTsEb>T(*RuD}!Viv~szLpQZ4iYiUBz#UNP*tz2%D%NC!zrX);V1#W$V zRxUTnWt9hAT^E9`3X)~e%H<|{n#7~7hJ~=Jfn*u9a=B41>pW~Ih7dJ08Z}zE+$i{D z9yPEcL=BxrjaDugC4Gd6myzQxhhy0&&A`^>U+Lws_PvWFhLRu-dR&z1*mm zH6C^~U5L9HtTyacFE?(^OFV9bw@43~Pn3Kz zw9-oEX34A{@O`t^McpXLixcB6Bn||CgPW;Kvwj3f`Nx4&*?$#CbmdmX5n0@GG2|NM zgRlbO2L9W*6p0R=$!ib)qcd`*ea7f7GITGY587V`c$kTLW&eq{vY*VAEV>M1o@9wr z(!e#ySL8^t{(_!_)e3-o;!^Y{b0vdGTIx!sxEE(Aoa8I=C9J-nFDdn8l226kKbbG- zbbZczN&n_iG@q5)EAk};zo0LvQcb{Wed!s#q*{E@t%)0rhQ>+0B41KpK(`-!$*c(A zR(U_imlTZ)Z&loNHFQq$HTjbHg1)420g@oDt=SCrELMC$MFw58 zIC18^VP+P6DTN`k#FtVqtZ)}6K68D;#7z2&5i`XXQ4|@7ov1qBFfpCJe8Pz7;>#zB z1;kE#w)%#NY4n8?Mobf5I8i}IEl%8VdBemMo!|Nu@g)#~Cs zI(|-1Qej6_3@)$0fT4rF-1~9)L|t^kcR#RmOT37lO_9s>w^fvSWll1$2HoZL@!~8q zBWt!?u9AXuvxUFmQIend@$OH;Pl||3Syy#kwoF|UN~BrH&Y4i_^i8OFVYDWBL0k7p z7zRtjmsNckkWgBMzPVBr3md8`@hX jdvb7^#s+F@o^GXf&*PxgWv13Ah8#w>J~8CKEO$A!BE3g9 literal 0 HcmV?d00001 diff --git a/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.kpt b/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.kpt new file mode 100644 index 0000000..c1e72d7 --- /dev/null +++ b/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.logdb b/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.rcf b/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.cmp.rcf new file mode 100644 index 0000000000000000000000000000000000000000..479b7f5f0e5d0f28335199b0a18d31d789c3ec5b GIT binary patch literal 1469 zcmV;u1w#6)4*>uG0001ZoV{6DZ`(K!evW?y(Y)*eMan~Gf5C)@AOC&~8P?3k|eC5h5B+=F<3dPM)O z;yt)pCji<2!BcFUVjEzHapT#|PxkERFgeiWfO`VL;w9QW0!&N*t#`~&&Jg(C<_-A%RVFo{P zx54Hocn{v(ywLY}IRM{pI(~CDJ9-S6zxQDI5qr)JhK}c)&HkmUM^AgY>VEw_n~f-C zzvU0V1?Tho`5gTI79^DTFnErWY?_T` z9uyAP^2=cH>EdE}Tdkfg?itLs3uoLWf(@xn&I*wJ>VA3aU9VP4@19N@4>()Rr1SoD zkO8^=RE#4Z)?eWP=J@@U@&){r`VH(BiVTq=J9n{AdRS;2XehGj;~e2xfF8!L zc0K?(N7e(voTKb{`g0>Q3mfLPV`w=^0VkvwjtQCAWB6fpCR7Uxx`t6@8-=YAGBaaMA!H^G z^@?N(oWCSf(r}oGcgOG?pND6VY_)m)SW>*O!`+@-tIX4;mPWv5VpCd-b* zvNeij(vm-rL^BzaK!`4Z5Rv9Grm>SJUZZAP+*alXI`8seYa|FZE@oPLFjEW05ntI~ zG4Y@PiC+_;a-)8GxdIE}`p$|JnCoGYd#U`JJc)7B;bt39BFTA)wD;!E zA7rF1&(|EOTWH8`y$N^pH<#J}fCEKYI-^IfVTxy_T&*U!t#76fkIqe)9c_~Je)}gK zrZ*uqPU$V$P#_wv?ekIrT!1`Rf;`uP9Ofn~C@1mbPUDA6#E)x#ZrB#{)u zJr#yeX3~feI@l2WrWF-Gp}f@W;e<0kz941t==NyDQX5*3JR4LyTtSt3cFn=Y)!XG zTk4G1HOWWOjd{(ZAvE%+MbWDb7v2}#CR&-nBTYRSHCW4)V8#HYvUX%p&&^c zyDoQ3JT-Mh|4mV$Y4U>W^Z^IJjoVF|S;; zUrq|B+Wve09cOJn@A5;{J2A4bBMstU(M$2_-!za&t*&`eUW{8V0lleRXb?BfMJZWr zaAfw(!DrOdpg|HEEU-noqy=jwxBu=MYIIOS*SU52dcA)<8>((i)o>}gHMWodx>{aX z-bi$?AVu5+Dpp8GlXc}6AOHIlz0IB(zH-XAm27}ofVtTYQ_86v!9 zoBRIgIelY}ekVzt-jSB5VoCs3^5e0rywcmPi<6e18-COv#acDEx#evWBhZtdkFm=L zP{r!BNLQYEn5|LAXKWPRmY(KbU~gebV2e0AT}7~L#9Hq(O7?;A_J?WH!l(mO8~xm{ zO*TQ+<6_(#mz*_?m3LAoRF@c!iand(Nk%pmEIS^UcN!W!Zu*1@;FXTryznN@yE34) zcW#{$c05Gcwa1wXgcE0J#DufD(4Z^>50l4)sIyRFy#rOybZoFFUUDmSa8mi&BK^0m z#M52Wy7FPGF|vf|zIDa50+ z3jOYUVtM1HQ9%Ju83-*+SNr3oRlbV#lFe?C-3oT+D^C)w0`J>F-1zHmbjA-!|AZYz zS`%<>UbjNw+zLnhhZQooi%8R*kJN^%zH+t%V{fZnvh0)LCQl7GAC6v0C*~y=81W*} zXl|mg_m~h2DjS}4K7Z7fE1juM9r=^q^!0)+io(Un*yJ1G2np&IQf;@Dj#KqspLIR2 zxj17+{JAym{bui>mbiOUG=J3CwbK5l1+RMa}3xRi%9yP(| zK8PRdMDv>cxt*QD1Lt_h^YI;sW(K;2*sYyD*ZryDxMR6+Ny|r-?5Td8Sbc-3fFg|z z?Hbv^V;{exXOTjr9f0`*wk`uMYN>1o@!TrjjviMXr0Ct>WYS>nWjmBbOm}bEc3=6h zv0Kvt!A#5}R4>yN5?Ou2qr7_f?Vr4Y&&E7&Np9d0&i1#Q)DoGr@p#k134q`dRk6Pd zoUU&&W0RtBS7SL4Hqlh=IlUNO#ze=Pdh0~MO=CD2OP#j@bw`<@|72jQ6+VV($ zWxN#Jf^56dg(AzU&^HW7<>lh|$B9_{`R=D09kB;vaCTx+r@^lR-iPK+%r?I(SX8>{ zYI_caJ{)0YzGZVa0|EPQ_U#;LYjpeu0;^xEUs^3fffVAjNxt;AQr2U@*~x;GVRzX) z-Ly)n36x!tQ1~eut0hE|Q)8Bn7ZmVDNt}}Z)H$)J44(VXKKu*aC1U=&UPnxyu4{7` zwe6|0{EO`t|o@3M`1aa_E=b2VMb_wI#1+dBq# zW!o=;w6y8$YjO3XQh;-^Fb*Z;`1vb0WiswhiS1K7$6)8I0=lFX#;Pup(A`%`zoI#At-FFc7P~BdA;39 z*%B!?GSOZqAVboFO{Rryw-aqk>SME>xia+lC4KHR@Q&29FI4+noPsWo{V5<5Gp9sC zSiHA`C-OVX;}gDee#FeH^#|@um5V*ZwRoFgh!HsLrw&V+$wYtd+-Ky<4RNPCH;dqS;9J@wl{8jrk^VynYCqhn z7Do<-J46=dw+!CVw?<;~2T0yN;S(aY<<{R~Zt^Ky8ccG_o*M>aQVsm^d^(x2-65fy z9XX%B^w{s`bmx&BwGWwNKacZDGuP`Tnx{Wpbc{}>{oSZ2p0AL-l;PWOauXP*-IEc# z;h4E_p~xDFl!|)etAmtBP*=&yaLEi`xZit95*i$Oy(4c#_u7kkWdIr=vhjtgfE3&f zq!^=QGZA;X)iahjqaz}MTz|Jzz4C^hu8Rb%{m)M{lX9FCIsFCsLJ0CXY5sn3`_p{v z_Vd*VYMCCv^SbL%oO!0Gf{_#VF7>ykZp{hlspw-2*7eSO~KwJIJv0I#?OQO9f%sa zm^*y@y`Bu_CYt@9qPs6-Iid!2ylKF!y&Ni8Hfey5B?zG@;@(U8*^+JEL^J8@<+`k2 zZv%*H)#YsWO`N0^YJ40!c>hT(bxMVobNpoNggLJ|lp&?}6P`l0n1A}c_(lHnS67=Q z$IrN!_rB6MDj%_aV*&g;tj1;On)qVx;CzrBy!hc#-+$c!oiL|Whf*YVFX@-mTbwl~ z9)u&%eakq+eYZ+|%Hmj6hr~j0)w1W63lLfYL5@AV~5mNe6?rsigl4B>a1UC{v?r?p; z#mfWhk2lnrS;EU1e}4(blRd)gk8z|Q#K_SQ9yy(O*Uo)*6uutq(w)SJAOaj?G#C}4 za*`+!>vTH#k>wT)0UmB_*#R8s3P5p<2O;j3+h6$P`?pByWR}Rc`Eor-a)s*4K5QEN zmd3CQU7r(#FIl>nY3Ib39nUl|iaU@oL+-iHxP>-A^#9Ac!uvzJn z_R>gmMx?30liI*b_Di=Q8iz;hX`$3yC(K2<5!8$Ka)nUwOHn#`GF>j$;hBa*w=l#5~FIpA-VER&yy;_F)M3lZK4~GJ! zn3h?{W&S|FMX^a*)E6?-pQW6G!Gva#D};JYS%SvQIRin7}vqY5XO z?&|B-BwIDL=^;UVMwqH%WI4$0=x#Pu*w!*RuDkmVcIhz`%v z^8l|I5Ba7;{dz0R$5g$~x|)0-yNcC+nOa>jogWgUY*_RporHNQM*c%uAr$#vqGlmg zji`%xcx_#VWrgH>vfV|c+CcNv2;j*sy+Jj?|3&#l|KwNE-dDSS0ql+N9v*EDM7y_T zxQE5Ue$nSx9p-8u8bD`G1`eIfC9ULVv4~@1AJ{#rnl(1nM0MN z|C{G8mu}r~6@ZK!>9FPo^2f_zZO>gx3xuFmB9Ivmea2n(h~1G!vw#PRI38!8yh_*a z^5}-{Dug`j9m?Jo+@^SR^j@xN-YVM;!A2V~g{+D}utdK&4E5SPwG&U}n?ZbF(AjOs zp)^l$D`KQvi*Zz-T)U~cNn9K{Agpx{S;@5pX0=sIZW9;nPnsL+h7Fkl90<9oxz3ybV+|VEM=jf{C5s&}qs;DYiWt#v zSJZGJ4z|jbe@=~RTODjywXX5Ih3-o+K|-#QMGK#RkNkvW2N!}#EK-EToFySe0<&pC zVt%v`XKq1c)q+(>n_^5x+XqQqJgP`r4d1L-obh$O0g4?BKZNWyESRbWP12Xs&U@gj zpm%}Tc`NZh@QS3+t3txt6gf5bnuODJ=s~0vn)E@GVpnEDwpnU=RY|DVyA^b$p9xly z1%tCdpbA4%?sziWt=4^B3g1$5mZ@9w5Jf2$4 zoRpXELo>QdV3m)>9?n~tVXu^1|F^(FPz|`3!&bwh(`CO~!j+1?fVpIJ6WqQ@7^N5OAGlue0*N43#zut%A0=&TDe|y6LqpCd- z>b14^nq|GbheNBofus69^Ua~%2I9JZl}hAQaxneXRtv^m&PAmy0RF$QbGTqcQQFsr z&B*UyF{QRfyC2K?URkEgyLc7Rp|=vm^tJLUzys3PG@5!J+qVqXe;%rD*T#lXlD$e; z@a60Lo;{e-7Uq=a9C>zUb>(UT!om= z2iLZKW02BRRac+vV|u;eQ~ve`qioP^!(Fy|zSmC_Lz1!}^*w(8DZ6z1077Ejgj_)u zQ=RSEHDS_m9zOQ{&L{j}+e`U~)4m+!H*M1!K^vI4oE1&f%|4T0cd|gFFg;fn6hyhx zZ5>E57iGw&Nl822ZT5%3R*2_lkGiN;iSn&p$-5E46x9u3n>b)jA!N|g#Q~-*XdpNl zo4NhsZR|;gt^jnG23N}9XoD+WsF(|$d>WNVr) z4oVo)e$B$5<`SMHa7e<7x)@>znpTpDr)2U=75plED8s*=wege-3W|@Vy7e%5c|E@Q zfF8>1zS|<3!Ku6Iq}BImp2u`1IhN|dzURJ8)n}jzDa?2&P5Hhzb8@UkV#+55|Mf0Y zoj9Pd-iYxAe~~_%Ii(!a6AdL%6~6MLI9E>>$$Fn8_@Lu(U&{K~ zi&KE4Qk}N`yX5zNlzsJvIdDRrJw%<7Pu0z8j`JcV^ ztpC0V*z6Uiy9&4->k`PWTy_;L=_TYUnt$vBM^;#Qy8anm>-CW?=VZ!bCp%Wbewl>R zA+gbe0x``)1*u<_JM31kf?r+^3)qxOe7_fS-<2m*`M|<(y>2`s#W_QY!m7)fkTkq? zs7KYPQZ9Wzsqs;v1b4TX7~P~*H{3R}g|}M_rZCC++b$FwEGo2gt{BLN5tYQRWiig0 z?sssp literal 0 HcmV?d00001 diff --git a/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.map.dpi b/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.map.dpi new file mode 100644 index 0000000000000000000000000000000000000000..1d82483e720ae201e830735434f16768f79a6c24 GIT binary patch literal 781 zcmV+o1M>W=4*>uG0001ZoaLIqa@sHuhVOj}4d*s8ge0V+C}WJ%A(I43NE)9!vMqud zVG~)VWu^~b#U=*FhH;OzxY*iV?dsF7H5Z}F-wO^_RKzR_FIw#*qXlT_M*$0;FIo@N zt1rFQCH{n#L`Wu8OpHGP_1hY?((}!e?)3|8M z?PYW7iUfORA>nSiHYwPWQ#YoZ`Z5x3&pYyh8G^;A>P&LIq-&Bc8}j*1=b}6wyyrIEJr@+R!A1<@HpUvLzOiRTt#U z6GW`>uSmQg_&z#-Gqs-%BlvUbzr@J`6B-1*cShRXQ_rV?F$+j<);&J&oOQeEc;<0? ziV4DGj34gp$!B1VZpXtLd!Pzu=JFweexDed9%5!Q;h2{ar{lOK2Z!cwcN-I^pL{O{_$?mWmoeI5A z?{|?7$dV~wl%&W5)XtIxmFhE9CF()%QtfbsJ@-|+Qg^7bVn;12ec2xuFoB~)E)$91 z+xvk?IwJ{}?uzh);wKeeFzlaLIOzS+!`;A~IPQ2fneN}#bRyi(SPQ>j51)nqOyB+= z=pCKuEv>b;wAS9#T6q5_uG0001Zob8-jZ{s!=$DiX*LHK2705_2+iIO_#gY76&wT|ujG7ImE zAWO8PR+bE1GUE=WpS_2a=nE$a7T#c$1le5lU0Y33JEnzU|i&>}4}ROS?=t0!9gD@P&CqjiFcG>!8Piqmxz zpjDX!tU5=`gr9^cEgoow@^n>v_A|Lb|KsK`C+ z!vb)doSP2PB#bIJ^^j|<<7#AR0K-fGI6Hb;F7qIZw!D+>I-`^gp(75tr)wY121R!@ zrIUk?+QV?GGvIHxaTNH=n4-9D>K~fzX?3`P&r$IJu%YE9s{HQ2fc*mPL{LOI1J1q3 zQ?R)$AvCIBVFr$2SEsZ(3pv{ONxAZaqRas8awi;OfWQzh;x1Ihj5dUbU&45@=udVw zqP)nWWm%+IevQyLrap9=(G~buBj@Mu;O^c{KH^oGaULNp{3y<^TdegXO+u*q3AL+! z+pI8zv+o5Nymt%QIsbL6`1 z53Q?J8OL7TlGT2yzuMOd>Xi|=jWq))6lfESicIW}4cAJq&{0&79ss?yPfo?!cLNx9+q*`s7TU+YWMvjbI?lMwE$3O_#c5Eb2p|m+y9I(djUhXvnP)Ap zm*MK2N;(ip8ir3xXJ2*eJv$ZO1Tl!Tc2{kPoe;Aus|IE(`YA6>0baP_b;8Tk^_rJS z6p5DwytIbb2`{23H7}x)Q(ig&UV6jpgqLoRnwM_kQ(lG+yo`p|2`^0*317ofz9%f- zlZMyn3Q0u1hmTWUCd>C`!|Q|>7U^D>vc1Y?o06c^U(zT+z3`#uv*Gq zu-eiBse+*BHG=h&n5~Hg(l(?85`&=VHG)+VthShumLg3^4N_RI5v-D6)x`oyh_pcJ zASil`U_Iq!C}M>)u(U)PASil`V3hX__p*Abs^4!7330T`!2Ky0k!IMywI6l3=y;y~0^F*4x+SfPIcXWvl++;O_Z!73DOOvokftiFkUA**exq0=#cGHp(jd|rX@J7-H;Prd zC9Q~zuVX3U6PEBB#VRRQA`(8fqG561Afw zFV0*ep|B?*>&+)FtNQ<-Q~x=1I)Bowu!&^C{j16EoPW|D2d1zxuC( z0$QATf8v6PX+)%cO@8^`V445SCwUi43}cb`vAh9*u*`qv)yV}Dvy}Z(DR|Xzx?|5B zPG?ZIAIE$OV4UG>ugL!^nJ$6q_Gj*B=#59r|H)`Hdr@|NhvL55pN#J2!^(=l@o_Sm zkN3a!C}>hd#m?gq@FWQ4GLzPR63~6KHs9jg@^iMwpKqS--QIb3;4Aw2=*Q(Vwiwj* z2fm};i`cH|T&;htqSPyMnt3(awy-Cf^U92_`DI5{6r`Ii{0)za{KSuUe^RlBIWASA z6QbG{F{Bo07V;g?dR@K=4KIw=G%r~1J`KZQY5J;8mH`cwRp?tQU9+*N>xvkXzu9hK z5LxYu^5NStkm}sZOv2^O6Ig=fm+LQ^_!JF(4$YBeaYV4$dTW}{tlo|OEDz-w491ff zUhuTVH*$L+-O?naNx-*rANCdOd^Pp!KnW$%!Tg9Nf&~@|Fd)7owZ3<`2$~l=sJ(fPyAemE4?zF` literal 0 HcmV?d00001 diff --git a/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.map.kpt b/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.map.kpt new file mode 100644 index 0000000..eaf76eb --- /dev/null +++ b/triple_selector_8b/incremental_db/compiled_partitions/triple_selector_8b.root_partition.map.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/triple_selector_8b/shifter_8b.bsf b/triple_selector_8b/shifter_8b.bsf new file mode 100644 index 0000000..0cebc72 --- /dev/null +++ b/triple_selector_8b/shifter_8b.bsf @@ -0,0 +1,183 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 112 272) + (text "shifter_8b" (rect 5 0 62 14)(font "Arial" (font_size 8))) + (text "inst" (rect 8 240 25 252)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "R" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "R" (rect 21 27 29 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "A7" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A7" (rect 21 43 37 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "A6" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A6" (rect 21 59 37 73)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 0 80) + (input) + (text "A5" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A5" (rect 21 75 37 89)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)(line_width 1)) + ) + (port + (pt 0 96) + (input) + (text "A4" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A4" (rect 21 91 37 105)(font "Arial" (font_size 8))) + (line (pt 0 96)(pt 16 96)(line_width 1)) + ) + (port + (pt 0 112) + (input) + (text "A3" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A3" (rect 21 107 37 121)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 16 112)(line_width 1)) + ) + (port + (pt 0 128) + (input) + (text "A2" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A2" (rect 21 123 37 137)(font "Arial" (font_size 8))) + (line (pt 0 128)(pt 16 128)(line_width 1)) + ) + (port + (pt 0 144) + (input) + (text "A1" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A1" (rect 21 139 37 153)(font "Arial" (font_size 8))) + (line (pt 0 144)(pt 16 144)(line_width 1)) + ) + (port + (pt 0 160) + (input) + (text "A0" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A0" (rect 21 155 37 169)(font "Arial" (font_size 8))) + (line (pt 0 160)(pt 16 160)(line_width 1)) + ) + (port + (pt 0 176) + (input) + (text "L" (rect 0 0 7 14)(font "Arial" (font_size 8))) + (text "L" (rect 21 171 28 185)(font "Arial" (font_size 8))) + (line (pt 0 176)(pt 16 176)(line_width 1)) + ) + (port + (pt 0 192) + (input) + (text "LM" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "LM" (rect 21 187 37 201)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 16 192)(line_width 1)) + ) + (port + (pt 0 208) + (input) + (text "DM" (rect 0 0 17 14)(font "Arial" (font_size 8))) + (text "DM" (rect 21 203 38 217)(font "Arial" (font_size 8))) + (line (pt 0 208)(pt 16 208)(line_width 1)) + ) + (port + (pt 0 224) + (input) + (text "RM" (rect 0 0 17 14)(font "Arial" (font_size 8))) + (text "RM" (rect 21 219 38 233)(font "Arial" (font_size 8))) + (line (pt 0 224)(pt 16 224)(line_width 1)) + ) + (port + (pt 96 32) + (output) + (text "Y7" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y7" (rect 59 27 75 41)(font "Arial" (font_size 8))) + (line (pt 96 32)(pt 80 32)(line_width 1)) + ) + (port + (pt 96 48) + (output) + (text "Y6" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y6" (rect 59 43 75 57)(font "Arial" (font_size 8))) + (line (pt 96 48)(pt 80 48)(line_width 1)) + ) + (port + (pt 96 64) + (output) + (text "Y5" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y5" (rect 59 59 75 73)(font "Arial" (font_size 8))) + (line (pt 96 64)(pt 80 64)(line_width 1)) + ) + (port + (pt 96 80) + (output) + (text "Y4" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y4" (rect 59 75 75 89)(font "Arial" (font_size 8))) + (line (pt 96 80)(pt 80 80)(line_width 1)) + ) + (port + (pt 96 96) + (output) + (text "Y3" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y3" (rect 59 91 75 105)(font "Arial" (font_size 8))) + (line (pt 96 96)(pt 80 96)(line_width 1)) + ) + (port + (pt 96 112) + (output) + (text "Y2" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y2" (rect 59 107 75 121)(font "Arial" (font_size 8))) + (line (pt 96 112)(pt 80 112)(line_width 1)) + ) + (port + (pt 96 128) + (output) + (text "Y1" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y1" (rect 59 123 75 137)(font "Arial" (font_size 8))) + (line (pt 96 128)(pt 80 128)(line_width 1)) + ) + (port + (pt 96 144) + (output) + (text "Y0" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y0" (rect 59 139 75 153)(font "Arial" (font_size 8))) + (line (pt 96 144)(pt 80 144)(line_width 1)) + ) + (port + (pt 96 160) + (output) + (text "OF" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "OF" (rect 59 155 75 169)(font "Arial" (font_size 8))) + (line (pt 96 160)(pt 80 160)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 80 240)(line_width 1)) + ) +) diff --git a/triple_selector_8b/triple_selector_8b.asm.rpt b/triple_selector_8b/triple_selector_8b.asm.rpt new file mode 100644 index 0000000..b79809e --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.asm.rpt @@ -0,0 +1,129 @@ +Assembler report for triple_selector_8b +Mon Mar 07 10:24:29 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: D:/projects/quartus/triple_selector_8b/triple_selector_8b.sof + 6. Assembler Device Options: D:/projects/quartus/triple_selector_8b/triple_selector_8b.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Mon Mar 07 10:24:29 2022 ; +; Revision Name ; triple_selector_8b ; +; Top-level Entity Name ; triple_selector_8b ; +; Family ; Cyclone II ; +; Device ; EP2C8Q208C8 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Generate compressed bitstreams ; On ; On ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; Off ; Off ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Maintain Compatibility with All Cyclone II M4K Versions ; On ; On ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++---------------------------------------------------------------+ +; Assembler Generated Files ; ++---------------------------------------------------------------+ +; File Name ; ++---------------------------------------------------------------+ +; D:/projects/quartus/triple_selector_8b/triple_selector_8b.sof ; +; D:/projects/quartus/triple_selector_8b/triple_selector_8b.pof ; ++---------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------+ +; Assembler Device Options: D:/projects/quartus/triple_selector_8b/triple_selector_8b.sof ; ++----------------+------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+------------------------------------------------------------------------+ +; Device ; EP2C8Q208C8 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x000C82A8 ; ++----------------+------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------+ +; Assembler Device Options: D:/projects/quartus/triple_selector_8b/triple_selector_8b.pof ; ++--------------------+--------------------------------------------------------------------+ +; Option ; Setting ; ++--------------------+--------------------------------------------------------------------+ +; Device ; EPCS4 ; +; JTAG usercode ; 0x00000000 ; +; Checksum ; 0x06F0BC42 ; +; Compression Ratio ; 3 ; ++--------------------+--------------------------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II Assembler + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 10:24:28 2022 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off triple_selector_8b -c triple_selector_8b +Info: Writing out detailed assembly data for power analysis +Info: Assembler is generating device programming files +Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled +Info: Quartus II Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 242 megabytes + Info: Processing ended: Mon Mar 07 10:24:29 2022 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/triple_selector_8b/triple_selector_8b.bdf b/triple_selector_8b/triple_selector_8b.bdf new file mode 100644 index 0000000..8eca736 --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.bdf @@ -0,0 +1,2247 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +//#pragma file_not_in_maxplusii_format +(header "graphic" (version "1.3")) +(pin + (input) + (rect 16 1032 184 1048) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A0" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 1080 184 1096) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B0" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 1128 184 1144) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "C0" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 1272 184 1288) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "AY" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 1320 184 1336) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "BY" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 1360 184 1376) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "CY" (rect 5 0 21 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 888 184 904) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A1" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 936 184 952) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B1" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 984 184 1000) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "C1" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 744 184 760) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A2" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 792 184 808) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B2" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 840 184 856) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "C2" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 600 184 616) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A3" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 648 184 664) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B3" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 696 184 712) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "C3" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 456 184 472) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A4" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 504 184 520) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B4" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 552 184 568) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "C4" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 312 184 328) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A5" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 360 184 376) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B5" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 408 184 424) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "C5" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 168 184 184) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A6" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 216 184 232) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B6" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 264 184 280) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "C6" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 24 184 40) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A7" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 72 184 88) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B7" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 120 184 136) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "C7" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 600 1088 776 1104) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y0" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 600 944 776 960) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y1" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 600 800 776 816) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y2" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 600 656 776 672) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y3" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 600 512 776 528) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y4" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 600 368 776 384) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y5" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 600 224 776 240) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y6" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 600 80 776 96) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Y7" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(symbol + (rect 296 1024 360 1072) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 37 20 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 1072 360 1120) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 1120 360 1168) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 488 1072 552 1120) + (text "OR3" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 18 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (line (pt 49 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 13)(pt 25 13)(line_width 1)) + (line (pt 14 36)(pt 25 36)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) + ) +) +(symbol + (rect 296 880 360 928) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 928 360 976) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 976 360 1024) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 488 928 552 976) + (text "OR3" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 18 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (line (pt 49 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 13)(pt 25 13)(line_width 1)) + (line (pt 14 36)(pt 25 36)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) + ) +) +(symbol + (rect 296 736 360 784) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst8" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 784 360 832) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst9" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 832 360 880) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst10" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 488 784 552 832) + (text "OR3" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst11" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 18 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (line (pt 49 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 13)(pt 25 13)(line_width 1)) + (line (pt 14 36)(pt 25 36)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) + ) +) +(symbol + (rect 296 592 360 640) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst12" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 640 360 688) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst13" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 688 360 736) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst14" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 488 640 552 688) + (text "OR3" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst15" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 18 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (line (pt 49 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 13)(pt 25 13)(line_width 1)) + (line (pt 14 36)(pt 25 36)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) + ) +) +(symbol + (rect 296 448 360 496) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst17" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 496 360 544) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst16" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 544 360 592) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst18" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 488 496 552 544) + (text "OR3" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst19" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 18 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (line (pt 49 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 13)(pt 25 13)(line_width 1)) + (line (pt 14 36)(pt 25 36)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) + ) +) +(symbol + (rect 296 304 360 352) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst20" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 352 360 400) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst21" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 400 360 448) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst22" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 488 352 552 400) + (text "OR3" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst23" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 18 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (line (pt 49 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 13)(pt 25 13)(line_width 1)) + (line (pt 14 36)(pt 25 36)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) + ) +) +(symbol + (rect 296 160 360 208) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst25" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 208 360 256) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst24" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 256 360 304) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst26" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 488 208 552 256) + (text "OR3" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst27" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 18 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (line (pt 49 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 13)(pt 25 13)(line_width 1)) + (line (pt 14 36)(pt 25 36)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) + ) +) +(symbol + (rect 296 16 360 64) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst28" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 64 360 112) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst29" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 296 112 360 160) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst30" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 488 64 552 112) + (text "OR3" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst31" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 18 24)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 24 19 36)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 47 15 64 27)(font "Courier New" (bold))(invisible)) + (line (pt 49 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 13)(pt 25 13)(line_width 1)) + (line (pt 14 36)(pt 25 36)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) + ) +) +(connector + (pt 360 1096) + (pt 488 1096) +) +(connector + (pt 360 1048) + (pt 384 1048) +) +(connector + (pt 384 1088) + (pt 384 1048) +) +(connector + (pt 384 1088) + (pt 488 1088) +) +(connector + (pt 360 1144) + (pt 384 1144) +) +(connector + (pt 384 1104) + (pt 384 1144) +) +(connector + (pt 384 1104) + (pt 488 1104) +) +(connector + (pt 552 1096) + (pt 600 1096) +) +(connector + (pt 296 1040) + (pt 184 1040) +) +(connector + (pt 184 1088) + (pt 296 1088) +) +(connector + (pt 184 1136) + (pt 296 1136) +) +(connector + (pt 296 1056) + (pt 272 1056) +) +(connector + (pt 296 1104) + (pt 248 1104) +) +(connector + (pt 296 1152) + (pt 224 1152) +) +(connector + (pt 360 952) + (pt 488 952) +) +(connector + (pt 360 904) + (pt 384 904) +) +(connector + (pt 384 944) + (pt 384 904) +) +(connector + (pt 384 944) + (pt 488 944) +) +(connector + (pt 360 1000) + (pt 384 1000) +) +(connector + (pt 384 960) + (pt 384 1000) +) +(connector + (pt 384 960) + (pt 488 960) +) +(connector + (pt 552 952) + (pt 600 952) +) +(connector + (pt 296 896) + (pt 184 896) +) +(connector + (pt 184 944) + (pt 296 944) +) +(connector + (pt 184 992) + (pt 296 992) +) +(connector + (pt 296 912) + (pt 272 912) +) +(connector + (pt 296 960) + (pt 248 960) +) +(connector + (pt 296 1008) + (pt 224 1008) +) +(connector + (pt 360 808) + (pt 488 808) +) +(connector + (pt 384 800) + (pt 384 760) +) +(connector + (pt 384 800) + (pt 488 800) +) +(connector + (pt 360 856) + (pt 384 856) +) +(connector + (pt 384 816) + (pt 384 856) +) +(connector + (pt 384 816) + (pt 488 816) +) +(connector + (pt 552 808) + (pt 600 808) +) +(connector + (pt 184 800) + (pt 296 800) +) +(connector + (pt 184 848) + (pt 296 848) +) +(connector + (pt 296 768) + (pt 272 768) +) +(connector + (pt 296 816) + (pt 248 816) +) +(connector + (pt 296 864) + (pt 224 864) +) +(connector + (pt 360 760) + (pt 384 760) +) +(connector + (pt 184 752) + (pt 296 752) +) +(connector + (pt 360 664) + (pt 488 664) +) +(connector + (pt 360 616) + (pt 384 616) +) +(connector + (pt 384 656) + (pt 384 616) +) +(connector + (pt 384 656) + (pt 488 656) +) +(connector + (pt 360 712) + (pt 384 712) +) +(connector + (pt 384 672) + (pt 384 712) +) +(connector + (pt 384 672) + (pt 488 672) +) +(connector + (pt 552 664) + (pt 600 664) +) +(connector + (pt 296 608) + (pt 184 608) +) +(connector + (pt 184 656) + (pt 296 656) +) +(connector + (pt 184 704) + (pt 296 704) +) +(connector + (pt 296 624) + (pt 272 624) +) +(connector + (pt 296 672) + (pt 248 672) +) +(connector + (pt 296 720) + (pt 224 720) +) +(connector + (pt 384 512) + (pt 384 472) +) +(connector + (pt 384 512) + (pt 488 512) +) +(connector + (pt 384 528) + (pt 384 568) +) +(connector + (pt 384 528) + (pt 488 528) +) +(connector + (pt 296 480) + (pt 272 480) +) +(connector + (pt 296 528) + (pt 248 528) +) +(connector + (pt 296 576) + (pt 224 576) +) +(connector + (pt 360 472) + (pt 384 472) +) +(connector + (pt 360 520) + (pt 488 520) +) +(connector + (pt 360 568) + (pt 384 568) +) +(connector + (pt 552 520) + (pt 600 520) +) +(connector + (pt 184 464) + (pt 296 464) +) +(connector + (pt 184 512) + (pt 296 512) +) +(connector + (pt 184 560) + (pt 296 560) +) +(connector + (pt 360 376) + (pt 488 376) +) +(connector + (pt 360 328) + (pt 384 328) +) +(connector + (pt 384 368) + (pt 384 328) +) +(connector + (pt 360 424) + (pt 384 424) +) +(connector + (pt 384 384) + (pt 384 424) +) +(connector + (pt 384 384) + (pt 488 384) +) +(connector + (pt 552 376) + (pt 600 376) +) +(connector + (pt 296 320) + (pt 184 320) +) +(connector + (pt 184 368) + (pt 296 368) +) +(connector + (pt 184 416) + (pt 296 416) +) +(connector + (pt 296 336) + (pt 272 336) +) +(connector + (pt 296 384) + (pt 248 384) +) +(connector + (pt 296 432) + (pt 224 432) +) +(connector + (pt 384 368) + (pt 488 368) +) +(connector + (pt 360 232) + (pt 488 232) +) +(connector + (pt 360 184) + (pt 384 184) +) +(connector + (pt 384 224) + (pt 384 184) +) +(connector + (pt 384 224) + (pt 488 224) +) +(connector + (pt 360 280) + (pt 384 280) +) +(connector + (pt 384 240) + (pt 384 280) +) +(connector + (pt 384 240) + (pt 488 240) +) +(connector + (pt 552 232) + (pt 600 232) +) +(connector + (pt 296 176) + (pt 184 176) +) +(connector + (pt 184 224) + (pt 296 224) +) +(connector + (pt 184 272) + (pt 296 272) +) +(connector + (pt 296 192) + (pt 272 192) +) +(connector + (pt 296 240) + (pt 248 240) +) +(connector + (pt 296 288) + (pt 224 288) +) +(connector + (pt 360 88) + (pt 488 88) +) +(connector + (pt 360 40) + (pt 384 40) +) +(connector + (pt 384 80) + (pt 384 40) +) +(connector + (pt 360 136) + (pt 384 136) +) +(connector + (pt 384 96) + (pt 384 136) +) +(connector + (pt 384 96) + (pt 488 96) +) +(connector + (pt 552 88) + (pt 600 88) +) +(connector + (pt 296 32) + (pt 184 32) +) +(connector + (pt 184 80) + (pt 296 80) +) +(connector + (pt 184 128) + (pt 296 128) +) +(connector + (pt 296 48) + (pt 272 48) +) +(connector + (pt 296 96) + (pt 248 96) +) +(connector + (pt 296 144) + (pt 224 144) +) +(connector + (pt 384 80) + (pt 488 80) +) +(connector + (pt 184 1280) + (pt 272 1280) +) +(connector + (pt 248 1328) + (pt 184 1328) +) +(connector + (pt 184 1368) + (pt 224 1368) +) +(connector + (pt 272 48) + (pt 272 192) +) +(connector + (pt 272 192) + (pt 272 336) +) +(connector + (pt 272 336) + (pt 272 480) +) +(connector + (pt 272 480) + (pt 272 624) +) +(connector + (pt 272 624) + (pt 272 768) +) +(connector + (pt 272 768) + (pt 272 912) +) +(connector + (pt 272 912) + (pt 272 1056) +) +(connector + (pt 272 1056) + (pt 272 1280) +) +(connector + (pt 248 96) + (pt 248 240) +) +(connector + (pt 248 240) + (pt 248 384) +) +(connector + (pt 248 384) + (pt 248 528) +) +(connector + (pt 248 528) + (pt 248 672) +) +(connector + (pt 248 672) + (pt 248 816) +) +(connector + (pt 248 816) + (pt 248 960) +) +(connector + (pt 248 960) + (pt 248 1104) +) +(connector + (pt 248 1104) + (pt 248 1328) +) +(connector + (pt 224 144) + (pt 224 288) +) +(connector + (pt 224 288) + (pt 224 432) +) +(connector + (pt 224 432) + (pt 224 576) +) +(connector + (pt 224 576) + (pt 224 720) +) +(connector + (pt 224 720) + (pt 224 864) +) +(connector + (pt 224 864) + (pt 224 1008) +) +(connector + (pt 224 1008) + (pt 224 1152) +) +(connector + (pt 224 1152) + (pt 224 1368) +) +(junction (pt 272 192)) +(junction (pt 272 336)) +(junction (pt 272 480)) +(junction (pt 272 624)) +(junction (pt 272 768)) +(junction (pt 272 912)) +(junction (pt 272 1056)) +(junction (pt 248 240)) +(junction (pt 248 384)) +(junction (pt 248 528)) +(junction (pt 248 672)) +(junction (pt 248 816)) +(junction (pt 248 960)) +(junction (pt 248 1104)) +(junction (pt 224 288)) +(junction (pt 224 432)) +(junction (pt 224 576)) +(junction (pt 224 720)) +(junction (pt 224 864)) +(junction (pt 224 1008)) +(junction (pt 224 1152)) diff --git a/triple_selector_8b/triple_selector_8b.bsf b/triple_selector_8b/triple_selector_8b.bsf new file mode 100644 index 0000000..81f858b --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.bsf @@ -0,0 +1,274 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 112 496) + (text "triple_selector_8b" (rect 5 0 106 14)(font "Arial" (font_size 8))) + (text "inst" (rect 8 464 25 476)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "A7" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A7" (rect 21 27 37 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "B7" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B7" (rect 21 43 36 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "C7" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C7" (rect 21 59 36 73)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 0 80) + (input) + (text "A6" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A6" (rect 21 75 37 89)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)(line_width 1)) + ) + (port + (pt 0 96) + (input) + (text "B6" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B6" (rect 21 91 36 105)(font "Arial" (font_size 8))) + (line (pt 0 96)(pt 16 96)(line_width 1)) + ) + (port + (pt 0 112) + (input) + (text "C6" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C6" (rect 21 107 36 121)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 16 112)(line_width 1)) + ) + (port + (pt 0 128) + (input) + (text "A5" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A5" (rect 21 123 37 137)(font "Arial" (font_size 8))) + (line (pt 0 128)(pt 16 128)(line_width 1)) + ) + (port + (pt 0 144) + (input) + (text "B5" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B5" (rect 21 139 36 153)(font "Arial" (font_size 8))) + (line (pt 0 144)(pt 16 144)(line_width 1)) + ) + (port + (pt 0 160) + (input) + (text "C5" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C5" (rect 21 155 36 169)(font "Arial" (font_size 8))) + (line (pt 0 160)(pt 16 160)(line_width 1)) + ) + (port + (pt 0 176) + (input) + (text "A4" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A4" (rect 21 171 37 185)(font "Arial" (font_size 8))) + (line (pt 0 176)(pt 16 176)(line_width 1)) + ) + (port + (pt 0 192) + (input) + (text "B4" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B4" (rect 21 187 36 201)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 16 192)(line_width 1)) + ) + (port + (pt 0 208) + (input) + (text "C4" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C4" (rect 21 203 36 217)(font "Arial" (font_size 8))) + (line (pt 0 208)(pt 16 208)(line_width 1)) + ) + (port + (pt 0 224) + (input) + (text "A3" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A3" (rect 21 219 37 233)(font "Arial" (font_size 8))) + (line (pt 0 224)(pt 16 224)(line_width 1)) + ) + (port + (pt 0 240) + (input) + (text "B3" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B3" (rect 21 235 36 249)(font "Arial" (font_size 8))) + (line (pt 0 240)(pt 16 240)(line_width 1)) + ) + (port + (pt 0 256) + (input) + (text "C3" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C3" (rect 21 251 36 265)(font "Arial" (font_size 8))) + (line (pt 0 256)(pt 16 256)(line_width 1)) + ) + (port + (pt 0 272) + (input) + (text "A2" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A2" (rect 21 267 37 281)(font "Arial" (font_size 8))) + (line (pt 0 272)(pt 16 272)(line_width 1)) + ) + (port + (pt 0 288) + (input) + (text "B2" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B2" (rect 21 283 36 297)(font "Arial" (font_size 8))) + (line (pt 0 288)(pt 16 288)(line_width 1)) + ) + (port + (pt 0 304) + (input) + (text "C2" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C2" (rect 21 299 36 313)(font "Arial" (font_size 8))) + (line (pt 0 304)(pt 16 304)(line_width 1)) + ) + (port + (pt 0 320) + (input) + (text "A1" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A1" (rect 21 315 37 329)(font "Arial" (font_size 8))) + (line (pt 0 320)(pt 16 320)(line_width 1)) + ) + (port + (pt 0 336) + (input) + (text "B1" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B1" (rect 21 331 36 345)(font "Arial" (font_size 8))) + (line (pt 0 336)(pt 16 336)(line_width 1)) + ) + (port + (pt 0 352) + (input) + (text "C1" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C1" (rect 21 347 36 361)(font "Arial" (font_size 8))) + (line (pt 0 352)(pt 16 352)(line_width 1)) + ) + (port + (pt 0 368) + (input) + (text "A0" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "A0" (rect 21 363 37 377)(font "Arial" (font_size 8))) + (line (pt 0 368)(pt 16 368)(line_width 1)) + ) + (port + (pt 0 384) + (input) + (text "B0" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "B0" (rect 21 379 36 393)(font "Arial" (font_size 8))) + (line (pt 0 384)(pt 16 384)(line_width 1)) + ) + (port + (pt 0 400) + (input) + (text "C0" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "C0" (rect 21 395 36 409)(font "Arial" (font_size 8))) + (line (pt 0 400)(pt 16 400)(line_width 1)) + ) + (port + (pt 0 416) + (input) + (text "AY" (rect 0 0 18 14)(font "Arial" (font_size 8))) + (text "AY" (rect 21 411 39 425)(font "Arial" (font_size 8))) + (line (pt 0 416)(pt 16 416)(line_width 1)) + ) + (port + (pt 0 432) + (input) + (text "BY" (rect 0 0 17 14)(font "Arial" (font_size 8))) + (text "BY" (rect 21 427 38 441)(font "Arial" (font_size 8))) + (line (pt 0 432)(pt 16 432)(line_width 1)) + ) + (port + (pt 0 448) + (input) + (text "CY" (rect 0 0 17 14)(font "Arial" (font_size 8))) + (text "CY" (rect 21 443 38 457)(font "Arial" (font_size 8))) + (line (pt 0 448)(pt 16 448)(line_width 1)) + ) + (port + (pt 96 32) + (output) + (text "Y7" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y7" (rect 59 27 75 41)(font "Arial" (font_size 8))) + (line (pt 96 32)(pt 80 32)(line_width 1)) + ) + (port + (pt 96 48) + (output) + (text "Y6" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y6" (rect 59 43 75 57)(font "Arial" (font_size 8))) + (line (pt 96 48)(pt 80 48)(line_width 1)) + ) + (port + (pt 96 64) + (output) + (text "Y5" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y5" (rect 59 59 75 73)(font "Arial" (font_size 8))) + (line (pt 96 64)(pt 80 64)(line_width 1)) + ) + (port + (pt 96 80) + (output) + (text "Y4" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y4" (rect 59 75 75 89)(font "Arial" (font_size 8))) + (line (pt 96 80)(pt 80 80)(line_width 1)) + ) + (port + (pt 96 96) + (output) + (text "Y3" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y3" (rect 59 91 75 105)(font "Arial" (font_size 8))) + (line (pt 96 96)(pt 80 96)(line_width 1)) + ) + (port + (pt 96 112) + (output) + (text "Y2" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y2" (rect 59 107 75 121)(font "Arial" (font_size 8))) + (line (pt 96 112)(pt 80 112)(line_width 1)) + ) + (port + (pt 96 128) + (output) + (text "Y1" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y1" (rect 59 123 75 137)(font "Arial" (font_size 8))) + (line (pt 96 128)(pt 80 128)(line_width 1)) + ) + (port + (pt 96 144) + (output) + (text "Y0" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "Y0" (rect 59 139 75 153)(font "Arial" (font_size 8))) + (line (pt 96 144)(pt 80 144)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 80 464)(line_width 1)) + ) +) diff --git a/triple_selector_8b/triple_selector_8b.done b/triple_selector_8b/triple_selector_8b.done new file mode 100644 index 0000000..ee9a0af --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.done @@ -0,0 +1 @@ +Mon Mar 07 10:24:30 2022 diff --git a/triple_selector_8b/triple_selector_8b.fit.rpt b/triple_selector_8b/triple_selector_8b.fit.rpt new file mode 100644 index 0000000..3137a73 --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.fit.rpt @@ -0,0 +1,1094 @@ +Fitter report for triple_selector_8b +Mon Mar 07 10:24:27 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Input Pins + 11. Output Pins + 12. I/O Bank Usage + 13. All Package Pins + 14. Output Pin Default Load For Reported TCO + 15. Fitter Resource Utilization by Entity + 16. Delay Chain Summary + 17. Pad To Core Delay Chain Fanout + 18. Non-Global High Fan-Out Signals + 19. Interconnect Usage Summary + 20. LAB Logic Elements + 21. LAB Signals Sourced + 22. LAB Signals Sourced Out + 23. LAB Distinct Inputs + 24. Fitter Device Options + 25. Operating Settings and Conditions + 26. Estimated Delay Added for Hold Timing + 27. Advanced Data - General + 28. Advanced Data - Placement Preparation + 29. Advanced Data - Placement + 30. Advanced Data - Routing + 31. Fitter Messages + 32. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+----------------------------------------------+ +; Fitter Status ; Successful - Mon Mar 07 10:24:27 2022 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; triple_selector_8b ; +; Top-level Entity Name ; triple_selector_8b ; +; Family ; Cyclone II ; +; Device ; EP2C8Q208C8 ; +; Timing Models ; Final ; +; Total logic elements ; 16 / 8,256 ( < 1 % ) ; +; Total combinational functions ; 16 / 8,256 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 8,256 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 35 / 138 ( 25 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; Total PLLs ; 0 / 2 ( 0 % ) ; ++------------------------------------+----------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP2C8Q208C8 ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Use TimeQuest Timing Analyzer ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Always Enable Input Buffers ; Off ; Off ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; Off ; Off ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; On ; On ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Merge PLLs ; On ; On ; +; Ignore PLL Mode When Merging PLLs ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Stop After Congestion Map Generation ; Off ; Off ; +; Save Intermediate Fitting Results ; Off ; Off ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2-4 processors ; < 0.1% ; ++----------------------------+-------------+ + + ++----------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++-------------------------+--------------------+ +; Type ; Value ; ++-------------------------+--------------------+ +; Placement ; ; +; -- Requested ; 0 / 51 ( 0.00 % ) ; +; -- Achieved ; 0 / 51 ( 0.00 % ) ; +; ; ; +; Routing (by Connection) ; ; +; -- Requested ; 0 / 0 ( 0.00 % ) ; +; -- Achieved ; 0 / 0 ( 0.00 % ) ; ++-------------------------+--------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ + + ++--------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Top ; 51 ; 0 ; N/A ; Source File ; ++----------------+---------+-------------------+-------------------------+-------------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in D:/projects/quartus/triple_selector_8b/triple_selector_8b.pin. + + ++--------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+----------------------+ +; Resource ; Usage ; ++---------------------------------------------+----------------------+ +; Total logic elements ; 16 / 8,256 ( < 1 % ) ; +; -- Combinational with no register ; 16 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 8 ; +; -- 3 input functions ; 8 ; +; -- <=2 input functions ; 0 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 16 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 8,646 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 8,256 ( 0 % ) ; +; -- I/O registers ; 0 / 390 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 1 / 516 ( < 1 % ) ; +; User inserted logic elements ; 0 ; +; Virtual pins ; 0 ; +; I/O pins ; 35 / 138 ( 25 % ) ; +; -- Clock pins ; 2 / 4 ( 50 % ) ; +; Global signals ; 0 ; +; M4Ks ; 0 / 36 ( 0 % ) ; +; Total block memory bits ; 0 / 165,888 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; PLLs ; 0 / 2 ( 0 % ) ; +; Global clocks ; 0 / 8 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; ASMI blocks ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Maximum fan-out node ; AY ; +; Maximum fan-out ; 8 ; +; Highest non-global fan-out signal ; AY ; +; Highest non-global fan-out ; 8 ; +; Total fan-out ; 64 ; +; Average fan-out ; 1.19 ; ++---------------------------------------------+----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; A0 ; 110 ; 3 ; 34 ; 3 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A1 ; 103 ; 4 ; 32 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A2 ; 141 ; 3 ; 34 ; 12 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A3 ; 129 ; 3 ; 34 ; 10 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A4 ; 132 ; 3 ; 34 ; 10 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A5 ; 143 ; 3 ; 34 ; 13 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A6 ; 137 ; 3 ; 34 ; 11 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A7 ; 135 ; 3 ; 34 ; 11 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; AY ; 127 ; 3 ; 34 ; 9 ; 1 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B0 ; 138 ; 3 ; 34 ; 12 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B1 ; 134 ; 3 ; 34 ; 11 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B2 ; 105 ; 3 ; 34 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B3 ; 130 ; 3 ; 34 ; 10 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B4 ; 128 ; 3 ; 34 ; 9 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B5 ; 144 ; 3 ; 34 ; 13 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B6 ; 115 ; 3 ; 34 ; 4 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; B7 ; 133 ; 3 ; 34 ; 11 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; BY ; 31 ; 1 ; 0 ; 8 ; 1 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; C0 ; 116 ; 3 ; 34 ; 5 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; C1 ; 139 ; 3 ; 34 ; 12 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; C2 ; 113 ; 3 ; 34 ; 3 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; C3 ; 131 ; 3 ; 34 ; 10 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; C4 ; 114 ; 3 ; 34 ; 4 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; C5 ; 145 ; 3 ; 34 ; 14 ; 4 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; C6 ; 112 ; 3 ; 34 ; 3 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; C7 ; 118 ; 3 ; 34 ; 7 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; CY ; 142 ; 3 ; 34 ; 12 ; 0 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; ++------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; ++------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ +; Y0 ; 107 ; 3 ; 34 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y1 ; 15 ; 1 ; 0 ; 14 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y2 ; 87 ; 4 ; 25 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y3 ; 102 ; 4 ; 32 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y4 ; 117 ; 3 ; 34 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y5 ; 34 ; 1 ; 0 ; 7 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y6 ; 30 ; 1 ; 0 ; 8 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y7 ; 171 ; 2 ; 28 ; 19 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; ++------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1 ; 6 / 32 ( 19 % ) ; 3.3V ; -- ; +; 2 ; 1 / 35 ( 3 % ) ; 3.3V ; -- ; +; 3 ; 28 / 35 ( 80 % ) ; 3.3V ; -- ; +; 4 ; 3 / 36 ( 8 % ) ; 3.3V ; -- ; ++----------+------------------+---------------+--------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; 1 ; 0 ; 1 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; 2 ; 1 ; 1 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; 3 ; 2 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 4 ; 3 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 5 ; 4 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 6 ; 5 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 7 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 8 ; 6 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 10 ; 7 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 11 ; 8 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 12 ; 9 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 13 ; 10 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 14 ; 18 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 15 ; 19 ; 1 ; Y1 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 16 ; 20 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; 17 ; 21 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; 18 ; 22 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; 19 ; 23 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; 20 ; 24 ; 1 ; ^DATA0 ; input ; ; ; -- ; ; -- ; -- ; +; 21 ; 25 ; 1 ; ^DCLK ; ; ; ; -- ; ; -- ; -- ; +; 22 ; 26 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; +; 23 ; 27 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 24 ; 28 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 25 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 26 ; 29 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; +; 27 ; 30 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 28 ; 31 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 29 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 30 ; 32 ; 1 ; Y6 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 31 ; 33 ; 1 ; BY ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 32 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 33 ; 35 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 34 ; 36 ; 1 ; Y5 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 35 ; 37 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 36 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 37 ; 39 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 38 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 39 ; 43 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 40 ; 44 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 41 ; 45 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 42 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 43 ; 48 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 44 ; 49 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 45 ; 50 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 46 ; 51 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 47 ; 52 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 48 ; 53 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 49 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 50 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 51 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 52 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 53 ; ; ; VCCA_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 54 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 55 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 56 ; 54 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 57 ; 55 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 58 ; 56 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 59 ; 57 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 60 ; 58 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 61 ; 59 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 62 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 63 ; 60 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 64 ; 61 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 65 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 66 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 67 ; 69 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 68 ; 70 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 69 ; 71 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 70 ; 74 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 71 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 72 ; 75 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 73 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 74 ; 76 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 75 ; 77 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 76 ; 78 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 77 ; 79 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 78 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 79 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 80 ; 82 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 81 ; 83 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 82 ; 84 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 83 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 84 ; 85 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 85 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 86 ; 86 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 87 ; 87 ; 4 ; Y2 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 88 ; 88 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 89 ; 89 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 90 ; 90 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 91 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 92 ; 91 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 93 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 94 ; 92 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 95 ; 93 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 96 ; 94 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 97 ; 95 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 98 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 99 ; 96 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 100 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 101 ; 97 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 102 ; 98 ; 4 ; Y3 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 103 ; 99 ; 4 ; A1 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 104 ; 100 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 105 ; 101 ; 3 ; B2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 106 ; 102 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 107 ; 105 ; 3 ; Y0 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 108 ; 106 ; 3 ; ~LVDS54p/nCEO~ ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 109 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 110 ; 107 ; 3 ; A0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 111 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 112 ; 108 ; 3 ; C6 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 113 ; 109 ; 3 ; C2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 114 ; 110 ; 3 ; C4 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 115 ; 112 ; 3 ; B6 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 116 ; 113 ; 3 ; C0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 117 ; 114 ; 3 ; Y4 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 118 ; 117 ; 3 ; C7 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 119 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 120 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 121 ; 121 ; 3 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; +; 122 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 123 ; 122 ; 3 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; +; 124 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 125 ; 123 ; 3 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; +; 126 ; 124 ; 3 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; +; 127 ; 125 ; 3 ; AY ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 128 ; 126 ; 3 ; B4 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 129 ; 127 ; 3 ; A3 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 130 ; 128 ; 3 ; B3 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 131 ; 129 ; 3 ; C3 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 132 ; 130 ; 3 ; A4 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 133 ; 131 ; 3 ; B7 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 134 ; 132 ; 3 ; B1 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 135 ; 133 ; 3 ; A7 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 136 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 137 ; 134 ; 3 ; A6 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 138 ; 135 ; 3 ; B0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 139 ; 136 ; 3 ; C1 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 141 ; 137 ; 3 ; A2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 142 ; 138 ; 3 ; CY ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 143 ; 141 ; 3 ; A5 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 144 ; 142 ; 3 ; B5 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 145 ; 143 ; 3 ; C5 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 146 ; 149 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 147 ; 150 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 148 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 149 ; 151 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 150 ; 152 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 151 ; 153 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 152 ; 154 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 153 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 154 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 155 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 156 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 157 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 158 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 159 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 160 ; 155 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 161 ; 156 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 162 ; 157 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 163 ; 158 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 164 ; 159 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 165 ; 160 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 166 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 167 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 168 ; 161 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 169 ; 162 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 170 ; 163 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 171 ; 164 ; 2 ; Y7 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 172 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 173 ; 165 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 174 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 175 ; 168 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 176 ; 169 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 177 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 178 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 179 ; 173 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 180 ; 174 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 181 ; 175 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 182 ; 176 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 183 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 184 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 185 ; 180 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 186 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 187 ; 181 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 188 ; 182 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 189 ; 183 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 190 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 191 ; 184 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 192 ; 185 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 193 ; 186 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 194 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 195 ; 187 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 196 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 197 ; 191 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 198 ; 192 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 199 ; 195 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 200 ; 196 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 201 ; 197 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 202 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 203 ; 198 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 204 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 205 ; 199 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 206 ; 200 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 207 ; 201 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 208 ; 202 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 0 pF ; Not Available ; +; 3.3-V LVCMOS ; 0 pF ; Not Available ; +; 2.5 V ; 0 pF ; Not Available ; +; 1.8 V ; 0 pF ; Not Available ; +; 1.5 V ; 0 pF ; Not Available ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 10 pF ; 25 Ohm (Parallel) ; +; SSTL-2 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; Differential SSTL-2 ; 0 pF ; (See SSTL-2) ; +; Differential 2.5-V SSTL Class II ; 0 pF ; (See SSTL-2 Class II) ; +; Differential 1.8-V SSTL Class I ; 0 pF ; (See 1.8-V SSTL Class I) ; +; Differential 1.8-V SSTL Class II ; 0 pF ; (See 1.8-V SSTL Class II) ; +; Differential 1.5-V HSTL Class I ; 0 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.5-V HSTL Class II ; 0 pF ; (See 1.5-V HSTL Class II) ; +; Differential 1.8-V HSTL Class I ; 0 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 0 pF ; (See 1.8-V HSTL Class II) ; +; LVDS ; 0 pF ; 100 Ohm (Differential) ; +; mini-LVDS ; 0 pF ; 100 Ohm (Differential) ; +; RSDS ; 0 pF ; 100 Ohm (Differential) ; +; Simple RSDS ; 0 pF ; Not Available ; +; Differential LVPECL ; 0 pF ; 100 Ohm (Differential) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M4Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+--------------+ +; |triple_selector_8b ; 16 (16) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 35 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; |triple_selector_8b ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++------+----------+---------------+---------------+-----------------------+-----+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; ++------+----------+---------------+---------------+-----------------------+-----+ +; Y0 ; Output ; -- ; -- ; -- ; -- ; +; Y1 ; Output ; -- ; -- ; -- ; -- ; +; Y2 ; Output ; -- ; -- ; -- ; -- ; +; Y3 ; Output ; -- ; -- ; -- ; -- ; +; Y4 ; Output ; -- ; -- ; -- ; -- ; +; Y5 ; Output ; -- ; -- ; -- ; -- ; +; Y6 ; Output ; -- ; -- ; -- ; -- ; +; Y7 ; Output ; -- ; -- ; -- ; -- ; +; B0 ; Input ; 6 ; 6 ; -- ; -- ; +; A0 ; Input ; 6 ; 6 ; -- ; -- ; +; AY ; Input ; 6 ; 6 ; -- ; -- ; +; BY ; Input ; 6 ; 6 ; -- ; -- ; +; C0 ; Input ; 6 ; 6 ; -- ; -- ; +; CY ; Input ; 6 ; 6 ; -- ; -- ; +; A1 ; Input ; 6 ; 6 ; -- ; -- ; +; B1 ; Input ; 6 ; 6 ; -- ; -- ; +; C1 ; Input ; 6 ; 6 ; -- ; -- ; +; A2 ; Input ; 6 ; 6 ; -- ; -- ; +; B2 ; Input ; 6 ; 6 ; -- ; -- ; +; C2 ; Input ; 6 ; 6 ; -- ; -- ; +; A3 ; Input ; 0 ; 0 ; -- ; -- ; +; B3 ; Input ; 0 ; 0 ; -- ; -- ; +; C3 ; Input ; 0 ; 0 ; -- ; -- ; +; A4 ; Input ; 0 ; 0 ; -- ; -- ; +; B4 ; Input ; 6 ; 6 ; -- ; -- ; +; C4 ; Input ; 6 ; 6 ; -- ; -- ; +; A5 ; Input ; 6 ; 6 ; -- ; -- ; +; B5 ; Input ; 6 ; 6 ; -- ; -- ; +; C5 ; Input ; 6 ; 6 ; -- ; -- ; +; A6 ; Input ; 6 ; 6 ; -- ; -- ; +; B6 ; Input ; 6 ; 6 ; -- ; -- ; +; C6 ; Input ; 6 ; 6 ; -- ; -- ; +; A7 ; Input ; 6 ; 6 ; -- ; -- ; +; B7 ; Input ; 6 ; 6 ; -- ; -- ; +; C7 ; Input ; 6 ; 6 ; -- ; -- ; ++------+----------+---------------+---------------+-----------------------+-----+ + + ++---------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++---------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++---------------------+-------------------+---------+ +; B0 ; ; ; +; - inst3~0 ; 1 ; 6 ; +; A0 ; ; ; +; - inst3~0 ; 0 ; 6 ; +; AY ; ; ; +; - inst3~0 ; 0 ; 6 ; +; - inst7~0 ; 0 ; 6 ; +; - inst11~0 ; 0 ; 6 ; +; - inst15~0 ; 0 ; 6 ; +; - inst19~0 ; 0 ; 6 ; +; - inst23~0 ; 0 ; 6 ; +; - inst27~0 ; 0 ; 6 ; +; - inst31~0 ; 0 ; 6 ; +; BY ; ; ; +; - inst3~0 ; 1 ; 6 ; +; - inst7~0 ; 1 ; 6 ; +; - inst11~0 ; 1 ; 6 ; +; - inst15~0 ; 1 ; 6 ; +; - inst19~0 ; 1 ; 6 ; +; - inst23~0 ; 1 ; 6 ; +; - inst27~0 ; 1 ; 6 ; +; - inst31~0 ; 1 ; 6 ; +; C0 ; ; ; +; - inst3 ; 0 ; 6 ; +; CY ; ; ; +; - inst3 ; 1 ; 6 ; +; - inst7 ; 1 ; 6 ; +; - inst11 ; 1 ; 6 ; +; - inst15 ; 1 ; 6 ; +; - inst19 ; 1 ; 6 ; +; - inst23 ; 1 ; 6 ; +; - inst27 ; 1 ; 6 ; +; - inst31 ; 1 ; 6 ; +; A1 ; ; ; +; - inst7~0 ; 0 ; 6 ; +; B1 ; ; ; +; - inst7~0 ; 1 ; 6 ; +; C1 ; ; ; +; - inst7 ; 0 ; 6 ; +; A2 ; ; ; +; - inst11~0 ; 1 ; 6 ; +; B2 ; ; ; +; - inst11~0 ; 0 ; 6 ; +; C2 ; ; ; +; - inst11 ; 0 ; 6 ; +; A3 ; ; ; +; B3 ; ; ; +; C3 ; ; ; +; A4 ; ; ; +; B4 ; ; ; +; - inst19~0 ; 1 ; 6 ; +; C4 ; ; ; +; - inst19 ; 1 ; 6 ; +; A5 ; ; ; +; - inst23~0 ; 1 ; 6 ; +; B5 ; ; ; +; - inst23~0 ; 0 ; 6 ; +; C5 ; ; ; +; - inst23 ; 0 ; 6 ; +; A6 ; ; ; +; - inst27~0 ; 1 ; 6 ; +; B6 ; ; ; +; - inst27~0 ; 0 ; 6 ; +; C6 ; ; ; +; - inst27 ; 1 ; 6 ; +; A7 ; ; ; +; - inst31~0 ; 1 ; 6 ; +; B7 ; ; ; +; - inst31~0 ; 1 ; 6 ; +; C7 ; ; ; +; - inst31 ; 0 ; 6 ; ++---------------------+-------------------+---------+ + + ++---------------------------------+ +; Non-Global High Fan-Out Signals ; ++----------+----------------------+ +; Name ; Fan-Out ; ++----------+----------------------+ +; CY ; 8 ; +; BY ; 8 ; +; AY ; 8 ; +; C7 ; 1 ; +; B7 ; 1 ; +; A7 ; 1 ; +; C6 ; 1 ; +; B6 ; 1 ; +; A6 ; 1 ; +; C5 ; 1 ; +; B5 ; 1 ; +; A5 ; 1 ; +; C4 ; 1 ; +; B4 ; 1 ; +; A4 ; 1 ; +; C3 ; 1 ; +; B3 ; 1 ; +; A3 ; 1 ; +; C2 ; 1 ; +; B2 ; 1 ; +; A2 ; 1 ; +; C1 ; 1 ; +; B1 ; 1 ; +; A1 ; 1 ; +; C0 ; 1 ; +; A0 ; 1 ; +; B0 ; 1 ; +; inst31 ; 1 ; +; inst31~0 ; 1 ; +; inst27 ; 1 ; +; inst27~0 ; 1 ; +; inst23 ; 1 ; +; inst23~0 ; 1 ; +; inst19 ; 1 ; +; inst19~0 ; 1 ; +; inst15 ; 1 ; +; inst15~0 ; 1 ; +; inst11 ; 1 ; +; inst11~0 ; 1 ; +; inst7 ; 1 ; +; inst7~0 ; 1 ; +; inst3 ; 1 ; +; inst3~0 ; 1 ; ++----------+----------------------+ + + ++----------------------------------------------------+ +; Interconnect Usage Summary ; ++----------------------------+-----------------------+ +; Interconnect Resource Type ; Usage ; ++----------------------------+-----------------------+ +; Block interconnects ; 36 / 26,052 ( < 1 % ) ; +; C16 interconnects ; 1 / 1,156 ( < 1 % ) ; +; C4 interconnects ; 47 / 17,952 ( < 1 % ) ; +; Direct links ; 0 / 26,052 ( 0 % ) ; +; Global clocks ; 0 / 8 ( 0 % ) ; +; Local interconnects ; 8 / 8,256 ( < 1 % ) ; +; R24 interconnects ; 5 / 1,020 ( < 1 % ) ; +; R4 interconnects ; 28 / 22,440 ( < 1 % ) ; ++----------------------------+-----------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Logic Elements ; ++---------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 16.00) ; Number of LABs (Total = 1) ; ++---------------------------------------------+-----------------------------+ +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++----------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 16.00) ; Number of LABs (Total = 1) ; ++----------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 1 ; ++----------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 8.00) ; Number of LABs (Total = 1) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++----------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++----------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 27.00) ; Number of LABs (Total = 1) ; ++----------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; +; 17 ; 0 ; +; 18 ; 0 ; +; 19 ; 0 ; +; 20 ; 0 ; +; 21 ; 0 ; +; 22 ; 0 ; +; 23 ; 0 ; +; 24 ; 0 ; +; 25 ; 0 ; +; 26 ; 0 ; +; 27 ; 1 ; ++----------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Active Serial ; +; Error detection CRC ; Off ; +; nCEO ; As output driving ground ; +; ASDO,nCSO ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing ; ++-----------------+----------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++-----------------+----------------------+-------------------+ + + ++----------------------------+ +; Advanced Data - General ; ++--------------------+-------+ +; Name ; Value ; ++--------------------+-------+ +; Status Code ; 0 ; +; Desired User Slack ; 0 ; +; Fit Attempts ; 1 ; ++--------------------+-------+ + + ++-------------------------------------------------------------------------------+ +; Advanced Data - Placement Preparation ; ++------------------------------------------------------------------+------------+ +; Name ; Value ; ++------------------------------------------------------------------+------------+ +; Auto Fit Point 1 - Fit Attempt 1 ; ff ; +; Mid Wire Use - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Internal Atom Count - Fit Attempt 1 ; 17 ; +; LE/ALM Count - Fit Attempt 1 ; 17 ; +; LAB Count - Fit Attempt 1 ; 2 ; +; Outputs per Lab - Fit Attempt 1 ; 4.000 ; +; Inputs per LAB - Fit Attempt 1 ; 13.500 ; +; Global Inputs per LAB - Fit Attempt 1 ; 0.000 ; +; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'non-global controls' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'non-global + aclr' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'global controls' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'aclr constraint' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'has placement constraint' - Fit Attempt 1 ; 0:2 ; +; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1 ; 0:1;1:1 ; +; LEs in Chains - Fit Attempt 1 ; 0 ; +; LEs in Long Chains - Fit Attempt 1 ; 0 ; +; LABs with Chains - Fit Attempt 1 ; 0 ; +; LABs with Multiple Chains - Fit Attempt 1 ; 0 ; +; Time - Fit Attempt 1 ; 0 ; ++------------------------------------------------------------------+------------+ + + ++--------------------------------------------------+ +; Advanced Data - Placement ; ++-------------------------------------+------------+ +; Name ; Value ; ++-------------------------------------+------------+ +; Auto Fit Point 2 - Fit Attempt 1 ; ff ; +; Auto Fit Point 5 - Fit Attempt 1 ; ff ; +; Mid Wire Use - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 5 - Fit Attempt 1 ; ff ; +; Mid Wire Use - Fit Attempt 1 ; 0 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Auto Fit Point 6 - Fit Attempt 1 ; ff ; +; Late Wire Use - Fit Attempt 1 ; 0 ; +; Late Slack - Fit Attempt 1 ; 2147483639 ; +; Peak Regional Wire - Fit Attempt 1 ; 0.000 ; +; Auto Fit Point 7 - Fit Attempt 1 ; ff ; +; Time - Fit Attempt 1 ; 0 ; +; Time in tsm_tan.dll - Fit Attempt 1 ; 0.016 ; ++-------------------------------------+------------+ + + ++--------------------------------------------------+ +; Advanced Data - Routing ; ++------------------------------------+-------------+ +; Name ; Value ; ++------------------------------------+-------------+ +; Early Slack - Fit Attempt 1 ; 2147483639 ; +; Early Wire Use - Fit Attempt 1 ; 0 ; +; Peak Regional Wire - Fit Attempt 1 ; 1 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Late Slack - Fit Attempt 1 ; -2147483648 ; +; Late Wire Use - Fit Attempt 1 ; 0 ; +; Time - Fit Attempt 1 ; 0 ; ++------------------------------------+-------------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Info: ******************************************************************* +Info: Running Quartus II Fitter + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 10:24:26 2022 +Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off triple_selector_8b -c triple_selector_8b +Info: Parallel compilation is enabled and will use 4 of the 4 processors detected +Info: Selected device EP2C8Q208C8 for design "triple_selector_8b" +Info: Low junction temperature is 0 degrees C +Info: High junction temperature is 85 degrees C +Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info: Device EP2C5Q208C8 is compatible + Info: Device EP2C5Q208I8 is compatible + Info: Device EP2C8Q208I8 is compatible +Info: Fitter converted 3 user pins into dedicated programming pins + Info: Pin ~ASDO~ is reserved at location 1 + Info: Pin ~nCSO~ is reserved at location 2 + Info: Pin ~LVDS54p/nCEO~ is reserved at location 108 +Warning: No exact pin location assignment(s) for 35 pins of 35 total pins + Info: Pin Y0 not assigned to an exact location on the device + Info: Pin Y1 not assigned to an exact location on the device + Info: Pin Y2 not assigned to an exact location on the device + Info: Pin Y3 not assigned to an exact location on the device + Info: Pin Y4 not assigned to an exact location on the device + Info: Pin Y5 not assigned to an exact location on the device + Info: Pin Y6 not assigned to an exact location on the device + Info: Pin Y7 not assigned to an exact location on the device + Info: Pin B0 not assigned to an exact location on the device + Info: Pin A0 not assigned to an exact location on the device + Info: Pin AY not assigned to an exact location on the device + Info: Pin BY not assigned to an exact location on the device + Info: Pin C0 not assigned to an exact location on the device + Info: Pin CY not assigned to an exact location on the device + Info: Pin A1 not assigned to an exact location on the device + Info: Pin B1 not assigned to an exact location on the device + Info: Pin C1 not assigned to an exact location on the device + Info: Pin A2 not assigned to an exact location on the device + Info: Pin B2 not assigned to an exact location on the device + Info: Pin C2 not assigned to an exact location on the device + Info: Pin A3 not assigned to an exact location on the device + Info: Pin B3 not assigned to an exact location on the device + Info: Pin C3 not assigned to an exact location on the device + Info: Pin A4 not assigned to an exact location on the device + Info: Pin B4 not assigned to an exact location on the device + Info: Pin C4 not assigned to an exact location on the device + Info: Pin A5 not assigned to an exact location on the device + Info: Pin B5 not assigned to an exact location on the device + Info: Pin C5 not assigned to an exact location on the device + Info: Pin A6 not assigned to an exact location on the device + Info: Pin B6 not assigned to an exact location on the device + Info: Pin C6 not assigned to an exact location on the device + Info: Pin A7 not assigned to an exact location on the device + Info: Pin B7 not assigned to an exact location on the device + Info: Pin C7 not assigned to an exact location on the device +Info: Fitter is using the Classic Timing Analyzer +Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time. +Info: Starting register packing +Info: Finished register packing + Extra Info: No registers were packed into other blocks +Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info: Number of I/O pins in group: 35 (unused VREF, 3.3V VCCIO, 27 input, 8 output, 0 bidirectional) + Info: I/O standards used: 3.3-V LVTTL. +Info: I/O bank details before I/O pin placement + Info: Statistics of I/O banks + Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available + Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available + Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available + Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available +Info: Fitter preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement preparation operations beginning +Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement operations beginning +Info: Fitter placement was successful +Info: Fitter placement operations ending: elapsed time is 00:00:00 +Info: Fitter routing operations beginning +Info: Average interconnect usage is 0% of the available device resources + Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y10 to location X34_Y19 +Info: Fitter routing operations ending: elapsed time is 00:00:00 +Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info: Optimizations that may affect the design's routability were skipped + Info: Optimizations that may affect the design's timing were skipped +Info: Started post-fitting delay annotation +Warning: Found 8 output pins without output pin load capacitance assignment + Info: Pin "Y0" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y1" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y2" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y3" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y4" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y5" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y6" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info: Pin "Y7" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis +Info: Delay annotation completed successfully +Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info: Generated suppressed messages file D:/projects/quartus/triple_selector_8b/triple_selector_8b.fit.smsg +Info: Quartus II Fitter was successful. 0 errors, 3 warnings + Info: Peak virtual memory: 306 megabytes + Info: Processing ended: Mon Mar 07 10:24:27 2022 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in D:/projects/quartus/triple_selector_8b/triple_selector_8b.fit.smsg. + + diff --git a/triple_selector_8b/triple_selector_8b.fit.smsg b/triple_selector_8b/triple_selector_8b.fit.smsg new file mode 100644 index 0000000..14764e7 --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.fit.smsg @@ -0,0 +1,6 @@ +Extra Info: Performing register packing on registers with non-logic cell location assignments +Extra Info: Completed register packing on registers with non-logic cell location assignments +Extra Info: Started Fast Input/Output/OE register processing +Extra Info: Finished Fast Input/Output/OE register processing +Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/triple_selector_8b/triple_selector_8b.fit.summary b/triple_selector_8b/triple_selector_8b.fit.summary new file mode 100644 index 0000000..e668314 --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.fit.summary @@ -0,0 +1,16 @@ +Fitter Status : Successful - Mon Mar 07 10:24:27 2022 +Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition +Revision Name : triple_selector_8b +Top-level Entity Name : triple_selector_8b +Family : Cyclone II +Device : EP2C8Q208C8 +Timing Models : Final +Total logic elements : 16 / 8,256 ( < 1 % ) + Total combinational functions : 16 / 8,256 ( < 1 % ) + Dedicated logic registers : 0 / 8,256 ( 0 % ) +Total registers : 0 +Total pins : 35 / 138 ( 25 % ) +Total virtual pins : 0 +Total memory bits : 0 / 165,888 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 36 ( 0 % ) +Total PLLs : 0 / 2 ( 0 % ) diff --git a/triple_selector_8b/triple_selector_8b.flow.rpt b/triple_selector_8b/triple_selector_8b.flow.rpt new file mode 100644 index 0000000..6342bf2 --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.flow.rpt @@ -0,0 +1,120 @@ +Flow report for triple_selector_8b +Mon Mar 07 10:24:29 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+----------------------------------------------+ +; Flow Status ; Successful - Mon Mar 07 10:24:29 2022 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; triple_selector_8b ; +; Top-level Entity Name ; triple_selector_8b ; +; Family ; Cyclone II ; +; Device ; EP2C8Q208C8 ; +; Timing Models ; Final ; +; Met timing requirements ; Yes ; +; Total logic elements ; 16 / 8,256 ( < 1 % ) ; +; Total combinational functions ; 16 / 8,256 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 8,256 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 35 / 138 ( 25 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; Total PLLs ; 0 / 2 ( 0 % ) ; ++------------------------------------+----------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 03/07/2022 10:24:25 ; +; Main task ; Compilation ; +; Revision Name ; triple_selector_8b ; ++-------------------+---------------------+ + + ++---------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+---------------------------------+---------------+-------------+----------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+---------------------------------+---------------+-------------+----------------+ +; COMPILER_SIGNATURE_ID ; 220283517943889.164661986528660 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+---------------------------------+---------------+-------------+----------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:00 ; 1.0 ; 245 MB ; 00:00:00 ; +; Fitter ; 00:00:01 ; 1.0 ; 306 MB ; 00:00:01 ; +; Assembler ; 00:00:01 ; 1.0 ; 242 MB ; 00:00:00 ; +; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; 198 MB ; 00:00:00 ; +; Total ; 00:00:02 ; -- ; -- ; 00:00:01 ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++------------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++-------------------------+------------------+---------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++-------------------------+------------------+---------------+------------+----------------+ +; Analysis & Synthesis ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Fitter ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Assembler ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Classic Timing Analyzer ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; ++-------------------------+------------------+---------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off triple_selector_8b -c triple_selector_8b +quartus_fit --read_settings_files=off --write_settings_files=off triple_selector_8b -c triple_selector_8b +quartus_asm --read_settings_files=off --write_settings_files=off triple_selector_8b -c triple_selector_8b +quartus_tan --read_settings_files=off --write_settings_files=off triple_selector_8b -c triple_selector_8b --timing_analysis_only + + + diff --git a/triple_selector_8b/triple_selector_8b.map.rpt b/triple_selector_8b/triple_selector_8b.map.rpt new file mode 100644 index 0000000..f157c1b --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.map.rpt @@ -0,0 +1,218 @@ +Analysis & Synthesis report for triple_selector_8b +Mon Mar 07 10:24:26 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Analysis & Synthesis Source Files Read + 5. Analysis & Synthesis Resource Usage Summary + 6. Analysis & Synthesis Resource Utilization by Entity + 7. General Register Statistics + 8. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+----------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Mon Mar 07 10:24:25 2022 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; triple_selector_8b ; +; Top-level Entity Name ; triple_selector_8b ; +; Family ; Cyclone II ; +; Total logic elements ; 16 ; +; Total combinational functions ; 16 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 35 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; ++------------------------------------+----------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++--------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP2C8Q208C8 ; ; +; Top-level entity name ; triple_selector_8b ; triple_selector_8b ; +; Family name ; Cyclone II ; Stratix II ; +; Use Generated Physical Constraints File ; Off ; ; +; Use smart compilation ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL93 ; VHDL93 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Parallel Synthesis ; Off ; Off ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; Off ; Off ; +; Show Parameter Settings Tables in Synthesis Report ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 100 ; 100 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; ++--------------------------------------------------------------+--------------------+--------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+---------------------------------------------------------------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; ++----------------------------------+-----------------+------------------------------------+---------------------------------------------------------------+ +; triple_selector_8b.bdf ; yes ; User Block Diagram/Schematic File ; D:/projects/quartus/triple_selector_8b/triple_selector_8b.bdf ; ++----------------------------------+-----------------+------------------------------------+---------------------------------------------------------------+ + + ++-----------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+-------+ +; Resource ; Usage ; ++---------------------------------------------+-------+ +; Estimated Total logic elements ; 16 ; +; ; ; +; Total combinational functions ; 16 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 8 ; +; -- 3 input functions ; 8 ; +; -- <=2 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 16 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 35 ; +; Maximum fan-out node ; AY ; +; Maximum fan-out ; 8 ; +; Total fan-out ; 64 ; +; Average fan-out ; 1.25 ; ++---------------------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------+--------------+ +; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------+--------------+ +; |triple_selector_8b ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 35 ; 0 ; |triple_selector_8b ; work ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Analysis & Synthesis + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 10:24:25 2022 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off triple_selector_8b -c triple_selector_8b +Info: Found 1 design units, including 1 entities, in source file triple_selector_8b.bdf + Info: Found entity 1: triple_selector_8b +Info: Elaborating entity "triple_selector_8b" for the top level hierarchy +Info: Implemented 51 device resources after synthesis - the final resource count might be different + Info: Implemented 27 input pins + Info: Implemented 8 output pins + Info: Implemented 16 logic cells +Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 249 megabytes + Info: Processing ended: Mon Mar 07 10:24:26 2022 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/triple_selector_8b/triple_selector_8b.map.summary b/triple_selector_8b/triple_selector_8b.map.summary new file mode 100644 index 0000000..1508710 --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.map.summary @@ -0,0 +1,14 @@ +Analysis & Synthesis Status : Successful - Mon Mar 07 10:24:25 2022 +Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition +Revision Name : triple_selector_8b +Top-level Entity Name : triple_selector_8b +Family : Cyclone II +Total logic elements : 16 + Total combinational functions : 16 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 35 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 diff --git a/triple_selector_8b/triple_selector_8b.pin b/triple_selector_8b/triple_selector_8b.pin new file mode 100644 index 0000000..232228d --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.pin @@ -0,0 +1,278 @@ + -- Copyright (C) 1991-2009 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), + -- connect each pin marked GND* either individually through a 10k Ohm resistor + -- to GND or tie all pins together and connect through a single 10k Ohm resistor + -- to GND. + -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +CHIP "triple_selector_8b" ASSIGNED TO AN: EP2C8Q208C8 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 1 : input : 3.3-V LVTTL : : 1 : N +~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 2 : input : 3.3-V LVTTL : : 1 : N +GND* : 3 : : : : 1 : +GND* : 4 : : : : 1 : +GND* : 5 : : : : 1 : +GND* : 6 : : : : 1 : +VCCIO1 : 7 : power : : 3.3V : 1 : +GND* : 8 : : : : 1 : +GND : 9 : gnd : : : : +GND* : 10 : : : : 1 : +GND* : 11 : : : : 1 : +GND* : 12 : : : : 1 : +GND* : 13 : : : : 1 : +GND* : 14 : : : : 1 : +Y1 : 15 : output : 3.3-V LVTTL : : 1 : N +TDO : 16 : output : : : 1 : +TMS : 17 : input : : : 1 : +TCK : 18 : input : : : 1 : +TDI : 19 : input : : : 1 : +DATA0 : 20 : input : : : 1 : +DCLK : 21 : : : : 1 : +nCE : 22 : : : : 1 : +GND+ : 23 : : : : 1 : +GND+ : 24 : : : : 1 : +GND : 25 : gnd : : : : +nCONFIG : 26 : : : : 1 : +GND+ : 27 : : : : 1 : +GND+ : 28 : : : : 1 : +VCCIO1 : 29 : power : : 3.3V : 1 : +Y6 : 30 : output : 3.3-V LVTTL : : 1 : N +BY : 31 : input : 3.3-V LVTTL : : 1 : N +VCCINT : 32 : power : : 1.2V : : +GND* : 33 : : : : 1 : +Y5 : 34 : output : 3.3-V LVTTL : : 1 : N +GND* : 35 : : : : 1 : +GND : 36 : gnd : : : : +GND* : 37 : : : : 1 : +GND : 38 : gnd : : : : +GND* : 39 : : : : 1 : +GND* : 40 : : : : 1 : +GND* : 41 : : : : 1 : +VCCIO1 : 42 : power : : 3.3V : 1 : +GND* : 43 : : : : 1 : +GND* : 44 : : : : 1 : +GND* : 45 : : : : 1 : +GND* : 46 : : : : 1 : +GND* : 47 : : : : 1 : +GND* : 48 : : : : 1 : +GND : 49 : gnd : : : : +GND_PLL1 : 50 : gnd : : : : +VCCD_PLL1 : 51 : power : : 1.2V : : +GND_PLL1 : 52 : gnd : : : : +VCCA_PLL1 : 53 : power : : 1.2V : : +GNDA_PLL1 : 54 : gnd : : : : +GND : 55 : gnd : : : : +GND* : 56 : : : : 4 : +GND* : 57 : : : : 4 : +GND* : 58 : : : : 4 : +GND* : 59 : : : : 4 : +GND* : 60 : : : : 4 : +GND* : 61 : : : : 4 : +VCCIO4 : 62 : power : : 3.3V : 4 : +GND* : 63 : : : : 4 : +GND* : 64 : : : : 4 : +GND : 65 : gnd : : : : +VCCINT : 66 : power : : 1.2V : : +GND* : 67 : : : : 4 : +GND* : 68 : : : : 4 : +GND* : 69 : : : : 4 : +GND* : 70 : : : : 4 : +VCCIO4 : 71 : power : : 3.3V : 4 : +GND* : 72 : : : : 4 : +GND : 73 : gnd : : : : +GND* : 74 : : : : 4 : +GND* : 75 : : : : 4 : +GND* : 76 : : : : 4 : +GND* : 77 : : : : 4 : +GND : 78 : gnd : : : : +VCCINT : 79 : power : : 1.2V : : +GND* : 80 : : : : 4 : +GND* : 81 : : : : 4 : +GND* : 82 : : : : 4 : +VCCIO4 : 83 : power : : 3.3V : 4 : +GND* : 84 : : : : 4 : +GND : 85 : gnd : : : : +GND* : 86 : : : : 4 : +Y2 : 87 : output : 3.3-V LVTTL : : 4 : N +GND* : 88 : : : : 4 : +GND* : 89 : : : : 4 : +GND* : 90 : : : : 4 : +VCCIO4 : 91 : power : : 3.3V : 4 : +GND* : 92 : : : : 4 : +GND : 93 : gnd : : : : +GND* : 94 : : : : 4 : +GND* : 95 : : : : 4 : +GND* : 96 : : : : 4 : +GND* : 97 : : : : 4 : +VCCIO4 : 98 : power : : 3.3V : 4 : +GND* : 99 : : : : 4 : +GND : 100 : gnd : : : : +GND* : 101 : : : : 4 : +Y3 : 102 : output : 3.3-V LVTTL : : 4 : N +A1 : 103 : input : 3.3-V LVTTL : : 4 : N +GND* : 104 : : : : 4 : +B2 : 105 : input : 3.3-V LVTTL : : 3 : N +GND* : 106 : : : : 3 : +Y0 : 107 : output : 3.3-V LVTTL : : 3 : N +~LVDS54p/nCEO~ : 108 : output : 3.3-V LVTTL : : 3 : N +VCCIO3 : 109 : power : : 3.3V : 3 : +A0 : 110 : input : 3.3-V LVTTL : : 3 : N +GND : 111 : gnd : : : : +C6 : 112 : input : 3.3-V LVTTL : : 3 : N +C2 : 113 : input : 3.3-V LVTTL : : 3 : N +C4 : 114 : input : 3.3-V LVTTL : : 3 : N +B6 : 115 : input : 3.3-V LVTTL : : 3 : N +C0 : 116 : input : 3.3-V LVTTL : : 3 : N +Y4 : 117 : output : 3.3-V LVTTL : : 3 : N +C7 : 118 : input : 3.3-V LVTTL : : 3 : N +GND : 119 : gnd : : : : +VCCINT : 120 : power : : 1.2V : : +nSTATUS : 121 : : : : 3 : +VCCIO3 : 122 : power : : 3.3V : 3 : +CONF_DONE : 123 : : : : 3 : +GND : 124 : gnd : : : : +MSEL1 : 125 : : : : 3 : +MSEL0 : 126 : : : : 3 : +AY : 127 : input : 3.3-V LVTTL : : 3 : N +B4 : 128 : input : 3.3-V LVTTL : : 3 : N +A3 : 129 : input : 3.3-V LVTTL : : 3 : N +B3 : 130 : input : 3.3-V LVTTL : : 3 : N +C3 : 131 : input : 3.3-V LVTTL : : 3 : N +A4 : 132 : input : 3.3-V LVTTL : : 3 : N +B7 : 133 : input : 3.3-V LVTTL : : 3 : N +B1 : 134 : input : 3.3-V LVTTL : : 3 : N +A7 : 135 : input : 3.3-V LVTTL : : 3 : N +VCCIO3 : 136 : power : : 3.3V : 3 : +A6 : 137 : input : 3.3-V LVTTL : : 3 : N +B0 : 138 : input : 3.3-V LVTTL : : 3 : N +C1 : 139 : input : 3.3-V LVTTL : : 3 : N +GND : 140 : gnd : : : : +A2 : 141 : input : 3.3-V LVTTL : : 3 : N +CY : 142 : input : 3.3-V LVTTL : : 3 : N +A5 : 143 : input : 3.3-V LVTTL : : 3 : N +B5 : 144 : input : 3.3-V LVTTL : : 3 : N +C5 : 145 : input : 3.3-V LVTTL : : 3 : N +GND* : 146 : : : : 3 : +GND* : 147 : : : : 3 : +VCCIO3 : 148 : power : : 3.3V : 3 : +GND* : 149 : : : : 3 : +GND* : 150 : : : : 3 : +GND* : 151 : : : : 3 : +GND* : 152 : : : : 3 : +GND : 153 : gnd : : : : +GND_PLL2 : 154 : gnd : : : : +VCCD_PLL2 : 155 : power : : 1.2V : : +GND_PLL2 : 156 : gnd : : : : +VCCA_PLL2 : 157 : power : : 1.2V : : +GNDA_PLL2 : 158 : gnd : : : : +GND : 159 : gnd : : : : +GND* : 160 : : : : 2 : +GND* : 161 : : : : 2 : +GND* : 162 : : : : 2 : +GND* : 163 : : : : 2 : +GND* : 164 : : : : 2 : +GND* : 165 : : : : 2 : +VCCIO2 : 166 : power : : 3.3V : 2 : +GND : 167 : gnd : : : : +GND* : 168 : : : : 2 : +GND* : 169 : : : : 2 : +GND* : 170 : : : : 2 : +Y7 : 171 : output : 3.3-V LVTTL : : 2 : N +VCCIO2 : 172 : power : : 3.3V : 2 : +GND* : 173 : : : : 2 : +GND : 174 : gnd : : : : +GND* : 175 : : : : 2 : +GND* : 176 : : : : 2 : +GND : 177 : gnd : : : : +VCCINT : 178 : power : : 1.2V : : +GND* : 179 : : : : 2 : +GND* : 180 : : : : 2 : +GND* : 181 : : : : 2 : +GND* : 182 : : : : 2 : +VCCIO2 : 183 : power : : 3.3V : 2 : +GND : 184 : gnd : : : : +GND* : 185 : : : : 2 : +GND : 186 : gnd : : : : +GND* : 187 : : : : 2 : +GND* : 188 : : : : 2 : +GND* : 189 : : : : 2 : +VCCINT : 190 : power : : 1.2V : : +GND* : 191 : : : : 2 : +GND* : 192 : : : : 2 : +GND* : 193 : : : : 2 : +VCCIO2 : 194 : power : : 3.3V : 2 : +GND* : 195 : : : : 2 : +GND : 196 : gnd : : : : +GND* : 197 : : : : 2 : +GND* : 198 : : : : 2 : +GND* : 199 : : : : 2 : +GND* : 200 : : : : 2 : +GND* : 201 : : : : 2 : +VCCIO2 : 202 : power : : 3.3V : 2 : +GND* : 203 : : : : 2 : +GND : 204 : gnd : : : : +GND* : 205 : : : : 2 : +GND* : 206 : : : : 2 : +GND* : 207 : : : : 2 : +GND* : 208 : : : : 2 : diff --git a/triple_selector_8b/triple_selector_8b.pof b/triple_selector_8b/triple_selector_8b.pof new file mode 100644 index 0000000000000000000000000000000000000000..1be17812505b7201e648e9ab7296e1d7c25d25eb GIT binary patch literal 524488 zcmeI*4VY8)o#^p1V{C(K?IaUy7@;KLNF#3pGx&m{Bq7ifa0)6a-QBy&TPv+yTea4E zd!MIC$hZMfOJH}Q)&*RAR`2#cD)8*;*0mA1d)2jy$h{YCZ7pkWZ~Hv91h7_nQSR@5 zPLj#%%sFUu#{HgWCOPlte?I4b{%_|cix{i@}Gc`H}nE{kdH<_6|1o_ozq?F?;{Z06cOzkT)XH?LZ$ zd9<@Nw~Vm5h=k=-P4|_bor-9i=Z@0qd+O$`S+qPDzjjId+G}tB=JnrFf7jiy>iTc3 zy6#J>uA6w_)jby;Sn=&SS9wOa=+Dz;?wY@AyQeE?^_TXx^nJS1O3OF?_NhH8ZoPJn z!KM}Ged_JI@BUHv|Lxp4;g2eowiVP&|I&va`r}FGd)qawqJPIjfBaw6U)Nc(LK&a! z_p2lS?DKC-Ik{)g=cbtRR}HE0-Q|x7XICw^{^YaI-uHu(&p-cn){-^DYRaSKkH1yR zTHOmLUwGlAH%`9z;{Uals~J{Pj+Q@OuU+oF-~RUKwBw=B1lc!h$%-*=sQ%xUK3+dZ zZLmyj&V5ov$?+$jd1n0B6EkLXo-zAGZ*T7z#Z{RT=U8LR{&M_1@#$jV8_a11qm|2+ z54yDr?;SmQ>WbqNCiIpFPF9RD``=R|)W->vzzqAq?ics)Ll3vobhK@M*O71h?)vM$ z^WWZHzkaXVZ=SxVN08}1ls)G6-)$uUr?(C(2Am$Fv0%sT|LN~8zH~x4s-1l4rQgrK zu(N*nq)a(g{y1UA|4T0Y+uqY%cFKvKp6&_qahlsc{m6If3OSYLTyyGozq`gdeQH+a zI6lf@D9sUtW=(vI#>Wdd^Y-Pc|_b@{Q* z&fd~GD#w`p@2XkU#|d*C;YkmMCWXppU)}OUg`s-+H{Si(&yG$#=Jif!^GSYT?!^o5 zzWVCFj#Cj{^}Y1t(#KwN`_<7wEwJ}&N#{z-TEiBHwYK6ID+ZT8fAHpl1&?leGm+Tn zDvukY=jqP68N794tP$(yyxe3;-RPfRR+lda>c&`0<=^f!3F_9-V1xwL+tD7P3Y2VuwDdF^4%A88N!G(!vflBsxmq|I;W znlIU=C)?FWtSy<@?vWX~Rt2@O4|}{ab*$l%Wx7^JHJRy#uS#4fZlknSwQ}`ubsqWh zM6Ld(E^iRR;(Rq<4UTcm9Oc0$)QLDiQW`%H1U05)boGkT^r5~@{Gn#DroaEd)(2nK zeCn67V?%Tu;xAqP3u{y{-B#D&q-?UBLjt`vuGU3fYdiU_Yg7fMwo`Gmt}Fchf5{2i z;PBSXDF}1-4pdD_)2pLFX{=WG;i7W?5IqhRhFDu^vKebj1A~9J?0^lv+459^TAz)T zajJWqrUcbXHydhE1W47NEsaC4KYG#qji=O)ZJmH*z|sX=(gvYv=|Edh4N7(`T})+a zTEH#&w+sc^qgwtqubh)Mrw#cLX`6F-Wu1A@1%|`95Du9XMl2Bbw0X2-I2%m#n7cmM zl=xg>xp~f)w4~{nbZM&akd;Y$h`Ktr#rLuX4JHCD|c-PPL77VJ+5w# ze%u=kb$fQCr$#3|7oC>#P0P(c61w0Qp;^%>Pe%9T&eNwn9$g+jPnW(4vRGow&Rphr zE?UURVq?_OlMZ=zZrl{?9^+D5 z%S9jT9JrZ@;?ai_H$FLQd8k_lQd?{N^`y`6Vw5*i1Eig;#bt zjBEL2ovN+LR@b65(RQ324hexRC`}`%ooSm?kz*@u=X9+r7dlI!U;EiPc9T!f?8|rA zl+aq2k=qM_;@uW9DYnIvuF-9h$E{0-9z7Ts|piB;D)U&TS9Mi13XuS@7uZ9HbgS zo5`o=dy2Wr!x#PIn4R9taqlw8dTa9C1CoJC?zvI&-crW9L-OC(!^fhbzuFn? zso3OQlAYCLy+4+Wd;XCgmHn3T-W`(v&b-$HVW7B~i7Z260Urf3EGE&S~;(mVt-d!5uqg z7PII#Og7m9{V|Xw}*4D;%-Ccf;YJ zB|~Nolhj=?pGUHoY}%jGC$vc(GuV{aOufUEe{01jnpEG^@lDp3_qD$~W01O=TBt!) z&l`7XZ(KNDO|{eg{4z(a3s&9|EqGOZZm^2k5P8{PwZZZ6J>K#0<>B%1kW}v`9F`i^ zLHXY&)wKBJM5vUheB~c~)4Z{1-p*f!>ayMXTABWbT=Y_@luedOS!D%u*|eNw*j1rz zP$8TvOD|M4!ik5y)p_soMWH9-2lQV{n7^Rkd+)|G9ag2JP-y2csd*$NOxv5+YAFeu zGuJj1sF980+SrS>+grKjIGL$y+D$erhdsJOF3kk$CeA9NL!h`xF`jleE@|D}}w^~#0vYJvWv);Oj*^|-dbLXjgnZEpj zss>f56PoRt6``%K6ONBRFHNbcQaJIiq*=8x|6P6M@mzf3?qZ|r(amQ%thv8>h3y7R zY1PQuJX6fZ5=RA8t+32?X4k4Tu`Zu$wztC}A!ru1n(+kH_E8=8jowz>h*EPT0gxaiy;M zwv(#%!ivjg#rsFNQdd5uD|<%jmAZ(TcvS95!~XAow$a_P4QDkgO2LMcYDdoY+r9w# z0am{v?s7O@n)AtWf5V+>iDbyU@Fw?z#4>B*o>4WoV4Br8Evd&ZF?<_puH{)*?8a?8 zOAAL_vj0g}MjAk?@3@rpM%-2RW~y0FrAEm`J9QOK4uO%%G`QtbYS$T`;jg(zQ!O%O z){6t!gZDYSa5qlxPVLjXH^krcCPLjCq}6eQv^wUb)iELWD86Ieqqs({ZEZZLKbhE* z+bS2dw#r4gt#T1AA&r0ubrJ4(&X5+sM7(>8+$EWiTrMH^qa+sQzAwvdvhGKjWh@HK zO7!LKmwPi4a&Klr?#)c-vbvt!19AaRg0WVDu{Qo@&Iom{m0+ZH>vw)`(J|dC8~1K} zOZWan?~^T8ZT;PZ{`ZLo^f_x)5XzhXLoOlL}f@bzLeDXyu#9ca9CMV_G7`i+9>jpQdG`zg0 zB|oFC12x%Z^_B{M^K|uy=0hJ~{ld&3GuEbN&-!8WZB|bis*c&B)m8+eRn2WqF051p zXVBgc@OV{hM+um>%sV)HZdv|GN0%ciHaA%BP|=}c*;XAlxkJ;e-Koob_ZW*eNH$k> zozJ|Qr)`Vx&$>pBYFI5C_lR5uYF4_CJ!nu6$&Z)E;H;Z6C9#_C?ytFvQ(f1SqjO_s zvA@B6oZY`3czZyFP@~=_dYxQ*mV5QBZ0Ml=ctYhsRk_dyoClV3pr%>z8p(={J*E8T zPVZ~c<6g;ty>c1O+c!}%@~Ry8faJp8oBxi!@h{}I&~g^ML$YDH6u4Dx(X7dXx5z!3 zhgZfV3#tu+`ovI^r3cA(KHRMk_`_PW+Z zfR>)X_I7S~JPs2M4OvT+e5!f%^3@rSI4)}x#&4D+R6U#5yrS5&Zkf+jyjo`nX=jh~ z9WLfRQvcc@x1UsJKF+FtLuY;EjHhW1Y{a|QN-eaQ?R@LFWO_5C?m0v1eveDtPb!|3 zl~1R2KdFf3q#`Q0UR3~`Rzz=-is+S65j7+*hJNp7JI`?(8>Hkp#9=H?mLj6fQh4zO zQD>D|?Wv1xXO6?6U|V(>yoaDVx$HN%<-k-&s!q!2!{Po=XXlMH0wo8U(+7we^jS|R zHJH$7RO_<;Mq8^Nr)s2=+J~$k4qCB%ZNph|o2aVnHL4~`{#V~#t(}~)SyIu#tB6f& zm4%$`7FH>W+DuNZyG4r`P@>N(jh{97Pi5oh2a)@g8$p}4!5V$JyQK}bnDcz@Sg8S6 zYJD$Dt*@p9*lDd#-3cmH(3(bIr&Z9k5}4;CFvWJ@w?BJCj<~bfkau+T!r6J~uoSHQ z==Zi;SXF2aoVKl+^_{w7*>Hqv9SDSa z^r*@)VzD(o{}_1_YakrHV1_pslyRZZT(8e1sfa$)NNw=Q1<-Ul=bhkDuS_j2Sm?Z7 z^_#QFI#MY$m#iXa&LnFvS02!Pe!qIlYdY<&^LuiSc^}SRuziC*)jMfbHy8c~#QtV*-!T9_o*)>Z%8(mv=#54C8>u$<+ z1-}@Y@Z80FKcD@DFTOPE8|U$!n@b1%*?ZIeuIPbKXn8Ce4M#F+i}Bce|5E=LUsTO| zD0`ECyg#@sc!58i`c*i)-J?$pg(muZnf7>CZA@R8N%e1tdNRfWnK}Hh-(2yygiVK* zJpn}$YV!z?F@5Bk-e0;JXyWYfhi*QU zur}KW{)}XkRJis?$nRBrqxYxW;5uR0 z-{4w*k_AmjSSXHLyzsEFZr|Wx~o~uKaE>r2aBl{(?(b;0uM)8$4-9hkcT7n;a_*O`7{p? zM-C)OBP>N42>76VBPz$^;mG2#iN!YKH|EgI>)4O=3{={F=g|G4_f}AUH^mYjvt%4qgF`Y=J)|I`mF?DAM-AIH zTRhD7mlO*>Lw>a`%jdeIiLZcpDXSF^eT)8qm$EJjIek6Pzw4K>rlle~)JtOJt-|t) zriI?UdURVbcD~Q;=?X20r5+3FOEX?yaFY2oIrCkAXtZ~S`PGv#XAJwdoAaL+OV0~O zJr9~+kH_3=gI5Qm3(T*%ZgX8}nSW}}+-qaW?J<3x+vlGYzdDl-E-*Iv7YD=YYkyET z9uE4>&zN6RGv#{{wuFG;=_5=FiFkV_) zmsC0a(M)HhU|w45s6n;v@H81Q!fMYBFH4%Q;BK<#wWCLvL~Zb!3{$AG-8w=9uuavv zODj#HaqVc*LfaLP)};UrYq-vSW3={&Hya)fXYI%QBAD%{_H<|I$Bp=H3EMw!_@V!{ zM6)xgcDzdDotC%wS;EU~pDnFRm}`$@`?9K;Sj}m_|7^iJbV|D-?{%#Z=jT)Jy&Ja$D*IAJu#XM%L(J?JakwD z<|5BDD*9}g*`+y2?!)*US8?`@I$YHfAC!YjLM%_uVshcdfvxeK-#eHXzBdRuIuD(efN`9QN-YR5aQ zJk&1UWVm(7bCV6$j2=-X6x+8579RSOn*D4#*t-0~>51duwV(NP?OH|3Js?9He-d!WH~BY_+_ zYLM0;pa!Yuw#Gl48e~RGy8V%Mfj3L4-AimoSgACmHG7pvUt#P2In5Wi*h@?bC+FEc zdq-eyQuVV}a-FzQ_x0Up){Zu6M-+$iPpmoH?DY@KkaP|7SM?qJN_`!pNf7e8U-{@8 zBwKuudt2!1x=ZC)3tWGZSv*GGc(5(IZOqrb?Liqgsr~aY^`OYM_}2~hU$#kxw$A;% zw$BASufr zruXRaxNOfb*2j%Rv`tH9vumI6>M9W7@RhN+>{c?f&&X`@N$|4S&HgFTnCw<@!F=a! zXG*_0o2(;~QFF;EQszvu26N@fOx!SJ-LkyjZ?4)}Oazs;QYiR>ZI z6Q0ynp}X3>>n=%m1+NNC4u$8%U7-$hWAV(AY-aQ_U&q7oSpO5*?8D}YeH$f8*z4>U zN=IPgY=gjA@!7@-%~?>Dl*C>)qEZdpdqo7WO+^G~)$LLCPC)f?QkxxFfU}q1+G9l( zReLd|h3#8513+9QuG)+$t!s<5835ucan)v2A+Aygq{3qJWFcK8U9}liNLNW$Nmp&2 zETpR=DP0{gx4L#bbENlE&aUt;(X<~eKgZtFE{7hgMuytvk zxsfWOip>u6{^uUsrT5bb>(Bux%=qVl&`^V=bV$XpkNGQGZMSmpyM_95i4XU83njHwMb&)N$rJMS8g(?yGyC#gt*c6! zNnX?Qs%FCJhT*%M;bh-Qy9Nl(?a2>l-adF#%K7| zb1mtt*B{i?n6y_tF{8zdRK_E1T7hgh zj1AU#=&%^fTU$T+w#X5b={X3h?P5Cb-5EHG9hLxX*3kQYGq8oh`>g6?woi{pcC;VJ87YuwB@4kvcz4n~jXj4O}l67lXWx_r$&?oBLAPfeIt@p79Mg;vYEUuPNepXkfo zuTPQJgikq^(C<2)FrV4aJ)loc$lLGM?$f)s#NW&%LfufIZ5>xXi?&DvYy9X&Vy zn4XhhG&baYD{Ln;=1=nt){+w`UD1=90{+A6XQ!ops!B)!S4yIoypX`F9=EOyVX9(m z;aNEY>~#%eSEjtI)Q(f?3S%)yH>^3UJgK@TqxGw~%=t4Nerpf%uditOjJ9l}!<~|q zL=3}iZLsiP@2Os?8j>|imnjA!lNq>#IlH%CO{(o=oyE|uS4_pOaQPA`td@q#-zos5 zE>N1?oB#gavywtx*?E(azL=fY`bvq6*(Hx$ezqJOYoPQQa19(qEfdmiOqZto{K%ZK z>AJPcRJA}x3zW8Ntv%URn0B(X=)kuU?l8p9IyM>U&y+TpKYUw*vbW`^!ff@G=3eyT zTI*m9tla8LWg><(Ma^2AJ->Wzqbmt&YDyg$>p-dj)fHx4Y^|%}Q)Vp&MZVSS#Wic% zxcR#z+-0YMWvrFSaB1z*`}6l2?Bl>9(pTDwmOnhm`mr~;eC=zyiGeH$HvlVIe>!a#7l}V){?ta5qn$90M#I4Q{IlDAD=!>(dOoyz;+PQphZ~Z&AROnRPw6Hql zl$7lh<=(wr_Q+P(BwdJ@(M+w)L=Z76BwFF2TL*o+Pbw7-?c3Y_jOK(59*EXJQz8FHQshKX$X6^}R_GQd&aaP{s;P&;4 zrh9+Z?Oz;DrBdRQY>!LS+a;Hdn$%t+OS7r|JHv;v-aj?^mu8E9cN&|eE<1YZ=8PI) z+?hR;z0-dvd)2!B1#;w4#TxIQUbLppuSj7C41hWOV-@d>{&_)PbT)rt9Y805{!8FS}7$M5)5Nu`di0K?}cBzDD-&W z0bL3Pm4$-?4qpko@hv^~6TPoC0PiOBzfU}%&snR=D&=y@A99I>Yowqt@o-K`7L^5+ zn-+zhyz+qljv;|D^m{+sd5+`DlFhG>N;+sBLKW$)J}rOq#s(>hnSK=KwGtOO?af#a zX?ypE#v#fiy@pHXNxJ&oK9z{)vq_K9t`;|=asKfha}6~gD%zCOdn?|lIllAgr;BgA zDJPi&3no>>EO-87+fzODh3uF$Lvb(6biBB#qZc*H`eo)obtHdb_OxW1xu%LO7FVrC zM`ZU@sLfS-18sB8ljQ^E5`lJg%9m4b3A83JoC&xLD_~k&%gBoE`BktuTw1O)SnZO?V5pV}e@ie7H zrN$xgSqcy?IR(<{gmHVdRA|b@<8&?4zrIc1pOxgx==W#a;}XBey8ZVU{q6ZwT;8{q zG+dFGIX)u|eDcz#tjv;08ksg-%gPKg?K|&{ih3pWkOEbp{TbP?3dZzoxtLzuu$(=` zu&7fo&^G&$mo-TTQ~|-TK9X+Py47VgwHcW##U^vBNmVQNXCvA6Igh`;dlQRWsQFSS zr=0s%?MqGTXp{cwsi=Gg{H~666}v;KexPEgocrxUI`x>Nmsd%uBM4EomZ+>q4ukqs ztFsP`rbSaS;0w!GubHa7F1orxws_f>SKTl@FumBRo>?<{XI*lcTWgm&uG{hd0nbED AIsgCw literal 0 HcmV?d00001 diff --git a/triple_selector_8b/triple_selector_8b.qpf b/triple_selector_8b/triple_selector_8b.qpf new file mode 100644 index 0000000..1f144ae --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +# Date created = 10:23:46 March 07, 2022 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "9.0" +DATE = "10:23:46 March 07, 2022" + +# Revisions + +PROJECT_REVISION = "triple_selector_8b" diff --git a/triple_selector_8b/triple_selector_8b.qsf b/triple_selector_8b/triple_selector_8b.qsf new file mode 100644 index 0000000..9b3392b --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.qsf @@ -0,0 +1,53 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +# Date created = 10:23:46 March 07, 2022 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# triple_selector_8b_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone II" +set_global_assignment -name DEVICE EP2C8Q208C8 +set_global_assignment -name TOP_LEVEL_ENTITY triple_selector_8b +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "9.0 SP2" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "10:23:46 MARCH 07, 2022" +set_global_assignment -name LAST_QUARTUS_VERSION "9.0 SP2" +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name BDF_FILE triple_selector_8b.bdf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" +set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" \ No newline at end of file diff --git a/triple_selector_8b/triple_selector_8b.qws b/triple_selector_8b/triple_selector_8b.qws new file mode 100644 index 0000000..7891c27 --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.qws @@ -0,0 +1,14 @@ +[ProjectWorkspace] +ptn_Child1=Frames +[ProjectWorkspace.Frames] +ptn_Child1=ChildFrames +[ProjectWorkspace.Frames.ChildFrames] +ptn_Child1=Document-0 +[ProjectWorkspace.Frames.ChildFrames.Document-0] +ptn_Child1=ViewFrame-0 +[ProjectWorkspace.Frames.ChildFrames.Document-0.ViewFrame-0] +DocPathName=triple_selector_8b.bdf +DocumentCLSID={7b19e8f2-2bbe-11d1-a082-0020affa5bde} +IsChildFrameDetached=False +IsActiveChildFrame=True +ptn_Child1=StateMap diff --git a/triple_selector_8b/triple_selector_8b.sof b/triple_selector_8b/triple_selector_8b.sof new file mode 100644 index 0000000000000000000000000000000000000000..17bc883c532a01484aa3930463ba60c788d86025 GIT binary patch literal 240789 zcmeHwPme6ekzdWc;dsb>5}Gn?@L&VU2U_6CJC>g z>yH85(iC^`4nFAMgJ8hGyZYvX4?5;SAAo>wK5~Km0{Q@Q{Hi9iJ3AtytEww|x~4PU ztM00(UqnVmM@D63cT9c%kN>Cx-O+Z{eV<& z&A!1EJbN|nO|DXJe-~ZPA{U4a`fBNJ9^4Xt# zYF_@}&;FzNmihj_HvjI^|6u;`hoAkF#JcP5IVt|bm*4u%hkx>|`wzeKVfU zweNa44;iQuNrp%0m4z3sA^)I$;B?dk*+eH~0^HzK4)?I=rhBjm5V&~ll=qQ(GxYI) z7y2c_BewSl5WSDU9XPa;J}Geb>A|H8jt|L4i_FgFxUZlcf48}ydEneVVEUAP?p6x+ zZH_b?m&QiT1I+`?1I+^~_CQPIEB^47Fx@Vpgy}GhGma4eyQS@(HjkTJ+?G4qL<-;* z;qTJP9^q(*?&rHMaiR=PFmm9C@Z2Ims#2(`-AvYw&tq}&i)-Wxi|Z{MI)PJMZ#keN zg*1yXCJ3ksPZ3!d24ajN`r`;l3LF||7GWIDA&j);39e{#-X8e-y?Ftza*Xxo_g(^y zi|~}T9bn^Qv<&A_56z952c~#H&CFbp3QrMP7zSb@M;r2}89zw4oijNtInQ+5nTicx z1_f9r$CzZd4sVHE@>%0Owg=SA%#Bmw>rdpo!wYi!8w)DiQ&s1tW3Q359tIfR68Ty` zzGn9r52%@$D^TI5P2^ZiqMwJ*FHMNBUS+T&uDE?cCseqFXumoIdCcQ1ZMh5>8GTGg zWq4-MFf%lRcv&V>j|22ig&UxN@YrE(T5uVWH6BbE&Kwox@5vwjicES=(hkzk3|5HI z{61~2XhB+o-&!nLQ(KS^aCzjUCiZo9-75{AS=4Lf3J!BDoU%9>ICVPt4@u@X~{uG{>bZb0<%Uy+W)NlkFYZw$@J@XR7WB5f?Sg-`c}!o_%E zUy)z$4DE3GsMF!s6dXO`O+%eWxFt?(f-Ci=Z%&417WI&%K)&A3SW6&{0a~F@UV-z{ zzyVr;v-}H>G-@80;sFQn`1LLwo+9#|l=dN=II#&d$EXYsz-Hr+2{%UbzyS|r0hEQL zD5$~%M1Xh}LppI%7z4tn49_fjxDBW4T%+cJ@g8u1iZLeY(BUZ}Q%EOHY(msph6muY zfh8(v%&H#PRMlQzc@{ufNQ!N%@Bk4Y_@QRd|31 z5b`jPZG=0-QPaaSi{^eYR%Z%NYiEsC;Q zD;(R|aIAtR-#oCK2R6&8+R-%kx>im_JIc4aIy@#WUX_wA;9FHYd$^bf97hES?!e8` zH5l~0RUJb2>XDzS+D;W1g*T&6$AOC9oE@Xq&lS!BD2p+s5l|KGAOggJ7zHd6pb9** z2y==#1SQK8I(jiwCo@-f-!Rtn%*>3?;V~j>Cvs+1V>Aya9#Atgms8;m_=$-eBDE7a z+89R{m7K@9q(}J)*mHuK-WWYIGkbM-OXSR9jd2DZP%|^PPlY?+Pm##ixyozdo=Q~b zrlXRvr&gS`*` zt9d$kRmxYS2vsGV{IyWTvV~u7%UpO>N-Q(sTJ4ok#1i4W&Lt{fRVjT92&zgrS^8_p z6sN}1saV9a3-^eAARMboNitlkp}&Sq&6NvZQWJnA^7#1E*TPx0OPHM<9ez*99uXiC zX8^ej&g@eFLxyJ-4YN0SjPL7g$IS!L1Ld#lA|iX&;V~i$qh^TFOy6)I4>CFG1@Xpe z9?(3HXW1B}p(LWiVdqB_3Opgwa5!n(sbKI&KH>J}H4mJL2j)&eb@S(5*UG6#Y)^%!8G&*E z7q!aM1C~qUK=z`NC79@5Ju*{O*O`LWxwFdpX0xNE4$MqN0djJT?P~-STN$2a1P-ub zjN)V>M|;?0cp5ed0_a5Ql}wa53=fAPPY6*bGuL*I9U4$GGq*v7r-&>J14O+@@*o>G zNJNE=**viIK)|jXV_VR6FJQL_pOeU;(BVZ4=`?H-1kh>}s%c)iVzW~Fhl!b*nR(At zc#6ovFldRKJG61?dmx~RVrFLT>+q8$@^!BA*13u7KchM~9qi*@DMQW7yiY2;C35bt z#(8`X1emb8L=K}3UXal->$0?^8V>AdWC>!xDZ;UG&?As+J#Ag$L>VwMG6X5ZEwEVB zO_1SmhjF+G7@Xuqcx-`t!NUN^F!ID&w#9TUZl)DNR(s%1lz3NJMPkH#fX0WfyKdVWIF>7*BE~%T`<5ySq+hElB~M z-jY?NBuJD}76%e!cgNvCTuZ=-G$XjD1CKLMrC*aHcQvTpk*o=qj{j{fh>Ts zkQ7B!cz_5H@-XP59%mWF5fE^u+yrh88#ND1^?-wb7-OO)9UjL>-M>ffMavqqd7$Kh za@rInRCs_$OXQ;CR?Wn`bH(If`_hSAc~XSvs%bNFQAJ~(l?UinvI5N=YiefZcB=3I zJP>ss^@dh1gNCnlm+mVqXw)WTt>tO%9hrkE;}SWb*vjxYBcGDU;f~6Q+{5U_$u6$3 zDXioHH8b-zRd@g%2zeN^r4_hl3eC-2dK*YZjhY9}!~=7upsM*^J*%7)ulI8@7q#Kg z4iw5?r39RoNP)Bb3wa|cS%e4U>(S(SYMm))oja?{ZJX?9se{S>duX^kr(~AtQ&7NT zL{53I1g>k?0&Piw67_&hBsUR14i0x*4pqs_)!p|n*4CKFtNW%k@T5F2A(5lsI($wd zht|r898HX)%Sz7UeA1)l&J!jyQ3X0o%$`&tf2WG0n$nefK+nvqb2|J~iQF=~R>7!q z6WMp&t-=A1W#p4eg&4Tu|>kD!NldqZnw8a&mY^zzS)Q24T^k`B#vSBCp5GWNO0DmFO;8fOvJ z)htiGkFDu?zao*WDkVKmh3opD>lC=@(xXl~R+W;P;96<^UxxpN!Yf&XQkqC|4T$ma zeD;2GrNdKE$u}V{7QSTqhJ(+$zBv)@5&l`7OD}_9FE0)VZ5)EbBT&ezQUbV0mm;O# zUPJ`}u$Wyv26ciAgBIr-H4lvPKpsF@j7jo3{GLR5M1X85MsY5ufI}IcSyUV(xw>}L zJdk;yN+PfO_sC7wfp*Y5aKHn4W@f@Vyd`qxuqVN=EAK^>M82IrkDF|y={AF>VUr*$ z_xEL(@2hmv&eW=BW~Nk!$A}C8g7OrbsU$`KQ--ss2lQfy77HqnxHPwHJ4i{(6GCJT zO-ST`>hKtmQxZAck+O7D5YQDl>TzZwnThyu@Xa__Lp{0&^vul6)8R3ZYnhp;Bt`&J z4rvzkfL;v2C(9Fj+NgQpJUn2lm|OhNsz-54QHU+`9-$^Lt=p**K#M(R^gf~SZqj2iJ`g8?QFGBN6z zRU;S}d&?8taWQ1q+0$XH1sE^KnA9yDeyT(+S$3wp-eG5I+mkSB%td`dB8N{@_^d<@ zt^0$_I&c?DXbIE|3J5QL>#^PA|%g(*dfY<#P>m0Ze1u%>7QzUW=3mP>K zOz?o3nYkVnzE~n323a|guXL5S%1z|(8P&Pz;Gh30IRRD|Gc)Uw4nIXAXC^g9^S}WQ zsF|6|s_;`Ka^Ks9Ng^_Oe}sEzLt7^%%P;7J3bzpLXPuD8os6X|mjNTAkLjok&nz15 zjm#ikmdVuP0R25-ABENhOL5WKc8l%p9UX^ zwj*)Jz=}!o7^USTc|fb0nR8h{Rd@h?Y9fa_#6h-PBB!OQ3RGoHvVxtLt@2CizJm+I z7{duh4xA!9CURwp%`}2>IWuyx`$gvL%vIEg6G1)OPBssm)B|&;pt_NBuWRL0B(|r+ zs44nwX|`1?+|=LG9GdkFPM8KPHF;YcF7aO zf|0>@5%RtTJCi9kf&S_6)Mo>&nHORcIFS>bG2mqI93mY(_g%+pKyIys{e=FufCf)x zE*<3MN*1p71zoZB;T&}ohw5r7(5rbmvnP{eRV7@Xzs?_IvIoE3mbsM6Ot@BiwJmE= zidgmqSyhTfu%S$ZlilPGGRdk+2<|ahpwN}eOt@BaH>QXsmm?EBKK}HzaF*?I>~N&R z?+Mf+0?=^=kjvoAJ_Rr`_&%Z?HxJDBK)S2SVhm9)k`9k!q%dmGgh*_ViKxbG9+>O_ zTxRkt8-p~Ibm{Pz$U`sBMvW_Ja`6 zvzB#uOypgFU`yo8eHX(JZ$*#p{mo1bdS+%yb$CqVM?dO~wR@QiQ>ELqpi!Gbl?L2Q zt$Jq8lme>5=Opq#ZD7muJ{Zdp7G0MoEJ`(6g9r4?%zB{1VM3^z;akPuQ) zqvnA#@xa_EsBZq;>l$0-4QK~9ULvXRG$Y6_;F*l5mEGrws4cV3y#eWmsb@rWrl8fl zxAmOe?k>1zX6g!%V=?By-KRhdY-M5VZ^MLGu0Cvo>DM%f-5(O}e@H9po zVB-=wkPa^y9E?Yx@Ca?Lqsb{3&a>;0nwhz^Dm;ym!Z1J>i^N8ah-%E{fmt31kYX|B zz?CR~S%jY`k^7II^}h<13_N>Yojb`oHyvm1%#jAFnVIWQ;VqGKhc(XQdmzAsVrFLc z>F^UJ^5L%^8W@M~?XVnXY3q}_m?tek3=l;))^vLW@C+gzaG7Eg zFj$9UrVIr4f(IA9VC0E&*lA3xduB=xFX7m?=@v_ar!tpRr;<43ho5+QK;aej_PNJh z9Q6%NEKHv)tZz{FVxHDlG{4WvOw#jFxW3n2r@(#J#YdfN>r^5qHNmyM6rSF~QH+y4 znIx+!VbE@KlRwC0A8tI!K;f^tYl>+4{1YctvT&_;*Qu-}!8t19d`U+R9Nblh2sqO# zV35XW9+=|+LqZOMEXI&oMRMR4;W2F=|M$qn#-9Z9{U_0jb#+3`%)BcqJOJMkIrm!R ze6Z@jei(bPx_1YQiOH2#rhRfMP4d=Ol8tqjDnmFnV#a zi)(BOD|tZ8%)Ct%9)NE%a_+UcoVl4xZx5;Hvgj9G_eSioi8~YCA8pp~&R>E}`~#{kQ*nyfGJneUVci zzeRtx6FyoUdH?b1Y#t2$@jtr0;xQI8Gwamyi5yL^LB`q@7?j9oUUmg#53JeA`9XeD zR=qv*&Kp-h*dCq7-XX(1%zXD7bEgZB+sT)|z3XNq@-D#a8i{<=jJ)@m@Ry@k@fp}R zZEyeXi{Cvs)BTOV9)4STruG%hy8FprUEQoLk-z>D{h5}?4`r&Nhn7F_{EwEu0=ebQ z+}CKu8F|=;o#FAfe|vX#R*8I=(vR`fe%Mx7vKnK^L`>+c%S2Xj`Jvma|N2pJ5}Wns z><<2t^5NPNd1v58goecq=H&=@Pg^IhxNQic!!bbmScANwt&1Fs199qqM-T=F`T#K* z3jwhSoKfMP07IXd7Yt6J7mN&X7@VOPBfvwq7VB%*6f@-V=f3OQ(EJ|S-q3tjgQpsl zUjCWEZP`^Wv!{{{&Gpb+2IsJ&I8;}&Jo!GhrtAHRMC50ka9tmCodP%8GM7}RlB!Zt z6I|;%+p?Af=cs*-Mc`#+CaJ1qA4=hSrNdJV$~Qd;i-j*-Y4`c4B9>i2{QS`W`l>Sl z-0V(FToeY1ttEJjxCnptfZ$arfzI?z;GL1UZp~0R~Iy+Frv^>!X|N_1O%I z|LW&-O<^$>N}Wj|?SP0e9dJN&Pg{=&IAWv5fh$n}GlNU0ocGcF`?wmekY2yPLZe}_ z+iYI0&UCuk+W&FYy&YhA7Gp6pvo4KGZLqARNNVFY^+lPJe^P9I>Ncyl3i^t<;hjB68Yx-MajrB=f9?f?~!!d*^$U8u(^wuj{YvwXque@Mz_#_ zPr?JXN-xYztpRfEdhfuMD1cdnrx}3*EMC9^4x$Y9898t=ID?#q5l++0=vL-VLDdGl zdUlzU6B6fy2ItN>X=GOh*i!A>;!llX}7MIHST1M1b(v$-IzyfioG=84pGV&k*7PuS$7l zhN=<{r$T2Perty^7haW;3SYR^SEGtpxZDJeg;N$M)5q3iwdq+Y=IbPzJ?n()daKjm zciS?TRA!Q@Qhq}b)HlJkzOgN9NpOzZ=WHxOj5?jFQesufK9Y_<>U4N2b9vKXT#~Jc z6Pw^#z3Ea}ON4V2=g8Ol@oNbVDj(nh`%svFHZ>Ga{%O|G z6UoT6d4zNMgVz_OHtxP8OSr@a0Y3N=7HHB$g*q}lA=l#9v}k5 z3H}~Anrd`1515m+v~WX?^kFM!W}M8(;V35MfP)zI*iU1GXXYpa7$Xj6kc{E8qju0d zpnD+3D4$!1awSw(W-7U40G zw@1B+&LiekzrZ}1&S(99@(@j(IfWsb(u_F_?P+l0DNDc4qOr4SM%0A_NIV&97<8Z8k z70TD~SnICj`OXSR9jd2DZP%|^PPlY?+t0!`5<7;TB z(T)e|+;r?Tu;yJoGqaxQ@RrD#!y4lZJfLP~Zl4Nwz@H+KqaBYId~av4gY_!R8ZB*{ z-a7=}kc@|%#S8tcUMPIQnO*WEWWkW(nMHs^ve=PjA|$<2|11uQ@YH7m7;6*#;zZgE zxFX!+Oy*ZGK+k>GIh=ZC_R2(XHm6Sxz_&Fhw|1ygNu1O~t`SEs=qu?We8kgNxJJei z9w5jt(;2U(i$H=`rF=zPl!oo1TPn^c#Mg0i^6DAhu=p;05;A5av7Z2 zrvQcwXZd$uc16c#MYd+9hU{oX2Y#@%{B@n!7ablWvM_3jcNp>@lcQcVt1+7g${xtG zYz)#+642o>k%wM>e2gma%%b8TDVf{&m+b*RbYIWR%tbmpMr7SYj#gGlGzo3=2p-Tg zGc!ns$3)%*2)0Dd+;=ey@mBQc-ix=QBed$7nJLiWF_9nrsJDQI#}5)_<`ZFw}SkVI*!E%uRz68sU0qt)z#<7bNlJ6L}!@*a{&XhfRVEi@*>VPjzMqSd?nC z1`p_&ne{-2$B3*uBWFfES%$09Gt4P3iKbt;&cr))rhI48xnxF~B_z%gJ9DpVFV0*kpKa5j4)AUdaqOT_otIpWIoqz8-z#aPwi7n}U+I6p@8tP>fM|kjaDO z<^*|YnUAy1*jmQ6i_#L%TRFxgKj`o|i5#ssyoe#4hE0M1T8%dG^yB<;zSuRGcp7z!!5AUB9DXNP?H%@yRX!ExrwL} z2<`d=Mojf zXQiYvlk|L)h}gbwZu$xnRx;8Tp%Bb?dV#`UVLZu&FI#PK@9w&nm*K3=rI)hw>afSI z_xIpRb64D#HV?S-LKaai+5|ng^l>vH;3LG9t2f6&?TuLLLTv z)Z;9pI06FBl$*dRU!&%MsUC0;5MxZ#q{HJFIsWgFixxc$5-(cTn9Tzv50ulUD51gw zL|P&j9T%B4?yTv(zgwW0^GeCVZZU0&9n#@3kuUc*!~(3y1{N5N&fNob284KLKEf4Q z0Hq*B)QhCT14Mv0`cZG?`%pA-G1MV1a)Z=>~3!oIFM<#N47__C8sUP>dot-K&0*_C*KGm78 z)VI5}aJ&a5O+e;OK~*!odbW7-X1UBoZ8)@pR4RX!5^!1~1R=fH90kAsrs` zaADLG?;7MmmfcDw$c|Yva{7+6w0l{}S@M9)v!BzCvgA|e&Fv>RIM5E?`DIU->Gt;EQW6;-57!q6WMp&t-=A1W8}MfX68n< zM9zKEIA`twJu@@ob@-_gd2beOqb>F@>)O!fY3syfxgm%S$C_?`Uk-UgTNgPN2mQnj zJG*p8kTN{8$n$VSswDFE_sBCJw}Z#^ zfS#F|Z92Rqa^|qcI0Fw23#l9I-8i97_z%2RAf zs{+658Tqy3t)qD-xNR>kTO4Kl+&i)fi5yTJ9wV|aYD631j%iCPll^2SONW84 zV@&Fn4o?y3AS-5OoM7a@5#hN-;viw#8l!n2_rQci4nY;3BC;@QiZLn=GBN6N>z6*d zsN_7wd2{CpQ`)G2^}Wu3S2@P|^LsA=$3=KcF}1wnZp|63_PG_W^SJfKUpI0?ZPAx8QtRu_s|AAVzT^# zPN;AT(SFtmc`Q#_+Hx5%GWwW~%J9shVZqZ3;$@jkJr2-66>fk6!efWEX~AVg)_5>w zICE5#PtQtuPSU9c1a&5yHdj;veNBsP)B_QIYlkuyT64bYA~(@%lgK-~(i1kxCw6$+IlHJoK6u0?o^ zGnRkhkw(n}Q#{}R7Gq4*qQg@}-ji@CMg_fPcmO&Zhk|%xH4msB$O0$@>5+-N{XKHk z=k4TaJ>bw>OXR0@dTZ^p9;lMYePCM0q|Rd_&KK**y;wh`_S2ibCo z{0=59PF2<<7q_x0>^cW0h%qL5M~BBmp8qw`Oh(k&mR3Z!469S}sUx`I2-!7?nl%~? zn^$Z2B!|zPg6fUTy{?s0k=ULNk28X8ol4}S3%IB?{q};v9q?JY78NYTMEB~EpQ_qU z6&Qs#qfp0z@KxC2m=s&TaO5| zQ~0?B&g@eFBTg8UCWhIYJjVBRw&Ui3=z;V-2aB&@5f=2Ab?IKEYvPfRn{bzH?wJ6?)P@^WsJx+BVQ)6 z+%jtRv|vuzsCnQ_JTP|(s+&Lex+Y)mapNVD3QscvK^eG0_DR)(irI|o=XMsYHcqdjagJhuoM zXHc(XhMX=E^wUr5?5cl$sAgvVL8QzL$UG2mB*$2WdpHPq zFT&>}awv3o5koo+n*;&08ii__SFYHs)c#>&rexg4S#D97y517`+CKlwus5Ka z#mvlnpubctJ+Ttjp4tYB;c;ktK)$rwGRsqDLUvdfK|gi85ejWC&7*TVS!M zn;^sC4&!hWFgVGJ@Yn+Pf`$;jF(zu#;c<+#zeg@QuQ4CV1Ld?S z8l=JlL|P&j9oLwT=K+VNmrmr{%JG=mQxjrOr_IPk6^(gT9-v#v3WR&SIizN0u26*s z;DKn5dV?p9r{Qbe5|F^xe&6z~FsJPa0HT6qi2Jau}vvlY6CkF7q< zomtekthG?v1Cu5oRRYD&>*CFFnTrf?Fao(z{wgKlv_uM=G(RME$;btG zlfne;)tQ1;^WN4)o9*s`dz1b5(6)TN7pKX9>hKtmQwm+t6t+NHK84Mu6bS;@L~;}H z-&Y6Oe9tV|BsAOh9_DO+f7M*5Bwr^cKUb_eM%*=YH z!(&7iMolqBK z2eYmXZJsuH#ce|n9gZnPzdD6vYiR2t$Kqg^*kNyn?g&zbXBL@W%n!n4nc@7-tEGJv z?g0jZd%=K{o$oBWhnd2Jc@jResW@eWTZ;6~a ztTE2O1A1m=2I}yb$hY(7$O+I?oNT1&HiM^OlOW8b#yCF@_$nsZnOZ3`zb=HNq;Xs# z4*|0B6kF1&z**Ew@=umW%NG?$T$)?99i*h?shA`WG^J6q z0*;IDmdN+q)eg_X18QdG7OL=*CG!7cxMeHgRJn;9`d#OyV+B4PV`2cPn38K3PEE=j4%phKt$<*Tj{ZruvC?Gs`Seq7HMr4f#Q-(7~MfvQ>B&h}jbtarPSM*0> zb{=HH;J0=tbD=fot4^+D;aXo|iMj;On&>rh1&28nPFb8xA6t{v_9Y{~A^&DIAbpt# z*Y#GX!SA+ZE=rjR*ZRh`tVOPq$)4B}<N$T zMH8I7=~7t>gBwo*(V|{suK*vte7zSJg(0KDLqsUwjR3+(T#N7+XDt81BaNB|rg*>s zEXJ6qMTe(|yeHvOj0$?o@Bnl+umthOY93HMkOfc*(jyaj`+MZ7&)dn0VBqkD6GTd zWT!pqO?2L5rk^Y^M!=2%9f>KXuS@PiTuFdTW6Zv(h74)&ycf%j2+Jn3dm67c8HpU-i0hGlU(*dXocMt(0g>>SiFa~6o09D|bMVM2}At+g%(9w&bI+^)I z?$a|fGeU>Qh^(E+nOTj|JfL_$&CFa*g*)IUCUS_>PUL7~99>j$9_NxCfChfx3bb>Ju|aT>F}1wnZp|63_PG_ zW^SJfcfg+@k@pW@_GkDeJV7iN zSk2_{%%a@|PelgWGNQ&U7;+PIBK6q-#@d8goJgAiSA=_vxcmqX)zws>SMzl8s+6xt5z0h3`D>ww zWedOVQkhG+%!F&TSKG3dqySHE$*NM4#;AZ*rSvr*C==miH`_<6WF;dHaF6JRLRT&` z;abfdFJdvCaI6W`#`I(1*LE+dZJNHywUY*d7re6lVar49@IR07Hgn7PZ;i zzUSKU7!RcHIarK|(mFgwWMR}4V^khw%pxb6)R@f!x(D(s8-p~IgmidJXOXSRPjq!LM&@(f$ONYlq-USG@M9$oIF%0om^yuE- z%+#P~W~Nk!$3)&9^~M}Gi(zI-9TK7ijoK8dG~i}x)iZOZ6i^*LCy@tg16v{Q$6=Eo zfKDY^s;WR$)+8&~*;MM8nfXVD$B1k*a^|>M3^z;akPuQ)qhmc_T8=x`g-w2856qp- zFKotibtjlxPB-caOPDErdus~#1lS3Qcg#)m=@R)EYaaYJ0eS+DwSe$XNrq!x zVX%mH2FR1hX*U~0Bz0wQ&h^%z1?P0+%LLDTxpjZ`f!vU$UPjairVPKLGxQ@OvM52I zs_Xt<_doG*^z`Z-GM#=g^fxE|f3LR~&D(!}_isD(zoTDS_5TN0I^5goc4#=Y!=SGv zA6lVP4|?AH+Xq-E`z$h!0=h Z_ODn35-5orRGtAW1_k1_>;B)%{|{c7uhRek literal 0 HcmV?d00001 diff --git a/triple_selector_8b/triple_selector_8b.tan.rpt b/triple_selector_8b/triple_selector_8b.tan.rpt new file mode 100644 index 0000000..855cc3e --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.tan.rpt @@ -0,0 +1,174 @@ +Classic Timing Analyzer report for triple_selector_8b +Mon Mar 07 10:24:29 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Timing Analyzer Settings + 4. Parallel Compilation + 5. tpd + 6. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++------------------------------+-------+---------------+-------------+------+----+------------+----------+--------------+ +; Type ; Slack ; Required Time ; Actual Time ; From ; To ; From Clock ; To Clock ; Failed Paths ; ++------------------------------+-------+---------------+-------------+------+----+------------+----------+--------------+ +; Worst-case tpd ; N/A ; None ; 16.101 ns ; BY ; Y6 ; -- ; -- ; 0 ; +; Total number of failed paths ; ; ; ; ; ; ; ; 0 ; ++------------------------------+-------+---------------+-------------+------+----+------------+----------+--------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Settings ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Option ; Setting ; From ; To ; Entity Name ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Device Name ; EP2C8Q208C8 ; ; ; ; +; Timing Models ; Final ; ; ; ; +; Default hold multicycle ; Same as Multicycle ; ; ; ; +; Cut paths between unrelated clock domains ; On ; ; ; ; +; Cut off read during write signal paths ; On ; ; ; ; +; Cut off feedback from I/O pins ; On ; ; ; ; +; Report Combined Fast/Slow Timing ; Off ; ; ; ; +; Ignore Clock Settings ; Off ; ; ; ; +; Analyze latches as synchronous elements ; On ; ; ; ; +; Enable Recovery/Removal analysis ; Off ; ; ; ; +; Enable Clock Latency ; Off ; ; ; ; +; Use TimeQuest Timing Analyzer ; Off ; ; ; ; +; Minimum Core Junction Temperature ; 0 ; ; ; ; +; Maximum Core Junction Temperature ; 85 ; ; ; ; +; Number of source nodes to report per destination node ; 10 ; ; ; ; +; Number of destination nodes to report ; 10 ; ; ; ; +; Number of paths to report ; 200 ; ; ; ; +; Report Minimum Timing Checks ; Off ; ; ; ; +; Use Fast Timing Models ; Off ; ; ; ; +; Report IO Paths Separately ; Off ; ; ; ; +; Perform Multicorner Analysis ; On ; ; ; ; +; Reports the worst-case path for each clock domain and analysis ; Off ; ; ; ; +; Removes common clock path pessimism (CCPP) during slack computation ; Off ; ; ; ; +; Output I/O Timing Endpoint ; Near End ; ; ; ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2-4 processors ; 0.0% ; ++----------------------------+-------------+ + + ++---------------------------------------------------------+ +; tpd ; ++-------+-------------------+-----------------+------+----+ +; Slack ; Required P2P Time ; Actual P2P Time ; From ; To ; ++-------+-------------------+-----------------+------+----+ +; N/A ; None ; 16.101 ns ; BY ; Y6 ; +; N/A ; None ; 15.802 ns ; AY ; Y6 ; +; N/A ; None ; 15.533 ns ; BY ; Y5 ; +; N/A ; None ; 15.448 ns ; BY ; Y1 ; +; N/A ; None ; 15.059 ns ; BY ; Y2 ; +; N/A ; None ; 15.018 ns ; B6 ; Y6 ; +; N/A ; None ; 14.809 ns ; A1 ; Y1 ; +; N/A ; None ; 14.793 ns ; B2 ; Y2 ; +; N/A ; None ; 14.673 ns ; BY ; Y3 ; +; N/A ; None ; 14.653 ns ; BY ; Y0 ; +; N/A ; None ; 14.271 ns ; BY ; Y7 ; +; N/A ; None ; 14.263 ns ; B5 ; Y5 ; +; N/A ; None ; 14.243 ns ; C6 ; Y6 ; +; N/A ; None ; 14.234 ns ; AY ; Y5 ; +; N/A ; None ; 14.152 ns ; AY ; Y1 ; +; N/A ; None ; 14.062 ns ; A5 ; Y5 ; +; N/A ; None ; 13.973 ns ; A6 ; Y6 ; +; N/A ; None ; 13.949 ns ; CY ; Y6 ; +; N/A ; None ; 13.897 ns ; A0 ; Y0 ; +; N/A ; None ; 13.829 ns ; BY ; Y4 ; +; N/A ; None ; 13.768 ns ; AY ; Y2 ; +; N/A ; None ; 13.685 ns ; CY ; Y5 ; +; N/A ; None ; 13.662 ns ; A2 ; Y2 ; +; N/A ; None ; 13.484 ns ; C2 ; Y2 ; +; N/A ; None ; 13.409 ns ; B1 ; Y1 ; +; N/A ; None ; 13.376 ns ; AY ; Y3 ; +; N/A ; None ; 13.362 ns ; AY ; Y0 ; +; N/A ; None ; 13.348 ns ; B0 ; Y0 ; +; N/A ; None ; 13.191 ns ; CY ; Y2 ; +; N/A ; None ; 13.149 ns ; C5 ; Y5 ; +; N/A ; None ; 12.995 ns ; CY ; Y1 ; +; N/A ; None ; 12.981 ns ; AY ; Y7 ; +; N/A ; None ; 12.730 ns ; C1 ; Y1 ; +; N/A ; None ; 12.665 ns ; C7 ; Y7 ; +; N/A ; None ; 12.656 ns ; A7 ; Y7 ; +; N/A ; None ; 12.630 ns ; B4 ; Y4 ; +; N/A ; None ; 12.565 ns ; B7 ; Y7 ; +; N/A ; None ; 12.532 ns ; AY ; Y4 ; +; N/A ; None ; 12.414 ns ; CY ; Y7 ; +; N/A ; None ; 12.344 ns ; C0 ; Y0 ; +; N/A ; None ; 12.325 ns ; C4 ; Y4 ; +; N/A ; None ; 12.158 ns ; CY ; Y3 ; +; N/A ; None ; 12.140 ns ; CY ; Y0 ; +; N/A ; None ; 11.975 ns ; CY ; Y4 ; +; N/A ; None ; 9.351 ns ; A3 ; Y3 ; +; N/A ; None ; 8.853 ns ; B3 ; Y3 ; +; N/A ; None ; 8.008 ns ; A4 ; Y4 ; +; N/A ; None ; 7.755 ns ; C3 ; Y3 ; ++-------+-------------------+-----------------+------+----+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Classic Timing Analyzer + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Mon Mar 07 10:24:29 2022 +Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off triple_selector_8b -c triple_selector_8b --timing_analysis_only +Info: Parallel compilation is enabled and will use 4 of the 4 processors detected +Info: Longest tpd from source pin "BY" to destination pin "Y6" is 16.101 ns + Info: 1: + IC(0.000 ns) + CELL(0.985 ns) = 0.985 ns; Loc. = PIN_31; Fanout = 8; PIN Node = 'BY' + Info: 2: + IC(6.949 ns) + CELL(0.651 ns) = 8.585 ns; Loc. = LCCOMB_X33_Y11_N0; Fanout = 1; COMB Node = 'inst27~0' + Info: 3: + IC(0.366 ns) + CELL(0.624 ns) = 9.575 ns; Loc. = LCCOMB_X33_Y11_N10; Fanout = 1; COMB Node = 'inst27' + Info: 4: + IC(3.430 ns) + CELL(3.096 ns) = 16.101 ns; Loc. = PIN_30; Fanout = 0; PIN Node = 'Y6' + Info: Total cell delay = 5.356 ns ( 33.27 % ) + Info: Total interconnect delay = 10.745 ns ( 66.73 % ) +Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 212 megabytes + Info: Processing ended: Mon Mar 07 10:24:29 2022 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/triple_selector_8b/triple_selector_8b.tan.summary b/triple_selector_8b/triple_selector_8b.tan.summary new file mode 100644 index 0000000..2cad432 --- /dev/null +++ b/triple_selector_8b/triple_selector_8b.tan.summary @@ -0,0 +1,26 @@ +-------------------------------------------------------------------------------------- +Timing Analyzer Summary +-------------------------------------------------------------------------------------- + +Type : Worst-case tpd +Slack : N/A +Required Time : None +Actual Time : 16.101 ns +From : BY +To : Y6 +From Clock : -- +To Clock : -- +Failed Paths : 0 + +Type : Total number of failed paths +Slack : +Required Time : +Actual Time : +From : +To : +From Clock : +To Clock : +Failed Paths : 0 + +-------------------------------------------------------------------------------------- + From 196a946bf9966facba93c71082f498fe05c79faa Mon Sep 17 00:00:00 2001 From: juzeon <812312770@qq.com> Date: Mon, 7 Mar 2022 10:44:00 +0800 Subject: [PATCH 7/7] move symbol file --- {triple_selector_8b => shifter_8b}/shifter_8b.bsf | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename {triple_selector_8b => shifter_8b}/shifter_8b.bsf (100%) diff --git a/triple_selector_8b/shifter_8b.bsf b/shifter_8b/shifter_8b.bsf similarity index 100% rename from triple_selector_8b/shifter_8b.bsf rename to shifter_8b/shifter_8b.bsf

    e1AAUZrd&>+iBda zbOj}fPD?)ux9cO=y6>{<;!9 zWsVahEAfC~ty~E?EzFQh*m$~=UaAVphVp~1-%>D;vkSbc?1FYrMzP8w=>ujRqinzS zln^KP;9`Yn(ck6M>yqAL;Sls3$)*b&;?qY35iwNv@*#>x#czAj8mt&EBL+qwuN{HY zy3|1NgwqxWco|l;R`AH_Ftl75#I`XQX}n;uu)MSk!31F{&vGK?2X9CISj`5>0$6QZ zZppGHJkqr`JCQ@?=F0VVuA<4}3i-@}N-vns*bV?CEhVrqy6#;*w4}wp6!HjCev5Rj zb%H9t^MNaWKnhJ>Zd-<*w&lOqop(n`1K-b_ zM|Hq#YEo8vji|ql)0l4us@7T0wyet1sLKxH)7ZU7|FlKFTp zbZ)F_SIozT%bpXgr5|{uhf8KzZnb~5gg3xiC%2ltF{+7SooFAREz>$~H5>mFlQ7o~ zOMYe8&u6QGTsH6>fAUqMCv=jv;ea?I7#o#7itgb#A2ZV+ei8BM4RP@L0D~mCFqh(qmHnG!8V= zhhZKtqmvK`YyPINaP;OanebSiz<<=Z`*}zF$kQ?zQ)xfx0)}>F=IV72mKO?(iEre6V;CW<%^&4j=A{>Z{(((31N`ghcq0pwhah?=n%VPZv^v)| z!&)7QP8bAnXTKrqnhP3sUzOhQVY8tAvq*8AW@JnDm?hp==cf;+eBNW#gV7bJTAZY7 zU+cQr=TuO-rm{N6ttEh4gRjUz|F(n+mIWvK1!Z0xiE*4Y-p)Debe7YWnEP602YI^x zuwY+m`_8Mf1)oK2gFuY8-`c34m6cT{JYEh8-Z@N+IL<`5<)pq#W{3FK*i}}P5t;1g zppkrl1kV{U;$w+|aYqK-OPc)~9KJiBvDm?*!>^g)gy^GLcJ+#{Jl?CEh%0k_90Dy! z2Rhpbwh!aMRrqo)>aoTY0?AE_ z!oj$ub!&&IUlFQ3_*fdvh^C!fL8Ie!>Ij)WSWGHAJ{Qh~#%%aP+}awo2E$XRQT&xg z4-rb*C#GXmBxJoF2jK?w_}1A``4ycgvY1PzJr0hYeW2M_UV8hon}a=(-dvVxe_gni zqP@Y8>cSsA=dBmy9}aQ)Q}9EDk_D3+4Z@9_#Pe(Xr($YPs|Y@1@EQZ&L+Vp9=VArT zZ#NMlSGB&xVkz>g{!A&=4m-N6Lwdf7Ya)n-=?;b{1nOASRh0`uPo;1%n{U;d(W~2= zHlcUdf~pHGPVUYISy~H(*~XfOYp};zricDtigHI7Njn_0X!cqA@6bf$WsB>V%5kG+ zvx_aP7FB8sp-f9I8rgVzv0qf*(XJIJa@8WSce6)_;1R%$Ey5#`<-$IQ&^@~XUT7fn zOcuKBpCVjZ_cD2l6bgN$N~I9b%~qhU&W*ROqIw9TxM3)MKyShso=Xqsp5+}Vz?acG8gan&F0)cuDqjw z7Q-R6;z@F_r56-}7NWYwPj`yHLK^hxgx_AQQg7@hOFfd@8ZEz@)dn)z6V(Nz_p$B) z>Ra*MQq!BbFGRCIac(M=jP1&XpB}5AbjuHQPUDpi! z>#D*Ga2yvzUQk&aIWxX3VPJl%o6(wC^6ui1hpmn)AzzAg54ChZYku-lS~CpPvxb(a zF6+msUJZC|ci4-U2==;8WQx_0;!5gK{^?;-%o(i*%YV^~Uhfsk-)<@kDep z&IOn4hn~(A{g>qjOl*dQ7@xyYpD!lsrL*oK`Zn$i%d-NsdH>r07B4Eb*rDqB#JkD0 zBi}@eBWfD@<8h=j)NSPh@@=YtKH6#Z(5fqrIt(qY0m4a*pI4y4GoJvNjwDo@ycDe- zBWtGnq(h)PgFZ#Qx~a2fidItdG$VIrPxF_%@T@qBJjx2n=JQSg{`2o8Igd2#H*x*2 zwEkjJl+w9ZG^S?{`Zyha_FF8M5H0iFJO|s(3$mR3k8r<)YQw_Hv^wEIbqs>Z+@Olb26C^cut!aSkANDTCfdHl6`~rbk`!iwgv|d}!pmqlWls)8&iGKkdUBGjT^u z`fsF06C_wc(FwdUA5gqi8(O;vGdq^N#Qa?%hoi5n3R1UntBU=1Lo1Va4hz%q@ZB5; zo4+B5tnM?YE{@}!C2xGABqToX5sZ6+vx~IfzIH9Gs!uH4)WQj%*33!nlzQgZkKd&Q z7y;;CX5;YjZ5wHPh^SI@=5HB(d|oW}X-x)sd9G6?w2BS$UiHI7+2?oh1TChhl4!cQ z8MGM0=nmiwcuhv;|J`Iy#o=g|uyPK3vXzjh|r9 z3KJK7J>NykFF+T-yI&8A7nUsq-mDZSYGvY(XTaSqX9)i|I)Z)yYa=uow%)P^Oi=v7 z8#PhmB^NY3CQQWid{!j8*7C$Nd;Eh;g=28n%8bIS!M~VLfOlYHW{t$imXmq6aSO!e z>%)GCPteADGw6gE#BXja?By|-A ze+Vrj?|bG!8k@pR!Y~|a-dmp&7W9N4*UnV9=x5Alz~vgh;nY3^&7h-G&Q zrg6`vDk+;?!w+kU*(2kLLGFU&)LW{cuQP2ot4;k5yaqYk1`!n*oqueG9I6oNGkoQ^ zO^vU4km6G>F(5wdCwP!565pc3IJVw!#a3(oSD6bRnH6a?a(cf*ljtgs#a0fV!ip|f zE*^i3g>#SXwoX7s7cPe&>-gk;RJjk8(T5bsAN$$msS`;*oL^39PwLI`&q3F({3Wxi zupnT?r8scp3MG~oO%$;;n|xU{ljztiQ0zw2v>ae?8cp$EET4S#Nmfz634h#9QDrvH z{cgnBYW-CrHO8KQ7ck3n954?`B35`iHI1jhID=j`6S*c#2nM&~Wm7%AFH;b$;05*N zpejeU&CH@=HcY~Lp6GFw<@_Gwn=uHsfEXHhy9Jn7X1NQ6)fdUXJoSul*KCXl6Bk6) zLac{!fm#6JMh$z$=y;%+xi;@c&(a7@6UG|jB@F>v$-kClIN5krK-=A&%tYZ%fAV{T ztQI#^al4yb!U})h#(IUl!12~V@Txyi>h>5Xy<%{uCgT%VQtH| zir<3H!`8&cEqXAS%6Z23!~(8!6pP)5{NN8ze0>^PdT)_wfCMw%%`l&|%MnIj%4<(i=>r>xAJeQpq}~Y)=yd)s&barG#*M^YGgw z)QQs;?sU!A#C|Fcek7zJu|UB>4N44^ZX)OG#SK*-49I^F)Zr)#Po|pCwsJU-yR^R> z@bP^BeCeA-4yS`#KxeWtX55jq#wz@Jc)|9IInDdgJd3_^i9Hbfly^5lLxUoI5g_Rf z;?J!5TE`G%uYK{7O2m-thpOCuas`1Ri#NbwJA1IIu}a3+aOF(1C{0e_tdgc5F(po* zYn?^Uy1_Q?$vIl?xkG`cD(}(*?Adl6_jUE#+?qy_)qb)YqYrvdo+vfDU+ZQ&C%VDJ zd>G<*wCI>^n}T1zHCs9UW~%BEVkg|{rCgpU>vpv;-u2lzu)$QA^Walxvv(2ORsllE z9|{}NJw}Apv+Z=L} zg>d_tV+k%!Vp!oZMadyQRx$)zDrX%fBVX)&SQAIKzH&zO`D{vY8rUU1$SG{GD0rI5 z^AA7uY%sABZ}#?a2J}fFS{mn|0yf_Bz)D47&UI&`}oWD;MvYUvig;ddzb4Dr;>eq(zR?5UejuH%&XOH!^txr*Nr zt#gok3p*SixY{pn@A+`{6e8=i)9#29b}cXM_0G@<&0nu4D>QYdy8&%us*!S&V%Oz7NSsnsdoL5fP2R$5|0^^P_6HxTA-b zT^pX`OovAU=Jx!l!T-FgYB z>>SBWH*#B-;}Y8^gvc$u>3nZTmRtpDBU7Xkw4EQ53he%<#CeH)nv8y}*hNUkZpkDz zK&K2%JQjU#4`!Kgs4Z#j)AGzh{pN$b867taP&WQW^vj%)cW|fkyR=JoO-7Y-o0VL4 zdh(NkuJI>=`kLJ$ffO;gR`Ek)Urk+yk$3!*33pg{VH+%`Ed0{%asx zk&`0;?sBP-USe>r$AL_7H2KQIO5p9uJf!Td25)Zv{4OXI6>)=~d|h$=rV&>rs%q=! zu+b_CwXT+6=YBOWQ^&7t$oc(>+q}|FXbc&=92G0r`F*-ud5iTEO_PJN=jQ|Qko)HZ zdI|dT3B`5>teOYUoE7b~ume%YoEafd?ptFeqA0%0f#+Fq*`bxMg*twsC}1II_Q!WO z+-m{4$)KHNv9Yse&7jx`UxFJNg@!XGM-AKNRs@bc%`UKtjpDdHz2*@(`5!|zU~zGI z!%c)0#MzIqU*#+6hA)=CdFtrDqW$~O@#k!|$4;*hHoT(FE^ZEUv?JyC(NqES)iId~ z$1t?_7z5>plOx0xH-)fKnF+S548uP;@}8eJI?z-dgM@xA9Dxr@UQCl0n#(FWZn;H3 z8+^OBWfB@Hc}iJgzclm~stK?L!N12iXfUtDo}qK`KhYKk2l728I-KDh(T+mf{vq$C z9oJM)H39>_TrP+d_ue_+Kch$G!t5EY@BrDIqeqHbNdXL%OiJzb`E2vnzv>aN+JqTv zUZt?TbXPl)g?YWo<=oP&BKf)QTJ;s*k_GvqZ!s=1_e1>9TYRw_Z)qf3#lQXe{_sZf z8B&qch9Abz%w45v z#Uz|vkQ%;Q2Lnm<6F7etJrmCCDWG=SNd(s&6A}!W(wZO%a4sX=>n!?y!EPQ!8@lD``v*d#>uO+Wzs^Vc+pG!WDr-+v z4PN)<1C4F><16! z?kG$3nZ>%)waWWLkR`Xkuf*;Y1q33-7)}y0$%c^){t3ZKW+_0{#t&K_B1kIZ_=Zh{ zZex|qR$xzKQ4LX`8M}3e_)3F{XTyQnjTABC(31CQB4wL*1}@*UY;n1E^VdY&Rv4h~ zK$|KRcjhhc=%MbK(sQ^qD%9_6xWsTH zMXDIeTM(`it4CwCBPP7Tt(SMAywzCw47t04go-eSq8;7AxR+eq?ju_X+X0pi=nS^V zqMM9{NE#wMLSkVmSMAjlDjfCU`$`&OqIikx20=sajx~6~zpSnUjYRp8u!xnikYwkI zzXr+PHP3{Nf*edzN`qtQyX{4e-eUO&7Wg)`xg9>K4Vr562z*DI0Rjfho((r>ldob| zAO;U&4J?|4`pm;0$9)3%?dImhE8YDpwtXT_h{OfD)aI4n;8L(?o8_FZExXkDK8r?G zaUrpdfg($eox5}=gF)b@N5Mp*1q->B*T^3UPd{8m0SdteAQ3g1EOTyZqDSywv{N}c z>~g<3F-9r6)wjUrB`CMp0rPK6r%?N+4w@f`8jrAvTUV0mQ72n=ivw4?9uV;1454-W z&D38mj^4n+LF0rI=DbNrIwf{*uR-N(t2H?wA)c=z*^eMZ@kSmV`^uc*uuscvTtv|< zk4n%A-LBb2W$zMT_rtrpm^jDx@aH9_2|TVC_mAO9dAhUQXILwipKOnSbxXc;hXy=* ziAb!0xpD$cz_J^jJ?Dxt!+JQ`Myw@Z8%ov{A|2`}f+UWSFYC*7wbB(h(>D(1fImO;*=RyW3jeDD6!avk6_KyP2* z4d=ACTm9zo;gjh(S#T!eO%wMJ?xN<3<$=w&`v$X)sfU_y)nMyg5!AQbBziUZF&XKi z#*UdBn|Lj^(_uHm2@-2bpFs0$TE!EtWhfwEmc@*$y>^=3edMedd?gX76K13l9{#lV z89ykcz{lkF>EoU2uKy2RIw)eK-g@_ejb2mwcig2qC{MMHPqsQfWtAajvWCq4`61U>A_%h~%e zr;s>wm)~Y`gQ8O*Rms@*?H2v62UP)mkDsy*O?WhhbNrxHl2dmS|L|_-rzq;B2LrLH z_xn-`FAZWfpsywQQNudHL)-ifyVFIjL7S(xXKH>5{^d@E6_z9koD0+6kmb7_>9xS3 z0Rz}50{bh}_qhdRru~eL*NTtgrT)1gZ)_mO)YO?u2q`}lh~aTdPLG&17_qQ1JQix^V2^SQHO#E?yWvSzX>s^V>ySnx8cZdG7&l( zl$zpn7PABM?VJ7u{HNC;CNN6^`!`zMQy4zy96c6;!N#r%5kFg%zc{3vl$Oyd6umFt zR^@XKMb=fT(U7$t&E17D?(%0@HB1xZC{;m>j`Q(t6aQpuaWMs$^&s_j`5UfZYZFaX zF$La;D(0Kr_6b{ebrq!rQm9=_9rfq%`;5nHb;=pxs=F_N-cETAP~$CqsZ}w8W^eV0 zcr_>!?fr6^M-vb%oIe_C%ZRyi_-Qo`3xv3%mNxOrD5jgZyCZhPlxP1@ta_kWV?myL zaP9OcL*<42Fg?hL`8MrTQ`w-zcb6&peeR9hMDrM-U@8{&{f_JyZWI3%Yl2`prUD!3 zLc6@4Pg2$yl88=Y7g^C$Xb1E(bH^!ddQWt5um1Wv`RKG+?pP4FV$j&_nKBZF$hw!B z6Z+EoybjxQTyAQpwPNKK64~L);=q+%E*8JT`n?m$%HQ(&{a(8*h(U};&L_V=kd;B z_>$o?{Qcnfu@UmTQ)V5O)3C(eZ#-jUr{Tf7L*-K^jNrE)ER`x35WYrFIr|Z!$&O1x z!lUd3T2HJCFV%I9`@m=~cmQ~m_-PPzm*ERG!0Xg+Fx78oJfJIN9#ZL5wYVSfr|t9j z67bf$--3K#Kf~5z?=6e;<992x_b>2)9O#%NaP+0X@+{|j_Z2+%ub%9ql;`sL8jAYT zSg}*Np|=}_Y62`3Wz12EdLkUZXlt^5G6uYVr{h@o`3U3T+DR-Hva4MpIxRh4K+3hv4d$1*y#^5D#}kNVHaI2}uRw}mQy~1p zy7ZR-!WPsp);q3FWu{^ST!%;f4boFF_H=mIOGbo1-i=0!v%>xX*%w-zclqHLj5Y@x zbV}Ej)91v`RA}PB;aaNA9yIMGTuF`7v=h&?MwJjt%)&R(Z`cFfi-vu6_%e&J1tfe5 zd(fDET{jJxEQb3^1bWAL@Go%&F<71xC~GaH0wIs4UQVn%nO#YLyjgq6Zc}1b8NPiB zEd&qkDnLuAUu8hrrW_#pbRca)@TOAo;-%)w&yG1-a;iiV2>pTC2Oh+-5k2%gIq;Hp zJz3LDB~2W(CC8pofQ;aCp|SP^r`nAKe`@?J3$x_zic#q=p1zm(+UcnAqC}&ZpYwX*6b@ zN!Uf5&2vgUa+$jA6Mv`8H3B>77yw0mZ+!k_>M_uFEW@rVAJG%gNZ>cXUoa|BugF6r2Y22idy z7;=={LF|)Wb889E(NR@MX##bu!z;xQ{g$;l3+=y06>KwxUmW1(Z!MK5pa|NnQN4W< zLWTfy%ov8Pv#v?96;+}1-590*Tj z#gD>Wl(nOa?1Epf?#^HQC_m60Z9&dHa$@m5+aEh!@qHh2?m?wV?O_p2@*RaR9H}uyvAE0=bS~hJkju|QsQ;A4pye+Ad@^zIEab+ZV#3B@ z=zQ>hdPGq$B&1{iV;33Pfk)}L- zdLj29zs|QCFb57q^`g+!^Grh=8{t!C`>`%T&)EL3b12O722{QP)p$IZCT172d_g@c-=2Yg2xM95srn7G104X1amaRbvVK@fSg;nKpz zp`aVVRD*xgA37w=Vt<87mkHbKWKlEkY1y(7V7Uc`fE6_H-Ju22^Kb0lYzsH6s8ywy z&NXO^Tal4(c&D`)%=EA9uPHqqzU+QDg(w0I`0s-<48ALGx=rR?8m0!RN-L1`!+zaS zCD%7BelY0~({){*na{vRs)O;R>}yklwmkgQQd!{rx9d7~1MqBSIn8%STTOL#aiItDmRp@u$Ne^gyxqCMAa?^Qi>l66pCh}on-;4 ziDRp(={mh(wnO^WI{uT(bPkIh9JAcfR-`P3`e8f4dHb5nQthV#0$n6zI&d>VG*Bt< zH^_JAOOd&dm`9I|>~;EY;vfJN*S5Nv5jeYt>8*Ad)uD{lpjD#E>qXy+r@b2WmywVG zBT6OOq4%n7m!9g|>;Pdn1hJ(S>Ie9pGY0RU$y`jjw{#c};_kK&%{_JF-lEf~tyYml zTW4}1lM?Js9JnjB+?rGTGC>)3D5ita9Rc&-MdF8pT zhZlUqjHFf4-S%C~xGT^T$rJk2Y6LM-K-l0obDl}$Vf1&(@$(78dJho4TPxz5$RXSp zh9CL`p9d*ocqv%GACvt#TtL4WhLzO&nnjm=r<=p8NzIO5F!)vbxvSFAg@0R(jrGd+ z!y+hOYKgc@Eqk4o{$4g>Jba znvR$37WAZ7O$a9vG@HAd>+C;E!cOh1 zNI>GQsMrgeCv2hU@SldF!))F^4&ac!L&G471hM|t_FqT;uF=2y=>N{kzenW%4`GA? zAMgJNSDM_g{pcZP?g&G_&V=Qd8jXee-`~0N&X@+6f5Xe`&V2zU@GA)>PYgKnQ*#%E z2g1#`@|a!9+Nn8kToD8M)!3gjIv>Zg0a#KD5@mU%wSRfma?1M$G#S@G>^Fj_VONd2 zwW(JikWy;*a0{6K3b=~91#U^aTh|kjB{C}cP zYON)#8vOwUQMk;#7hc=dns&n)br~rnF({n#$U5@O2Kls8R<9cEi3(HODYj*F%;>DX@*?VX&we{19(RZ@yqaCm8}WvoIuF+^ zQ+?wnr_b0*FYK@+$SAqI%T~ z%%}Q!9-n+aeym)lrdY_y(F**@t}EW#ZWb&U)a@c=8;h0`xPQ2rppc>Je}&q1rhxD4 zNb5t)_S-$4rwnzSH59#SNmh~K8Fy4Ktv7P-G}0jKy?meDt?6Itmd!aJxJoO~nw@vL zjNOjTq}dwU9`8}d1-W>HhL#@K83ZiN_27&k%zUvLxfVNFu z3a>g?yOMEu^itq-vzgH4my4TN_lr;L5iU$G7!19(l-q&Qk5|LsFD+V21lJi8LU8Le zCTb`L|I113ntOWdX(GaIgUozLiWFU;AiZ5D^lG-W|XOKHq?7B`_{)}oD*RZ z#I08QwQ;x6Y6XJJHO_Um_IqA0m{xy`Z1$voGKM<(;v+ zJT9q!Qw{kpaG2^->#@ndWtz$4h#zX{P?SPZ=msYR4xW%271_K1h@5wE|J~q@aHHS@ z$V?RGf(oxE(|dkxebmUYxm7P{K)v^%A?;x<6Lt_d z3dM5v>Xq%Sf?@v+lRpkDv5tB~;coQl_@;=##}y?!UyF{uI*EI1!nZlS1Uc2{%!i!& zqWMMi#dC~WZ9x8HdSW4PK>z%Z^Cu@u^-lo%~yQBw$ z7imnUeS?P~k=NSPdE8q}Fc89hoYx;v%3OX!^ZEM3=Am~wfy1hcvlcy?lKM=?+j2Yo zR}%}_Y2@l3Zd*&Q<>bL=z&-X4r8zNW*=qG;nAY=x==jZ_v%_omNU2);3UP>RE3Og9 z5m>~q4zglWtt0ul>#dBuM=WX(2$C<(Qi=Ru{ipzVu`+$QfT2gCN*P&mkBq!26>i;! z+W$1zaBF~-S8}}TpGrI%JMRZ`Q7;g<-Ny&Nx_k4*G=*pCy`Ti)=2S)_+B|R-77D*l z&=Ic=j0js`tDV+jJoL!vjhz$y?vI;9!;E$vc#52Piv8hTVM#d1I{bN2iA|EQy`VOTwKqFm z%9XMEa^S%h0~lWsRP4{`^(MfN5_R1o{-!zZ$?)hzj>j9_oVddtfy)LIRw7Ny+CS`9 z<^taz_yi=r$Jao1E)m`(nIA72^9AWfysK?xKPUF6`N8*4XGvAOK3iv7Zi{Vee2?$3 z(%*FQ1;}Ca&}fh;9f=?OSA{foEhf~CvzI)4Sf=H9JnSm|J-oVdqB)uA8JNnheiPu-%|{Kz6WCWC zH+kyGc&8Af#}fOhlYOoKEz=hmAz}NphaR%oRyYue!H;J8dKh(pIgfbs zk;{ZyM+y+fKbm{K_MeY2g{^g+3iI&4W+!0?ukQH|%yd0E1V66q0F0aonK ztztBygOd@yOREl}L3t~(v$N1R>a!ERm+sMvyf#C#vG!wTh@S_a{}>uBuLrt@zLJd}- zMkelMFGixH!pOi_kmgF7MYRGCVGGAtiT)&hKYMS-QjNE^=AAn*?M2pOwRwaOXA-hA zFh8G3D>I0MVLHac66&0NmcLwt{E1qcb1kKA!gaxevG_9-Iq|g5z+zLJ{;*C1?ai?9 zCrdt1{mSmDg3Y?!S<0v<4y+aeN8(7;5wLuV+R1fKsZMqnTY()(w3@wzzDyq*mrRE` ziOn|bUz+Zg9LX07MwrKJLW{=u_NotC$>5&y)#wXxjqDSlYJ!Nj|fmuJFg=- zg|DH7RY8r!U&{L@fTfZc>(=8%lo+p{d(zefk#}?5T^l!sI3ff%c!Q)|7APbeTz|J8?|ce z9)uSlI#=LUii{Bn@rxFm!7p0xXDNhPsR+h$kqE(LJiak0cS+IsRSm5rh46+3SJaj# zcEAnS8Ju7LltX#D->;OEuIIq*XZv*x2)~e3%@Wc1pK{)u6+x?V0>6$)H#NDxz-iR9 zj)}dGQdGtYS6M!P(M{2UUEeKU0q9GCLrH)Yg!h;7`!gKECoWSA{E?736XQ17D?-#W z-tCsx`;DIBM9p9cQbIG6*eAB7i#f7nSjXO@&>;YKWfse`bn+bw!Z`)1$j;4nw_9NO za%R$0XZZ8!50rqJD|$9>uZoyY4W%RC==ijg{HF!1n2z<~&E)3}Y-|KOP9?E5UmKr7 zp}<6#tcOB@Bd|Th{KzR0HwJ!Sf8zJ+)pLkl%X%~cIH>bgiM;Rl0Yi5J(=Uvn4WD5K zy*=>=L)yh;u&9_7oz{xZn?PPhfBb&Mr@cFXUre15EXqX8&btI|&OO5C9<`s&qpOxn z5YB{g@C6;X8#q@X2{G^zkTJ&j`9$i&2m}(x99&CJ~BhdcABPTWm%^Ocpq-R~$D`xFeb&+1KJi?z3@-5SHD7 zv#U}#$jvtsgC4qof;P_Eu!*j#9^_wWuPo_#%d1rip1y*2Swf~cg7#*sXNjC%Rk6d9;9w%!yl0OIoILNIMXK0 z`z$1V0a|KJHA>4qEo;Oi2C4}_Ja~w!Xfx-a*;NlH6_DxjANp_p(7?zu2AqqW%CURK z@z9Dug*(f)iu$*h6!ZEs_ST}#WA9Y8vZSi=fJ%{;dV+eZtgYy7L$=OmT5@rT{a;_b zA~HqsYI+WhYjwZWa+#7sc0#I^dA-V3OwP?_cxSiEm=L26-_}*H2i@gI&vXC5&-kbExrMHBbN$i(gP#3g<1dOPDr|v*he6*(J#`gb4wdqn<*kNN6xjo&`9v25G4*IMbg2m`Wprp_|_C9|SdH zGal2$QOdgX*EPKj8~104@E(b9b{proIb$Tb4|tD4kFQC{`Mq@lzhPuKxd|$wq>*HW zKL|!Y z!?ohElYN)eJYjnz6^!}}loYc`$n5&I(K%ix?U$T0q_S>_#O$^K zB_1Xx^x>f~pn_YMab{a`=jFZKf(-8W>FrJ6(w2e2kU`8I^}6oE$3s|CHsH26SV8a& zeu}LCBe?F*IaCmRA5+iwtRC>%5&+J?MV~>=Wxc`nVw_e;&u0@tF@Vz}Dy(=JJ5r}c zOVHr9Nmzvxx~{2bw_`XDS%ZVxN)5?=hqJthx6^v#(U!Ln_Ij~X_U^H&z4;972&rZ} z&$Q!d+X@qN`?JeUZ^O-Mg}l@CE=7;mEduU(orSMlr9$04#NBeEOr=A8uZcFJIK!0I zgSW;tRw64%1HHA{@JGz0g$ab>J&*Y6bieF$1yaQ}qb;+AblR?lDUQt?W8gO+UlVC z)%}W#p_r{|9d1*+jc3pLsvaNX;^ToPCC!BSlSkaNovtH{dx*Slr?c->vDDNJB%@?F zW{|Dx2jlv90vlMGo&H#4^UX3o)%S)bu**0B19irtu)7_7c=_sUD6AZ${&(!un#Kgd z7kD9|!B&NFjjFX0+}|&X(bSjy^Nr$CF2B23sC9XH6s@cEcL*ylG#iI0@4cM0oGmZ& zO`RjoVCy$w>eBB$2B+?B=XaKlsF3k>lEH9TY;>!(u3R!)!9tsYLKi2){oo-Ck0XG+ z#kTF6D+_Ih`$3=aAHb2*+nasVrZH!J$afFo%*E2HRrI%%Tb2 zIy`P9PD%|{W+jU!%7R(-Yqm48ebZm=|25fmKBzM5AR&xR6kUO?d<^uO76UoSU1T9aT^kQW%@Oq+b}DmL0N*3&AX-6CqVY@tq8I>@ZSFgh!mh zfr(D?YAO6MTB?sM0iBHUI~mV6G;s=qWA$cAM=`4;6Iq=DZ#PS85m}vEbjx4hWIRz) z#1N$OU8wP)DDeq7Ql|tcV{6ryc_dxo>>fUTAqxp;-wVT5x_R|<<`tC{Zq^y(A1n5YM7Y60R25odb*`AWtMn7(ibzXn6 zj)gZqXH(A4|MmL{P9$7Vv`zA7J`%O}(FR!`;ZaJW7d`%Uplq7hB}uv6@T=(P=x3<| z)f``;!5a@dlD!luO7+`OToYY00tPj=F+IUgqDQ1>hpHq$&(V)N>5Rcyl!)nOaH7!%`P| z0i3o`(MI7S#QLeFl9XKcg=XL2cn|`(1-zpbwt4&U=IV*StPjyFq+v{H!g`+1q3Ym? z3C|&}e=(jfZpfWh{_kZ@)*H{5lGYs+F4f$n9PA59;0QpOumU2qTXOs#! z0hQQGTt)8eI6EH)B&ZrOzpySq`9vym3c((*Hb#XL`OrYSeGy*#rFV@rJrv+^E?Csd zxD#Y;C1%EUmpZ&0su#o|CcTKZ_+ery#U9d=Tf{ua)U8YTUX;%iqJb87-b3LhOv}*k zZv9gvCrM{47b^D`48xwo{&|5+)fp3JnR12QZ1!RFSvq>NKwMdyWzl#OExVO=o#BVJ zyacGoS+7TIfl#L?8oG`~aO(35M6}p-jdB;%-i>TnD(#A;V&31t>)Ic}u!mWpR;mwu zbeLO77($>q8-h=TK7|0+ScyMgr3LT+-K*}(3$Lq|TgfdVHK~=+fJrC-eqD$ME z_i}fbVh`S--7h+PQ|P#nqO?b-BN~3X+-zEL6B$FhT&`2X`fgL=iT&Ipm8yQJnD3cN z1UiAYj_p+Z_pPbL%Kabr^5U4OFIK5x=^(-ssfc2~Bh!*X<0c@$C@4bw3dT9A6_)z0 zg-_Y=Z7`p@Gw^o0LgS~bCm%eOjEMf=lTjaSr6^ovW)o_R&cG6!{64B!5$IjjL%&70 zEBkJyhaG6}yAC+TX(#I`d!8rgXBCr+^x+Q9iz6XCUWke5>~qXA*io%mz>?X7QhFsV;Bw~mY^^f!wBYY^`CzGBjQCp#X%;3X`c1iBpq8F4< zz8``QVg-Xd-@*nGCt3FRw?h<}m@4{70Vwf|;YB1f105D&VT2iw;QDLuV5W;=t(Ct# zQQG~Sg&Y&VKF2`qzjSM|c~5zA6HmP2v**har~}E^{vV>g0;sL#i?=uwr?|TmEgsyp zNO9NVR;&~+5Zt{uDemr8oFc&?xD$dGcOKvWy_q+Y+?l(RJ$G}?@5r9BcNhQ2ACS+* zaPR)dC^LNZ-j;kTA%8hPH6oP#LLCdWl%5?Gj8I0|fyZ>67j8NVH(j;6HR7H#dDSxp zca}#j1E9uFXAptgwgp#-24BN!zH&;{hblBh!b>_d6c=z~cLNiodCO5#|_j?KHScp^SQw zT8&7c3{}Zrdx*e%IrE-oYF>GS-nKa-l|ylCsZd_aBxVbIRi9K@2?p>Ve?nl?!?>!^ z8@sxR{0N6&%%}vHa7i+frHG};)7#*`tPCMO&cUe)vW7SxVfa93_D`HS=Ho>|ppqT6 zVEV@@#b>A_bAk1E&ZMQf7Udh+_@7G0M`GwwYIoxSQz7cs#3cpPDT62qct{|}d{wz< zVWyfCjKelG9a;h>$pgg_>aSk#ZxE?9HN+V$3)(bNQfqj}zIqkiN;Imyd2Gc z(S7$nCS3i;1ZMa-0I7{SG$qN)gajX`P(r;)fisstjVc){#bU?^Z25>suB6V=!g!sB z0xB|)`J9jh{-U3D^|1#&HDd3Lx4TpWpa0|SDOy!9%oQJae)er!gz+Fy(k?=S|JCrZ z=2u$&e->fYIK$_^DLq+@Y~ewJ=^j9xG+@gvy=a!SVb1vvSU6i@gmuw*sSFQ%euu}H@n{ehY{wM0?|3qCHhn+zGUWzkFh=lz#yYv6zoP-y3-u=ClKNB?~-}}d= zB3w*9oNVQ;%Ks2qn*aY0nXBlNiu*r}3{F_Fxe1{J3jSwNF7E#0nHK)sUt3q`ZfcUG zs6ek$;cxa2J_{_Uga04nw*O(gOmWQ{M+q)$lT-3veCFm52lL&6oMdbRzfvb7;;_Eo zpR^0qJ4^8k+HRC*OY!!myL1z02X_AUlh)T9MqYli)gO|SaDg#OuhZUtTCbz>cjP?x zHbYHlu#Efm(IB*Xg0K%yx>&jbJ8_lHxgNz3Nf-yDj)KeIU1ZcbJQ>_3m2K^{bvv*%FQ`UW2;z&5fm+x$Aui9fUTgFpd-QN8qI&-P^<9tL#k zC=ec-VV(Y!z?xNwF)D=9xB=^p{sF`YqHWzY?bHlRSef2PP)5RQ^*f0E^pXS3mytz~ zsRdWu?i^2+=R1-!i=lDems}5+REr94$D+m!*`SFIPP|+qc2**eXSV z1Jt|fva+hCi~D)};XPt&&C)-jn~nPm3_MTX2c`?X52yPlS<=^Qc1W1SU?D;5|4m|Z z?ryQCVlgO?aH<~t$pz)UWLEl67al|3A*2&^k zU&bY~;!1ped`gbZd+iEK=y$CYqW6~`>i3mwvLu6_ae>)J_)9#*67Aw91dK)BQF1?H zMQY*cAj%jtS_`sO-%(DXn7muWA>fawrPVui(G+F7*TPm2o0m>f^Q_s`T;sXdx>XRH zKV!-}^h#Fa6D#@knPZm!UTbILn<8U{shZe)Y_ghX!LH`B!9`hhhF`e@ecs{W-;(yX zTaFyR@_*qIe|KXi-@51boDP{kJ$?nLU+7c3{g5t6?V9IriO^=5NVb?aV%1cGv0)sM z7W_O?l>(zSjTfg^z$`(syC;2e8$Zs`eetpm+{)C2ZEV%{tU5vMEj9-Yt0PS0@b$x! z0G7!^6_t%JCB^Tb6!9jwZo`^b4?4as#cEtnxzlDbZriEij(UE9!c`i~DZASd?);QXK-y0N;g-iJo1Vw!g+5r>6 z^79!%9R=Dr&6E+c56NB%3WD3*`~nhjbL+NlMc4!uG%!@)>(` z_;}ly2m>Yz`Book@H^QGOY;gf2;v+fbE+n#v&saDx9D&}rb_9|;U-y`!&`AmzC`dtU<=P&AGNv4mx7#4%Q zD=JzNP-YsN^Y!w4;SbICZx?*`Q7wr&aJ zyKocSO)4LxYfu3VILEvw8tFLa`rrfCe@!&!vovj9wKG-k&*SN{FBAL^2;e$rgt7l9 z)qESO_`OPh;3^tdBN~Mz#mJfn@F}0fsDx0$ujGH- zB)I>}Y2|V$qt4$wtfbZ`b% zN(t9i0yxW+rOh7(_grT>oN zyjSgoA7GBWXWo22x`HpW~H8nHMTOh{SjAuc4;-z|zU}!-EKcd0B5`MwFs|WKb zwVvFlp6QCh zS#WCUJ3n@b)n$5V%f`x`(0nBdKl2MpwBh_ciA z+H3RriA9xU)v55mu(X#uouTi|e5WYNrW`lj3~E0E9c&^4&cz2f40iNhFoL@J1Eb;n zJbaDDbAuZH$$pU;$leP%k1vKVQhlJgs45ZHmm7sZ(}HE!e7@ z2q>*dh%7xF303P3KE)V@7{O&4SL(;#{d6Uf)7iMnM-u!_^f+73K_*9PnB~yS?}mRD z=kqyWi%I13gz@HQs&rjwD**4{9o(}Fb z|G_pbsCQ;Ermz#c)=iPn$n`5l)H2&PgF<4RpJ!07lgS2cu7(0Ap z1Vm$BGxmPaE%dVGwZyD(3F%*aW?Evg{FM`|hz54s=H7Bhd)6fuwvNpB7q?Iolg7ue z-nwfx-(WrV57~OGh0IP8z5L&hBuY&E{^UP7cN9XChU?TJNz&7an&}r2#T!M+awztQ652-kMX*TVOfF@WvAWvOp)&QruFJMzY0s?i z6CuloFaAco3#~KFkorMM$KIS^k#TZ6G6mSDpgO7MN|+Zql*G4hVV}s5ti%W2H_u}F z!?6j22c=mud{W&dUxYvgRd-l9ALUwW70?i4Ozmv*mrSV39hri{P^r$-ai!`hy~ai8wKlp@)bY1{K-+}ZrF=&l{wMb7=( zf4tWIXz5spz;YBfK4|}Q`H%N-Ah_zyzG)cjr_{|)>E?^JD)&jp^$mX1JB}3C4O~uh z>Vr^5Q?{mCl;bkQrPR@M^J~06wbolE9zq-=7}|aIuH?GLXlc5K%m`c7K&qeYk_~J+ zW~LcKGd(ekEAy>dkUo)L9XL_P=@$~OSUXs5K%H9@NaU~$S8W}pcP#P@s)>iV4O5sc z9cD+|1_u+6ADA%;LY(5QH>`AP6{}hlKIwpGh6cMEt%xw$oCbPWu_SWqf|~gkjOl9! zgF(^$HirMIh`IUK-^^Y>qq!brp}1}@DtfKa=u-?FA#d=BIqPyEzg{76|7%3`WL)$~ zLnT&>Br3MVIG3BgoOvF)x!~_FOSaOh2knsXNk~rO`?YNN!}Px8o|O~ zyWyL<);04-zKxi{ybF~))SrUfK_7*L)og4^X-Q`ifxpZtZT`b2F5h9V7=wR9G9uR$ z*1O@=Q?LPZxn_iBRwl=adn8*IlJvbtqdT?RG~pQz+(bX)``-s1oh&=T? zB%Ll(F`@3wtQ_chBn?A0L(4&0n?}H;(@E1NW62nVqA-2yr9qt~UUADd8%h_yrw%Qubmn{Re`iF~7n=o0tev0-;w5O-`9h-nO!_hD?) z6d7T>c>VYp8nAKE@)x!9;F7*0G(-BV}Otp06KKzbGH&OP$))1d<8gm(=TeOv`yE_fL!b zMA1&}(_q5;;V>hLucjQ|$N6u*p;%HmKb{Km{HT6YO@(aHCC8hAH+NYYOQxS3K_={U zgYRyp=0zrks|buA&;wIzdR5g8eVr3Xh~HvbLcXz0h~6d;d8+Jx^VD}}*xpE|D-gXF z=1AT#;TcGt-DEcX4BMIbBVSFOU3CsK@9vs)&%O%(L}b7Iy5WsOb3jQ3ge2uQ_BoTP z9qN?k_4An@TQy}O)G4=PF$k(!C!2&H8ApGhIFp4@&}CIaG&I$9#edFQa~4G|?005o zhfvYxv=Li{aINS5W#~8i5!Nc`LYCf+=Gc$DgT7zE{61}UaCF(vCJXyh52fFXM$0f) zcjFpD-O4J(=2Q_e*;fB#O9#70qGFt z*njRGRJAmEjLuW>=gZexqfe;^PU8VrtOoM=m0*JS&7*<++duqYTp1Fg06t_OO@W`t?V04^4 zxPxIy31P$J@;$?*y6%44Rk(;V{g-CSg#&o+F%7QfH)a2i*H=gf);HxSi#2!52t4`_ zU?_tE;TJAtJazS8>W`9-sOehyZ^X9o)K7HQBhvuu72Sv)>uR8kote8l2h+ z#>pTshiARqeY9nmlMm*5fay|Fifq~H!t(zMMtDo|GFl1fy5)aoAVJ~5D|2^_Q=5q@%8#Kcvd)@W>HuTga&S$q9R-v#Nsb1fB zY;P!@MNajLT*dx_K0;B&WH)q(;nEWzu61m%YsG0NQ~ zb1cG>;BJmfM<-AA4k=Aj#o>G*MewBBAsxGOA;cA5>=IGzIfmev-G8eO`q#{+)3CQr zrQ68=cYNpSq+YL0;h^3wz@(s<^@$4_{#ZLX=npB$s|z*`@sCS`X!oUj$4E0Zb}Z$k zJE1wu6!`ViQ=I9l`34hKgv?s!OV40Tq$?Ot#gMVnI#460Xl-n&`_;rRE?o`^a`!Tr!{fILEEA0e{&0WqCD=+Z z{Cc0B*hMu)Sr?Kl5b>>wtwAGt2+LG40!DXV<=Z~AI5xv2K(hx zQI}_4P;#idJb$0JV#LuL_2dwDGs0RzFyL%g&Mnh}qR9;81h?{guMnP_WGK{>^#x0t=EE0=E)|a+F~qDu%QpOo-*1o^_Xhd1sydQ1s%^Z zXs?s8DaBV0IE#BU)dBKJ4h{oe1X=jQ$hTgwL0-?ynTO9^MXZK9nD|PPa^*BQpe1cI zA5b~Gp)J;XKd{$DVWU#McZ#5a3K3yu(y2{*d*pB$jRpun(c&f%oo&kE_paV#Lp_+% z%}nA39VDi#z;2%0y)`7@)-5)5tdM=AZfrRhfMf^m1{QkW1*!GyKs=9Ce5eQn_>vj| zb%mhPeS$r=%mx)_yDU4CTnsDTNeyy~ts9vfd00@+pF!lCvxV{pMDKR3ckv{D!vDRe z4?kBj78&ln5K(!xUwb6$A70fNley!CeQaaDSe4SLvUAHlkeasrhzo&WquRky@?q{7 z+2mzUx`Q+>Tn6AWnbOS|BctDx= zSWOLo`0}!nen!j!cruHqoQK%EJqa%9!_JT+}0w<=@o)Z99Dx%W<87H4SeS?p= zi051#YierclZVY7%tmB&c%Coa!{NLiJqO70ygayGc#{3LJ&g_@g;EdN&C*KfwyQx! zx@jO}j~!b`tP#9=Z(i3vI;+-gJCg>Q_?{UZy$>JE*R4WW(KK=olyJA&4EY2R(n?nS z=BMFP14&RYbOE)v8kd3^JAfZ~1CR#QmuaVBfY@Cpr}U0^I;e97Q+<90p%%DGwEOPiG@SxQ z+j%l|Ul{R5u)!pCVvK7NQ4wMVE(hTdL|U3gizs2sjqDkUNd)bR?QLD5Az2_ zxz*;JeSfpbk4h4{#ehVm03?zneHUL|0&0*L<4)I)K9I@o=zR8uQd-Syiouv<4J$c! z%1t#b0yNu_Wy|3yyC(nBrBF4af9&$pXcU8==dVS>j>BVDquZCo@b;u%bJ=AAxN>II zZU*Y;3pCPp0t8{z}3WO(p=Y&?M7z}yi$HuoUn1OYbCQ4tVeS^_3-S9;| zLQ(pOcU%kfG#3E^Mg2ys0!8Uz%UdX5F_s)Eokx)!xe=HpzG@BQiAfu}gHKirKhYWy z^>Y=F&Y{S!v;2=>p2_B};@=*gteFeR+ZE<6>iA+^a9t|5nr)E?nE{K7CxeF4iY9=c z4_x=`?rj^r4r5E>VKH}9II^R_lP`2PNztlgSHn8PX_iuvX2j$b2yGQnQQ`{QlTM9E z1VGW^kc}KmBWgur9C9u=NlU7Bem_6owCqflwQy2N)!PJU%%IZ*VHD*Uqv6OmYqo35 zXh|1&IG(qsv)S(1&2SoJu@^ZQ-AlX3C5to>0(utz&K7PUicz8Zi5__J33Qsk=60`XhfJ0$pLIZNu znkO$nd~3g1!>6EthW?BSOg>jF7`_9{TN!+z>NJ zQ1Q^^FfyX*wOlhpbM*{1yKj>+2AEDcgqYzZ|kus)hbwr->vQ&8s^)Gh@)B@J;@XA)1~y`@|BrO~#46cevnk;}_r? zH18m|A?N34q#T~x*VU_gmi9~eShpR&FrfOfF58s+>Y=&8Jvrd?0xQ-VS?m&7>=se% zNEppMmq|geH?@n``gFOGc4C!*t-DGd?Ci3_k zVpJARJupU_IN4%1&_8oPQ9W++vlaB2-g#8`z*YK2Si%$PA{ z%aZA`-cZlGbfIHvbhWU{I5ur22c%YYWJd#Y|2uqMMJQS*Gh^7!jkj^pe;}YiQkb8y zT8WdpW0rYRYP9FO7n9_lL!E`&xJYmE3Xl=+H$6wXlNFxzq z@_rIwLPJ-KGueU%Da8)2+WytNDh6!3@O};mld0B%kMrepD!rJpLVKT&zGm}L=f(P0 zY9?4}37^Sa%qb`ZR`X-;k$atj#CmRde&4|fTr3o#nIo|bVLKhG>5v3j_Do+N7dwf3 zSnUn(j$g1jZep4$|EOF2J=TO0&xbs#jbD8J4m@mIq-6W|N%Wj#iTR*DXcAd6H}Zd< zQX)A`hsRw%w63HtblI7N`mujV?fRHq!8KTA7^St)fQm?CsV82|7mO&T zHAgbZX8JeivTo6Qf(&b9plE^8tz_ieu;U`OkUhW<+LaC64+%t+?45vNprqyuC!ite(>b+iNW zN(c)IK>l^)d2^>D2EG(sinzAbSbq5#n6mU7DxVg#W@R2_3dbx8G#93cT8)-w8Uw5t zIv4g@8NV?7v8$s+(+~gcl7&p#^N?v>1|J((Q)Rb-RSnQ2q1**9o!^%zM>9_6Hzkvt zanEIE0bLZ;|J-c0yRp|{Q&T4!4i1>}>e?%6NQuhAOlEm08UU}<@FSX1o;AP9?+Z=J zw52?UwH=fm`NOaJeuFX@p*xCcq%ecOl}AwJHsp!of-r+Z5 zZtKbw;8nKy7>ZCqo`b#Hy0aOFt^7q(MNU!3QSE+@T>HcR80EIz&4zM+sm)7*$*X#d z=u@pdYFOfRh)eeN5Vrfvo9PgjaCC4{gn7ncpWNfPoeE^f4|-u}E(^q$=qm zz^VpFGRvuo8$a3EGd%r1f}3ukCs#?9laf9_xdRJ6Ie|)qJ*wB4FKERcKmUT`=PdzxpR0L2m9jhjD-&upGs zOViMrWFG;AjZd;M4iLXWD8nu%cPDwKzq(QxHbXtxmgF_}A=4u-E2KE*V87*iu}gu7 z^-)c>_y@raO5WHi^jCf*@XlaCRB;bR=C-kH*6AX$N<8L;2m$Z2_LRp#QA9d6N8QFA zuZo=-Lq6-Q8HNnDoR~t3PTxiXVLr?E1%ViRMZ4hH=w%4rdzuWMZb?md=NSqMXdjfI zx=;mnpiX*rXlvUOjr$e_n{aSKJJp$E%Yr?{0zbv(NHLLDE~XUwT(587}f_O}pyLS*}&o1sdE*&w#ZuGmMYcPS_@W!z9q(I$HID1Bi}N${9}Z zJ}#u9gw0^{C0_SWL=?-pt^e9BO-y0bcy9pA22Lub6#B&5(#%m zQhan%g;@``MI-;(YfzG)%_D=i83%5^<1Exoww-RAX0;JF%*4~thd_pTvTo96pE-Wl zWhZ7FsspI@68Kv|B*KNGN%&}A8CC4C6tEYh&c5Z4*K5i00x~^q3#G7&VY#>!C(^ANCiBF+M0>)2--{cucu_j)Qb1Rp<&pMcytxvCwy{$kT#2(Jt6fE^04^tVZ{ImNNx%ZIT$JJS~BMdd_`^kA38T#M`Eu7!-N zX)@@}?jA3`D`|8ZA!?^$i|Mm_5Y`tp-0kI{_4ygL@CPyD`4?9#Kaxx2BjP$9TNnkx zw-g9fYU@w8W0!tYfZqN+JQeSEdxt`g>^F}QzRUuISEMLcyluy&F#vp+!Doe}0^G&s zUH5O3?7@H<4p$obBTo>u>@CTX{A0vX+wQ8G3;rYSybqt^wFbM~GTC59wNI_~Bxag8 zO$vK-2O--D$+k}cOV>*TBmxe>Fex*|0E z(!uCh`VHJ6UOf|Dn1UdgJMUCo0bgn{4uGOsvw^2Jlo@?P$Cl)k0}tn3Z>Sq=7(W0@$7kp?{(HJjb zPtvc!m4|Cq{GFciP1LX_$n1iLmKc{FroFfs1ys{B_)(zAZ;>mHO#CW*wh}Xtf`?Dy z(XQ=6P)Kg}yaDMinrS1Ji3VAhJuuTyp)t@839wPwW01aah!u7FYli>Iul?p=U;&`n zlEH{OCE+>7;+|7xmh3@t_MgT5Z#(eWzMWU*V|NPl&Tg57jN&l8p#Alb6Hjt=W4&&H?}bY)DK zpPt1W2$*E^rpWw>J)ty$Rz`Lh3(KT;1l z=)GW5AtN&Q%J7z$m|a~^0&~$>$~UzBps?6!-PT(bRH96ivl|h?>TSumG82>8wk0Hj zSD{$8`Po^7m3k?uZ7u-tw%&zo4uQtsj!kQZi6!zjjp)Vt*CigcTHm}8u!&`+DRE(U zU!r=)T*6(-rXs^d`_=9gJ?*v%K7duyo2DAz#0EBS46fh|Tk#jMf5a#f&d~-i|=AF0kVI&l;UZ6~i%C@IV#y)8#R@6Bg*fkKOE zzR5B_Sa+oaFx-R(W2U<@1O~QI$I;X2I6xyXBbUp1 zBza!v9J!PwT2zql5y#v0riMU`d&Me{yQ+WN{aOxVStC4d$VqNfL}@qnvDMa2D9M|? z^9}JQbaS14UrnthUhogbah4IFdy;~MwZ4Kq;o0k3LxlKUh9f`6m_h}-k?2N%Em(5( zL06pjc~rB+4SbfCW|MfY0A+8KTfRouFc^+qQSaLEF$5HdnhvMV2p~Yaqglb`nEow&`nlh;u*;sJ|J<+9lo+wF^9~GK1@m?W#wW)665TUyx>miSNT#iNId%>VQcG1rW)S5gu=q zRq=c|*idghGt9q8juw-N*)|{JDyn0Fh;*v%G`|{9;sLz0p$w_A3!AyjAp|@UyjVTe zj_fQ3O;t9V}wgKHawU6wHNPpHtzbA+++3 z1pF3Md?)hQX>1G_A57(0e$v@LLwRHHCHI1uvieGmTv&rC3U>;Yr zdN*r}{8AT81jtO`r=^ z3E_Tn=jjPN>(KpXwbhtuN5j_Jx94h-wN-QRvEEshZ=7nyX(t~iY1sXf5@x;eK60Q5 z6=r1z_Q-a>0oekL1nKC9o62{xXIhY0>NJ_kylRV?W8vQHp49R4`1U>Dk0(C8Z`xo6 zN?E;>%&_DdIa(E&hn>zK+p@Z38)T}-kjpy8t|fiCqEw1J4sS{<&^8-XSK83n21iRO z*R!fBkq7fvTPK1z5QEgdkVh;X$sxuO`LG6@7e2G>_&XQ4T3_!|hFmTyZd#p@yuv@1 z^$o_C!hy@FPPX6aao&F>lsVTtOdnK84^o26A+h)`(%?7k@MJR~dUJiW(DWuEit66k zdHdrp+!mWjv6*;PXQd`AUBjV=Nj8vBQYAxS`eqSLhobY0!F6@4TGuC13wr9Bx(RB(7teR(s-W2)3Yvr&zzXo7My zt=gzr{f7H3EGH@SpV>#y6=f6aGr`hA|{d5p4Ru85G^3C)MXa~8r&KU+_o1gNXF zC+3e}J3)O(Gs0zze&e{YycZuSoOk@TpJhZ;=2H>?ANj1tGOm4xpgm0;zbvg^e4 zMQdt%OX9Nb=fJ-_wGO?nRgqZesw5Iu!dPg&zpk|~=6pE5j55pH5UQA291aGS2%^C# zOoqc3U`S$lp5KdW;sIiFSY9`Zu)$Zgs{RnoD&Z=Pw%1p_Vo&*x|m#Uq{t0aGP*+ zzdGs`^yu|=c4mA~D8heF0-M8WufPR{%E>b*!KWJ-3Qyh8#|W3IM|B_n+Mxk6Our*} z?6h+QedxQCL=@)l$_>=13O-yDg6&NUg6q?~FpUyfCCD1SeBBVz%&;zfQPXZ4Er9Cu zZuiMM3Vkw_v1voPG7$vCy&xq454X1-_`<1LXEqeXNwU&D*A}~ioxK=`uLU~b*0dpEO>>u$!fa+kuxen>lQEi5lZui(fsHvCkoSoA@ zTxkF+rMBZ8Q##?>QFQ&f6sZKtcY-wMP&{4n0zX|uu@bbrBrh@fJ!CA|ZCmq2=8Y!u z(q}8QJSw@;eRMjloxDbdK&3GtA?dnK^97r{alH^{$<>xH8&xKqA!IgN-ndK%ziSVw zq_B)BoHCSZkfeF8Q$tx3Ezz)>^j=fG)QF!{nenRL^E*cT1cNRuX@& zH;Yk}uJE_mb-J5Gauz9@X*cH6Rwj0Aj!>auU_NPKF$oxA)gqU9MObdme&KzANNr!Y z^`xz2rF_Yo8qSy02SKX(>m`%-q_U$*D(RGXL_^%Ki&YQVe>$p53v;}R4d@W(xzP=KGRv(s<|or7&p_; z=O8j)L2EsG6}w4RBH=1oF9oFvVeibw@Ms6YN3S0{F98gu_>Tt>T}lc%n00xS78{rt zyFX0;rYcT_q>30 z@xd%L41; zvqt%nJ|q439l@V3HM&lwI{^dN)qhBuVkpOnsD|A8{d8`>&qI0VrHp=1mf%EOei=uF^(FXtArN zitA;qEYPUvj2(?O+;RcGUh8kXNA(@e@|MM(C$PICvS-5{T3DM7Vr#{0pUP=&PBJeK zXtV&IwfCL99pgGarg&;vcE}Je~-E5L+0fpBV>3q&5xqzcfk2F2;VbJ^?h)ddo7VZlNlkGPyD&swE zTf)6zQBr$4TzL`Q%AQ8qv-pa(sQlZdDs1&i&=bR+j30KM zu(61WmrH!t+4m3BvQI&^tbM^@D%ZsbfkJ8K6lrc+3Ag9X>AiKC%#*={6>mE}9V+&U zxv~!^zLnR!(cCGkns7KVZ`!yZOx2;k#39fh)A(2end^ARvy%o9P^3rloyyD^ln@{U zt@EZdJMi>wDtA3-QWgwmc^>$u2)hvOdOaqyh-YPP#=41gkc~sVxzA5dST`W3Abj-> znPr8x>U@QjDG7=?$S%|VP9NPly#SoH(D9* zhIV>Mp<(*v@n|+pdf>c#^`=4FIJ&zb2Z{-GgSm-JOz33})l5`q{vr*111gKRO|1^_ zq}SU<)r2*e!llwBp+S&-p^rGG1z_a~^;Bu^y%#wl#!;G9UVE}r;v@nyl#s*jrXmtp zdG3WRJe^4oe}OuB2W3sgJ-AC6{fQ_2Wj+o`?G27iIwTFV+5S^5t0Vv< zoQAv|GM_?T+U%Z8m_o=W5?0mzBmbW%?(b)s924WC?*V6Tj#o21nB|`Dk2K5|2N-9O z#}MqlPCi3>s^6G;t}b?!I3e=na&l9=M95p?!7)NKpyV#L zH*mYX*daR{yGT3u6=Q*~PL0kpY{uQ&STo1n{pRu0OhnhEje#lA6EuBy>)dLBsF8pD z-cQLv=xkzXa(G&xJ7-b=Ci(W9;w?f=VP2Rq98G>vZ|dcu8az}qw0Pv{MB>t$D*?gJ z?Zror=4Th~)jJg>uL!=M+;}~3QSI--hg1z3%}MrJBTMv}QJ z%vx`XkSY;bG2)&Y-PLn#N!F&$(#1|4j+8MS_7<^c%DccuN6drNZXbjy7Kgyt(Rt;? zyW<{nAX-eKcs>;}+m9gHi4+`>9gpE2Vj^|&{KV}{xH@cSi_$V0o44G+>pG1zY{abJ zib5s1dpjXTOww(kF5QI(ZjU$itTYbY?|{djoyv#$Msl)ff86WMhM!2!mpRnfN8kx- zuf<`re4m&zZBEHZW; zKBa7Z#G9?GY!PLD&==Q{WgvXC*mVD1JG39P&l-fB&1bCNS5)>K@-uUkyrpOws-)Sd zRhXy#Z!Peg9c7or?Ynus##q+710isRq9UDJye+DpBNV zI9n=2$OZx|Tf9XfVeRGQ%q5HES2YV2inj?Sd`UbHeSArHn0REQ5B8m7D%x{+M8*fT zerD3qevA4|tSQhB!^rM&8wUO|quxO4we*5O&*Q71pk$OPOXUw6@?4x!O(xJqkf(VfZ|8aP-Kw)EyS>g?);zAa>{`$L%NAT4D;HTzL{?t3lu5Dt2fSu!?8Y53UUdZUr@@j_~~?hS%$nKGgucsumw2eguz73s2a~ zh>Fd1eS=Zz;`xv+3#?y1bSyYLqsOuXAYu;`m_K8(*$7!jf!-FLEX>XBK6RT(X9k>6pvqp(`B!_-nvpLST*-lC zudsBBd#fX(xHVLO?^PUUUzCnD@A4}f{7}C|h;f4Wv8q;Gl!VKt$X8JEMgX+J;kS|= zfmL{mMaR}oX3cWDJO+dv_A)-Q(I+3+ZWi(f`BO z;M_E}J`(v$?9>oNY3%)m$n}|2+?daYy(88CflmxKp_TSwN}|D3;`g{PO6D^Hp5?8j zaHG2_>UHQ(8L@vSj}+3SUGTqI^pu*r-g1M6>XuTH&7imF7WMm>Rtm0ZNnu>`!r$Hx z)!3+TaScP77Q@Gpl;n@*G(-bm*w(1(&jDFc{9{brUw#Pjk+4tfNP4aD)qQ($+9db# z=@k9e&2`XiDG`Srp`>eZh5{=QDg4V(;wzp_HxU3jp6_~$8gB_}#SwnevU6K6vxxO@ znQ5a*X$C5nN*{3E;pe>uud?*{qX5+l712A?jgRL4!i*}=M84sRY0nl<2m>_hTZ9Nm z;W7H0zU&VGu<*s$)ip!HFSf|Tg@Hi-yV85!t_gZxtz^I04ZiKeri0P7#A5qqg*G^S z`wYMhDTttFmz_`Q$W1!ZgKge54cUqjXW&n}i}cz~W|$85q=eXGGMne|98tbof!wxp z_}s7;FCgAM^QPa1&5p3(D{~6`H?ng>xdlgTG(xM{|f&f0Qx`$zkH56BWQYA(BjD8 z32zLcE)St@ry&Nb*L`|RSJvW+fJEKLV`y6r4t0;-(v^`L1Sz^d=xB0&RY;3&d8}1; z2%2sY=~?aaIi+q7ttDzI4x)|l;DSt5sj7kZ4!I2hWE^z&$!d4PVAq)HN+li z76~*T56H-R(EA2H9}dXa^`J2mst5bn0eVYUMq=MQ_G-5ep*|ImJp!VASUljkHV!m9 z1Z3-gY!Q%G1>{Wud8Hu5e^zlcIWI-;aVW?V#?h6Ljlje{{@XMnx=t=FVqf^{A=It` z@goDYPA1TNCLp^9B&OG@f#%SF%*i7#%ZAa6!>i#HPUX32+Cz=T$abMzy@l#{_$lZ> zlk;PA*5zT7RuCq|pRq!q4mF(bapNsb+S}3OyoigPzWGai87pC&U3!u@*YeOeK*OjA z<_j#%79rHmArw~g>cRFo?*)3VIprUr*1P>hp5}_6>AFNx%R^t(B^rbSinyBeCHlo3 zP$0v3oJ+wbVYH{F$@vJ7%fWoM5gq_p3zPtL?6g;2u*P?SHC6~V{9OpOWau4l8LaUw z3Z)0jvtkH!X7#E7(=1Cttfu%bRjoynK!XD)>wdAb&v1UXOHJ`!Rd**N4qVMOugPScchZ%jnV zmhX3j6Iy5}np+=d^=i`FY2wOidN|GN*-&>l-J7&&Xbrwsv_MEsoolE_QWJ3sE{j)# zok+PG zJd{sL@0eS4(6qRkCQ$3xc~`C&d(9_j?E9pg*c$hW`ypEx9? zT?8pw{MMyTlXOoorAcW5g{tGq`2`_&|50pMLai54`QOgRa=y%2qe(|gNrLz|ElzZt zn{;p}ZQkzmqW8^1T6{gwTo!W5!K$Xjo|1Ad^59JqJvc2caPp81U0gYz800yKS_QCH zvDhEzuI606T@Dm+(cbA2LJ|4`g$5CtCVe^Zxgdmk+#xA#Er`m~r1u8j(6z)$laimC zpp_3Kht+E{$C+9(&W0&X3VHCB;2XaSG+%U_o3xNi3);=b(a@LsLRw(1&C2}WutMNY zSNf&&3egk^z`i=SD-WA=qT@_&nmGI9ys!(E(x;uzr?e{~k%K*aG;66m2CW z`)CllGM{DWA9Tk9*5Xx;53gOR?bD=1T?xP|3Bdz&%-PGF^LK=Ep&>In%U6qHM8Xw> zE4Z_Si{q~xK+0-5fJ~#Qqj5FqN5MCiC+b?Sl%8>I^^`DcZ)w&Eeb^6&6g&=s$idb) zU2o~i$iz_o#M#n*S}Bi&8tpW)iAKk9>E5A085!9;>>GMF$B?mXiPX<3l(FM;c+hL=`{mM}SFUBA!`tPw zQ-q`GZ{JkwDhQf??Nr5LFme% zCIy<+=$TFT9Rg<>ZgDiL2R{1lEqEcWCjEeZYtGNzRf2KvdO;g}1gyoz!4vMsLphkHpY@`@2)f6WgqI1| zqWcpX5nT~i&L;$U9&j`%T}gYTG)G`^LfJ9xuPoL;{Po)B|ETrc{EkP9XS%U>Ok z+XJ#)$b8OnsXt9D#Dyle0Tyt!%Zb7#=~v7kHVBK2U) zU!k{jWhCbJ7YED#BZRtKkfQt3jwa`IgC}&$WA%Ejt{gs+wis3uvE>g#her-Zo*zcq zS5^YLGR;*~+QMRZ03_$X(ZAY;O?rbo2MU^|rL02@=VjbHQJJ+boF_RSqg^sWukEA6 zzm7engGn!o+!ki&zDvWYmgW)Z7XW2VF_#Tla4_-}Cv!^A)zy#wOK>TDoGiw+P-~2A z_2VzYc*1b7>fMj~xIP-@ZqAo<*VLhJE7RXPO`F~qNHtBH-j1UhYB;P6Y0?IP^F&pg zuI$fy5siZE8`nrEjVq;-!c5=0gAJD;AFE}iy#zz3FUgJ*DsxKP(Fm{}9Q$AeDcg~) zF<3!bTVw22kbXJj%F{ybzAkw0o?#_VTmwVR7LzZUG+rED^H;1dhV#*(zQB4Lgg{{U z2Cp+0R+5+TKD8d~KcA*sn=3a8wZmqicG!~YCL1cgrL{@>TP>7kDAA%1qgT$=A|26m zTv+XMw)j|)=R+x1Y7LzYN}cx^OM>VDvx_w!E%rRhpEL zrnP-?ehWRUk(988yq1|}T}gZJutqs6MDwT>F^4(P!-?&i=YIVi}pNN8hE z5G_it;Q>0GO>%-&;RCHjHpGqi|hrYHPeARzFDy46WZPY#~Ef!Wf{UXS7jvA1zTyDHM@VPC7 zI*q97v`FcbLZdP_>ARuaxKGkcY2sdj^qn=~TxiaAoM~i%J!t&Ng;e&rg3&kyhkR>kAD5CUy1x>LHu)-(C z4n%2UsA4gVt00pPg(u}Gu`AX;@|XspD~Cd>(#rhKN+i%UY0FA~4)yjB>esGK(xkaU zzM$r6Yn!6`8z?T^DMIfBxH2l|_mZrZ=9VDOeF33KGZ&X;rV>}x&}*%cb9|2sqgXa} z#03PVgf()ep}6)=ktVH#zjSC^O`^_?q3IfzKuyHqGR+3TF+K($k^VlW5ut%lzFCD$-Uu*=*$g)Zx2$x7UE|!4_`F|V}uDa$T^Lt1p zWnOcr`RH?8`={}(+>!b!y&mVR3jcxbDi3}AQ0|IVqpw~bLPcN2@Q<}BW-t*C4%QuS zTkyRidRJ&L536YZ7Sj-gPehP{R>D0iB95ycUp<$!uzcawjtlVmXA2;gDG4 ze>jvRI|q;Q?Tz>i2>y1AYQpJ``ogB^!GSYcO^znW{7^tn3ieq`qo(WRJRI>T8Pi1j z_}(aK8tj987%ZP?AJnjVa9oo@?5h!h)qP~|JH+!XMvREuy) zmD;Z(Daf&-l(0xOxFQmcQv$kjs7vXe)zt4>*rewN&Rd4HB44L&fHG&!$LK9x89}dK z4@NM;V39k+tl5m_Y*X++63^OaWN&uBs*z8vdy}B4jeXwWQ6qa3Fs{_?mZy%rzc*H9 zKA+l#UH!q4`&exa{%%D~!J1}zELLm5o&W^gkyDyKUZmDsnw6Bv!b1AUu7Le1Bqj~;B7g>8WxE(GZN{R84}>&yfZ7NAj_u`>Rpj} zv=O8ktWh;7DGDI;brL!?KDOAsdMh+3JrIy90}@AN|1@7AV$Of41YqR4LL%vPUqH^I zVNeA*)LSr}BL^ebhE>6LhuHC!gdQ9!zF%-&kZ?kXeLD=N%E5dt(_6YS;&89Jg z7Wafu_Xi}t6Y)r(St7g-5_kT;F@$nc z&X)&19}9f8l--9w%4soQ=(U|jjcjGbG}nb(fR)~s<{Z84an`XxJYYVDg}4?&=Rppp ziF+G%?>THk{aSD7%6zb!v4V`mHRKy9K`)e!X5aybiX|jX;yKRPol;qGs0n)8<8xI= zi@5UqD{jS0O4|g^n0eHLvX@9mnZVJ^qe1A(p_U8Czrw6*MIxbY4ShZAg{ud1{z$cXA0z8h zv)j@f8rFHn+`VVWU#A57#C^Ix7bJWeb?I&Fai8t^`_TRI&>LMlq($5%>|-J4#vN-H z3VMAjtY>?Q+!r-4G=Wuf{#iBM1*Az|qoEwD>Dj?o_X{)|KkY*u5#&*>8hc7{=JA(d zWa*6tzJ#qHl*N6A$6Wdd@N_mxDL6**(as=J-Z(UYup@Se{C3j zx+28&$DrxjfhO+S8h3I&NtL22TP%)HEfqXrywkKv`vlKCr7HfI^A#bzo}@xt1z?)v zg3s%7T$$#LLDSC!B<_28AkkP)*uP>8&a}?FDz-STtDo=^9uVUCQ$TJFsq@dE`)P*B=ATz5%%*=v5g*?EG}d%YUbQYHfJGOXc#0T1l^kgYIvxB4azh zE9AI4osU&P=r4zQSinDm{ z3^Zp1E!GLK?;1up#|J*k2Thj^H1XY&uLjFkfkdwx*D4rWBi69{hZ^MW#eAse(Ga;} z%;&J+8<$Bf3_k-6eUIMNr?kHfg|dF=cb;s*-tt|$WlnOOX}vlo#pPfL?yx35i~FX=T{p4YDl}DVq=eRv)jjUR33+WO>=bGd)k!(iyC<%Vl=B0jj2gSv z*ZYFc$6a<~du*X#`ItKWw6(C$zaE_Kkp4x-yOC8$4iSU}rWGTjQSKV^kPBuj|Lo6NstW8k}=JhNEeJfix+8*?HH{5{|QP zn>s#J9tLD&b1q}c*_OT7Kg!ytpLxBwqvkQ4eAFOp=dqjD>sOvy_pVv0S&>g|!<2mc zFtw}GElodj$MCV*8Y#_aPk_vjfPKUJeAg;m)e={Ps_zwvV{tXrnsxa%t)kLW=Md8bHN~|)a4IbhJRt7_n~^76{&xvN?CO_OPA#D}t)|_ltHGnux z4+$fRtA!OW4^_%@DD+14VC1YYYW?95``%R(jYCCi#J#2$x})?ZIn-#a;F=KZd{fZk zGF6kV9QzXinG`gAuCCy^G3b76K<)_0tAj_4Yz5alf}Jl2{=87|=bwex;~KL+2btqa zx%vv2uRf8{@~AXr{c)xslfTm!OpYeO1W$4 zl|ogGQ|EH&=K*EpQvrEKgY;;yvzdY%>V)cL7)II;tqBn$Cx;oa?)`f$=h)VYbG~cF zxkzO~SB`!DP>zk(3czoLx#K0PsS%ES=B@zTKcrWjt+*)A#My!G2b#@7y2t%{vFn{C z2U}yWz~`EPT&O{MDY!wPIWx@cSAitmPY83PaU6-J@tuUb1Y|{eq=3N6puLhSQbOTk#?cL$m{D}8fFuRV+EVrTl?aqnQx{jAH~JghSPr1O@P zJ{)Ln3!X4@*X14&YQ2Yogip~(vKol(gB>R<^5Kx1HV>BnNI=Gim8ky-YbIypy4)>- zUU;ux^AB{-`TH)Fo3vmk!>gejd9_#7SLihGa5 z)tIMKL1sDY9j~oDbx;Y28$gK(qgn$Xm1@>XumGxlp{mzgB9BB!|c6- z7235mmgSrFm+ceFgnm|N`;tGUGpU@nL$EZXSMKseA@9Zl!t!|?Sx%ITrUpD_kx6<3N$fwZVtNN6WXiq z3w(YUG^J*yv+52Z)Zc>TD-yBc%tvf`d3h?u=@F+pXkw@uskv~d$?;Lj!g(~1L#pVQ z^V>ptZKe^?m1*V+G*uQ9np#f&AN!vB6Q9}|Ip6K#qVGV3xNZocunTC-hrS%)LN$r9 zj`PD^TwE#rHqgYKvNRq9X1!v`AKy!=qN;pD(b`swO%91R+&0KuHAN+^*nf}d^$it< zt{nT<0utX=jxCT_{>1!#VvsrZJ*y^($a7^V!~YT_jPDM_eZ#zOr1ay!XZIkXZ@s5P zB+jGu3`n%$J|V8_L#V3Y7v1B$RjhaZ+y|d=fhOAU@Icd#?r|n!p}^;_0jY`~(R9f` zQ#Fl+CXN=43s$`@@TsQ1giqD26r`G<6XX+tPZgEWP}j=W}=;yeus487X`q}T|byZcw zp>A8E#Ks8TV^xfixVyzEL1w(!st1SCJ6suAI*b;^_dT}>?=LSwT{7i0InMpmXw^XA zWJ`d4_>5i6xT&hyvsi2i_fvnxFJrzba30NfsgyaV&d6krb-`#^W5Aiyhf`-zGuGBn z&&73eWy^DwX6$Ol4^<|coK^cN$70SsCUA~g^uu}3cVI(u>WrP8XGhNKgucU1t8V|~ z{JC<>F)vA?&-WAipzl!od_NW&J3Ifg8meHAimNDJS&7IrUkts8I1f?<5{CS9aa=5;l0RGMp?jC>%$hK_3bA<+@)oeXHp~Zu3NKQS3!udx!OUO414Nb*W zcE=8wntmJ#sc=&-B<{|q5Slh6&QG`LmlBVgs}3vBA9O$e+4f3R66~hRl7P?J6jI}4 zJ*O6@@>!Q8VLm|CrXPJ$?DbW8!q&jEqlvyy5kQBA!8c=yU!F=ZB;TX8u+ltANQv>U zLbGa11D&A<1|QI)B~bVQg-$?eQCWrJNx!ty!T~7{zSOO+Vgm{(xi5`>I6;S#ODsiS zN(i*3C0)cp_1~G0qW{T4^ZE9wGH|H%+N@{h5^AsMku_ zjW-@pdh(&=DDFyLRdj0Y64V9jNGvpkSj7Tf;8N;T+@Yeu6G+d`ff6`^)(_&=@`6`* zfEQ4Wn_s5{iW5iF5N~pT3tCl7hr8F%53c96DE{GGkbb&KE^yz1R09oKr==}3KRqh7 zFWShU`E^T+P`LXS{UFC~M5bZ{D85}?LY_qkXtpOBPD#*ER2k?7be52)<4eeeE1Du# zp@F^LLo~1qR&*3US(6aRcq^eLtq5qXh;=swg%psev?UQzZObTP!U>N+Y)Bo1c_)z; zo&JxWf*abOY*EmBhiHmUU#@71PK5@sTunAcEc6kADm{)Tq;RDhI=~+%FOJtcJSqOL zl*n>*dxdVGdzD;)7TSnHo~4Nf^4Rv>8wdfnNj(m@!vR{`zJoRKbZ0tCZ0FE_$ikkA z=ZI~M&e-~CwSfc9X>Uzx++P>VTTRD4Zdd^&9uCDuChgX^zN$u%u4fw4$qNVKv@_Io4QwA9LDA=$K+h_iwWD9dci za9Xvn9FRIVcch}Rw6D9zv9S_(M$t@Z%v@#Op(iuSbvv#;S~OZ?z{;>3`nX&UC;|#} zRT#w`HGkWdK4d7gn53FM4(OkN!^rx&kazkxK%e#K2iMZuz}+TvS`>EJnd|`6&eyz< z9u+Ubyc}qz)FTEjNRI>m(c&%E#^^k(!f(dnIZp7!6}$^A?nYB0yqAr*d2Um=-%fvu zhDa@x9E+1%l)mcYQ=n0~;YSF$0i7&2VkkX#+)b@_KbkZb5HrZLBe{F&uOCn1D$15d zTCGnfEQ1k+g7!P201luX83%;MiMP+d!HzTN(+Q-7e_ABP`wMuA(F0CPgv0VqMGGyy z*#)$e0-yzgv&`H~E2{MU@a?AUM!RRFy5$`!4*kXk{~b?1xK3@91vGK&Wpq%?n1j>Q z%01X?HSrxg{xN<1bnyN081RKom}TxqH_*IVXh$}R0S^6+cubvkPEU*VB`r#OA2!3c zxNx=Tdjy@5&ER7=7Zg83%?eKUwPE$?ave`O94JQe6!jg#u0@>j9|!-_JCqi-2d`tH zEpc1Z&v@D;DRRU*vi1lx#$GGa#{q3CSch96;03-|on}##`4`L6kJ3|?9O%)4?%JYA zZ=A={$*GUK1M$=12!Wl>%N@T=fmreEOyYto)}J8I-{^j*l?faMSx+bKPazb}_EFE1ebG*d}+ETLs#T`&P+l5siKzXAV{KNSNIvK~^i|FK-!RPf7g82c#i7{@_{)e6x zuR)!GG@qI;A+@ikljFqQ^!tlSb_DqgB!i7gd7(B!>yPCH&9W2-t<=AeT?@L5phG>6 z2JQZY78~(577!<-KaIkx-kdH#V;&kSy&>Nrbm9s*SZ~~s3avFDDL)5#KAnZ;M??cF z{HXOVP=e+t`UCU>#x~vPB%xicM++(NzBbAmyHW}^h^Y31X<@LW1UTr3>|^ww(+4P8 z;gFId6I$HCgTS}c2tXl&+JQL$MUNLK%AsNv_;g9(chOz(iC@rvgh0BN9DE3c2f8nv zh0h@{dW+-P)Jr8u1igMvp`Zb5z;R5U4G=p{SaDX62YL?DWY$WPS(&kvH zvCG=~I=$@}9~uQHV|YIi`~$6)I(S;{&eHGP_ z8nh9a4m9i18BrB#I~8lh8c`v2aH)k27bc~JaHaJXYm5=q3bj5_v;-$ zQ+XCA-gt6bdsTEj;d)6gX3(r4C}>$8pwmYSJr^N<(5Ro5+1X@yNQs_lu?co>0D4tV zGTh++UzDi)9B8kf8c;mljm|==|IzDa6dEs1Ke$dbDE5Q|dVCLsZmZDU(jObo7At6WlcYeImcJZBVoj~|0H1m7vQHAm(fkbVXF!PVA$ zTMz>Dte$STd!?YGNekVUn4-;WZ)?`g)3GLpZi76nxdSD`%pc2x6!7IAkk8@D``;@% z`{(JgDk}zjOBp}qve=eaW8F6%#K!Hm!KfbFp`Ui~z$ZB`55|7Et**VC(JV_)w`$vR zqG?5rr0+ADl1;rP8_n2iJ4}E7VKgP1v38iVV;fojZ0a@}8DIG1Tj<0UD_2UN)~;O9 zR`HcI_BXR=5iic^T|J|G-bG5WHb7eC+h6?aXBEz@#s_l4Pbi7n@s=`v1@w42F$y#G zGXjVWt1l4S%#;Cgz$R0hApjlPjQ!9e%@?5`T3=<4 znHK0ebON>Gt!omZaTHojJv=EW#@Apxzr9g`rCeV(Y1HQEZ4pW{$}h$9@;k4f>=->P&eo+xAUn_BcJ{#Q~4%XG~;f%Zs$oxkB4+ z*oL0q>DeYVR}*yPv@>pw2hxwl+|fw++I(T#S}3EycLTXjjdqYPxU7cVa3H^x_CHV^ zU3#qNzl!3z8=aN|(C#^O>+93k_C^|OJKd)7^p%sf?^t<9o{!;UIOib?Y7L2a@I}9- zeS)X^SG6jh9*Upd)6dFN)UFz~Je{_%2OG{`a`ArCJl)5|+fP_{05tjxg~flYtmA(` zf#9TvRXYJ~Af@H!GoBV{0~uykUkMsxC#;z*!$~9qY%!(&5M+eBC>8plMT_qu3G-nJ z!=*IP8|Y-8#vS;UXNbYy0R!b3#06JW+;GmuT8@{UxgMlpe^G9;ES>t zsD1ZKd(`Or6b@MX1zKM9g?~6Nr;~BqA*~pn+#O=Y`5NKIaw)3o>PnjoPjrP6Mi7cT zkQuQMC@8FY37ts8InN9DttPePf@A|&r+5MG^c}a`Oy6}S3WT)&t3ekP^gjm0jvb(V zG5Kjp>CY2dykH-C&gp~{IhDd|itwHnKxe0X3Cler`SN*m!}${Efpp@^eosbd??~Y` zr$B4A187jg!*V|~_WY38^C*MzE(q^7(KBlgi#G`xuWRw>Wvs6=l|q7vo!72fAZ+-NnY5h%tu0#1q8+0Ts*iWUKK6T%k% z`@THISRt3n2t#1o%3Tbky^ z6SSDXzl^x!4u{58EH!=YRq&gaesHy;(yx}-ZtA5AXx10>T!U^Y=$Snf^1g@e5au+4 z#{FPGyPa_OrJ;Slpm^JjH3mQIT7m0U20dM9w>0P(Q$*ikXx~9h(K=d-GH;3PCW;N{ z@&>(M(D4R+K+tts6f)xcKl))y;Lhf;WeH&_JUg_#BPMOQ%}6@LJf+z-r9Dw%w(~^4 z6coF(7mbiB!54kEf}&psbZvuPBk1M^#Yit`KW5PHjsaij2mgU}&>v-aflj$UI<=k% zILu4uX!1qQ#fcs*$}Y{ByHaGO#2k{RKS5#E=5olOZ(WU6uL$~q?^E=Hlp0OG&~ZcJ z3mqRK9XKY$b}XI6(;-87hES8cJM(!m1=ZogMhXItz8$Y<4R_V%rjFu#2R{gkHMM ztP%t{7!u96@tCnMGsaRU*y?afHC(Ulr5^4uu7PVAA;O)O66Y9N?5BmNC-=~4DJ8ng zj89r^iX(IY&FhU zKZCqW(GRZuw0braEiUS5fsoq^+E1%zkcT)A#nvB68688ImXU?-IhiMVDFnVF8CiI) z@kP%1A)V-@Va&MnAMpfM8v8M0#B>6kGg}574=>}nkPN+b*rA}v-SbHKV0#WadQtl4 zpk1F%Ty5V&dqrpVtPYy-LOYs#A^m$O7VyU?9j7bM*b)cY&nPc=;hc*Cl<~1O1>LHR z4ZJ`z>y7zBGNdhh8~;)M7SF$|6TMPUq;a9e+B|T0Z4bqrjxGL)o)&9k?J9h{w2?vk zDnZNW4N&y2L3@SafUzw+#goDpLzF;q78I5Tg3*?OLNcIuT2PF{02z3PrWd^9FJu*1 zdOho`+Ee|8f!`sVzE8xn8j3D1u@@BbTul6NogL-~Am{8fr!hOqtFuG4&$m- zrUN10BpgPQFMNFs$se=UoDn38eKnx7!dP_o`+ME91v(bl-8Mg+tY7Ni6(o&q+kD3I zeXh=KAP>z>voT{S@ABej{gfrK2M5V^5e^fK|4vI8)i2*c8C7})(=y}UT}F*${NPlR z#w$t6+cIkU`RaS3)+eWLtQVfz?UU2b7yWVYw|1DZWp%%GOIw>#Dxw{XJ{7lefdava zaSCX2ADv}A>~azYE5-2i>-cF~$O!vI>LnuVf8^=6+NauD{+v8TeEinMtRLS&M!y4` zeg_);^lifF(QjJf`#ebl+hQd#|ARfBBHIFeF`cEHfS^EO`GP(yv?E)KjM!!c4)8eW zHYbm$AuaU%$vNE)`VQxm#?as|u-VCyqo+iRyo1rT3y^u*QV)0Fz~w#ez>9xzH#gDY zx<3U)Xp9e(_cJ$?r#I0PJmpb6a6p)iSB< za+wWu8wsON@wRA@6>IN7g&9zUy^zlG6zyX?-9nxofrqW`&>!mu5e92&xzqs)TLQ%h zZ=uC1VxSmZDyY8AiFvVtJ}I<_xu9C-*wJNuGXoYWv|4-N9fE?QY=jJ)T96ed%4?up zV&WgpKharqT32YEXs;SlXY-&1hl0d&Q*h>pJJ6PAbGDygM1gGz3y&kyz#DF&1YkP> zT~*M#3_4!W2Mqc$LH}mZ1qFS|pf475*q}p#zK}e*$nYN$1d`3yqL2zHc?X??bR{FS zJ`JnTM^M|G)6h*x*MsQ^o|0+h7ymNZ9jJYq2l*2y@+*e`E%I{YfG0r9xD*hK2?50{ zQ{kZRe3F0_ic|#cKMeZPz@d$sesKLfokioXQOJlMB4~Nr6Ep`2`Z>cv-y;2D4}}(J zSt889I&6nx9h8`#BJ02>I5mObR8Ij4+kJ_E(o^{s`5VG${lM?$X;}YWAHp(MP-s|U z(Q>{I58F8LbXh{dS!*eLg|$4@cLKErx)%>ySrK-535y;Q=NO>+-+uE)-}9SYYRs!! zO^^~eVIls9yEXCC;`q}VtY?#_$;?2we#bx9MC(qZL9rjWf&%s{DB3VUODR%w;M!UF z!kYMx*a`=f7eGt?1BVj@-LXdt8uhp5a=Jjzxkyo@)|A>jplfOAszZI008kqC}wC^eW0cYB*VE)hYGXZw<6px?Bw z!cY#!i*!30nNJYZ&cFX$(DxWxMJ)%EmyoRVoggntMxf*AEU9#tplcWu{ZG)AJ$AtX zYn*^0j}#8bDL}DWx1i|51O2c;e<0{*4f-uXX9syH84_|f?9tthEhuPamp&5oYIUL? zq}%M!r-Qs#(hsKw?x01!FDP1Ag)dflgM*b<*Y(+Q9p5wntaw^x^KA`E&0Xqoo2_`nJ8Ek34p3vGoGd2{ojB_Ejw+ULt z#DMB})Xz<9)7QcSUtL2rEw%I{BSUw4UF-SMRz_@Z5Z$aDwAPziEsfF`>!H92GvK8~ z+C2mQB8hEg(V`Z4oaBVu|Lw^O^aXSRrNJT50_e;hYljRtXBTaC3o%|0dYFwZg$tWo5R-l_26n&dW ztK%@JKMRLzh1SM~z9Tr`{nY(!Q6iZ)@9GU7Z)U`vB{g zA-iXbx1;<~a)9m`+&zcfj||7mX7av_j0`(>_x5ccbycDREy{^vvzH5M`<;WCJhs(f z=8^3%@Q2ycAJF?ip0}fGv*!FMKi1~?^+aPk zyS$;GwjDKdX4z*qd1se4;_TF>MVb0C%9EJ)!N@n4E5OMyN&hk|E3DT$Mw@+a~#Jh17mi-GTfvqNUwX~|=3nk2LbOpCR5mnJ|TM462 z@1Xl4#b)m51Lo=a?&&|wQ?xoD)oOG_ysUvr^)f>0rxWSE#Ebp|p5i@Yggu;2&eK4V z7Pvk}Pg#4|WhbhKKns1D7O2)ra~o9GwAd9iSXToM3maO*GOc{)H5{H04htC+t4P5Y zniP4pMTNDd1^u_s&SOw*xnZ?xq5ZqiqHZXtw&U10U>TfP=>r*ep`{HR!@Z`wxS@ zRM004I**|LHt354eb%7Q7Zh83u!qAAaCK<>e1kqqkAUJ!--Y(yg3e>mCk1_Ji)ubW zX+Ov(vwzL;2})J?3CdIU1ag?(7iUpd6cqIcXi-iV6kY(7oUuaTCqN0TP^IPC5;5UK zyJ+$<*cF-Z+9-p*oq+nPNQOEGw5Sydx`3cOUZ67YD!O{#KZL~IV7Tr>Cv(8v*NGpl zp99!xP^0@ARNr3uqCpQ74uvn|ICzj2tu<$6TEK5{?oDZdRs>zzw8lPJMzSPE}QhZzD(H6{oM8WcbLOE)?3GtPu zel&@ns=qHnG9W(IK+!>qk@K_K8>LMtIc$p&HbW}>P$+gJixyh-fkBI${R}={tkbW3 z;h_uA53aZO?2EgV=)`qe)-m2}IOwX9vgQW-u;wPlrt?Y@4TlYd*3Lxe3aX0??OTNQ z0)uWU=!KoAU6-2%_{wLwo6bQ^>IT2PD= z6uxH&x|Kms6BKWt6Dp8@78$N3>i~VbLC+C% zWrN--sNECoMnP9Fw08=+nn7<8bYp{FDClwqy+_b-2E9+vr40Ijpl>wjWrDukpt`f$ zYYcj&&@N=qzX`g8K_3=$euL^7dAk~3(VYyf?!MN~x_4b=-~FYuepcjn%YbnmqUI~eo?L3cOkPXyi5px+bp(+2&Hpt0wH*ar4xtB2KH_3fW}vwk4+sr@)10>FZzQhTWza&6+vHsN4>F)XDMy~w@^t_ zP;D!t#4YHG!ojW{)z-F+O;ME2g@dkAzP=N+v8fDK8`||mhKUA^ZA9p#t=H221*&a1 z+pgYP_*!&%L9K2BOR|3rEXj75aXyJri6zMMk<*{;u`Z$EUus!iv#+!LFX0}qils%N3y9|U7@fWI1pH&N;??!W~&cI zz1d6tWxV7i;ydUU6|bIgGZ66GBPniNyT{t@GLkJX^4hw4EkSMh@OnY}snNClaGi+_ zWfUYUWfbnP_6ygG46WAa6Aik7&|YFt9j!atpxvd>yM#j-V?x|Is%A^0orLx{!&j}- zZC^W% z2Wv^K873OqO@+fL2HjTB-xyTa-Vf}{={B(M?Bl;^J1j`vJUg}8wwGMk&#u^m_8FI; z3yIAJs}eYGlFJX;%H$JMTOoovR@-N`_ScbydwZ4yt?ut|n?YYL-e*T=&@V(7%Xf7_ zZLe-5Z8_VA)K-v1wJq1(KC|sfZME6nqK-6_`9kQVtqR*l)Ulv5jdhk2jcsh*y<3&R zws+PS4z|y`k)Riv*t8vG<<&8!Qw^=^W?MnsJ>mCBY(Fy``YnH9Gfj)`c2qz80QD$4 zH$G+G>5k3z1C+1b$6nLI_6~H`-nL$~chKE>?WZ5Go!Ix6#=hNY5&HqC=h13KJ-=g* z1JL8?v?$VIY5Kvn+d8(-uqx4k)~1l=d7Hbn&oC#ie}Jvl6`Kw0%f54d&nICWEu-v+ z_f}#vn}@U~JXl}CmMqpmuGao>sk4CUNW)-#3ERxBTRC0)Y_PtB=KyEc`V!Pmbo&_k*f?^dNkWzZ$ZazA(DxpCJAPMQkXY&oby>%^q85P^NNOdIot2yktecoBM(OP0%F`dcUA681xQ7F{)oUU?u^4(T6JNU=1ASl7W5M4&M_m`Lywp?+CiD zK@SoXwOR3~f&a24etMYcTc@;iVXLj_#MPq93p%hQTc@<*VJjW!wKf}Al5M5q z_I74l$8-mlWGmTmaP+s~Sre%gEoJJ!l57=SQG+&fvChh3vw!{7H??h)^ zOZGFbx4xvjox#&tM6_Cp9nf|`&O)oDpkuLW6|v~7-0Z6b#?RIuTVX`&*`CdSqE8BR zWGj=w0V{Dwn=h=cE1su+erT-nP#H&rygFlZLC?NGwX`pD5i~^ zTGvD#Yhv4lc!U20gKDWUuq0b&##j<#KuC*j@3U=iofGb-T-SBFx0p26*er)Phz#AH zYJGBZp|$HO))UlvwfcIur%p7IZ7Lj2G3a0&DSOAjzHEnq|FRvltR9pPY!BP+8>};D zs|?Ctw!`dNzm0ZJVl38!qwO+QJ)g0Vch2tPbk`TN+ZsdLAL?#7>Nu@kL98PJ$Cy^8 z)~3_n^BLHeOWbbz_EVneT4CG2SYLVvcGPDhL1(vh+XFkWmrQ?t>+Ut%-mT{DX&LwI z?g`u8?O@D?Q*p{;@w2)m(H_9L0M!UsCxJCTXw%@EGv$jkN7C>mp8iXoV!zR{m#6Ln ziZM=vy%!H#uHd|^aMq^>fu#3TzeuA`4{e`~erGKoJICJ1P2oiPWy2f@6`f=7#L9Sp zdpc!b2j}9=2TEAY3AU6_&id5m&reHOy7bDf!g)0FiPcvD5gG30EjUOMBqgVbde>o; z82FF1@ca+u`CvUh`z7)ztb>z(VV$v9j}N~1j*g~9iEWS;GcqlZ4rqgXMy{*V6}jf{ z_Nq@eqLc!aKK(BJXDNYhAWv_i{|Ngq-B120zE)PAuIIu&W}a>#Pj3^>kDI6PR>ZI0 z3%Y>*7tTx2|N7}g=ILVY>Ba3+cq78#`)atpo?>7h#of(LJyz`!>?(2C6LC_CVL5 zgataupsNXbvq4u8^j3quT+rJMx}u6Xig5G1$af04!(4_^v-=Iqh`k+CV z5cFY#E+*(B23L&RK2OkW&_>XPS_CEKk(H71&ok)%1bu-)|10QR27N}*xefZXpf54#zXYAvpic-o zzd;`tbb%JtoPQ|EI>`Byl+#>pFD51QQ>HY>TZUxl^lyWr3C)sn$k#S|nXqBt?BzT7xsDK0`X6kalO%?S{vK7J~}RUhoN0jIM^L#bY;=4hF1An4lfrD z{fx3|Y*w=FRUEqSjI~4eE+OlQ43`>t@jf%GW5?IuB4$rQH zQuI8-cT?do(V!aJ*#>>P&~|gME1`5g>sAU={6Sl!M;X2!623p|q44#O(jBaGxk-rtzmboJva z4BtNq-(pGdRZEt)5rAr1bW2ud5kdP7IuX$tCbkUw#@;V$7&cBlib z&igOhqs5=C=m#w(`^}I^6aeTuOq=U0L0@Um>jYip|Jl3Jm^-T?EU~m~jRIl;+1hHM zNLh_oT)Gjfsir1I6oX1*OkDUw&=3%V5wsep{2@dzreO(S6ys8YTHrHEM2)2^v6Mv$ zf?%u&L;|!d@jQ3txx)kF^}gTtwO?E2$NiS)J$K%7=FFKhGiS~@g5K@u51FlK5Z-+9K`Hx7FFQw2-iM+T?)9>IOUnI@zF#P;cJy#T*@_v0KHz0f6qGlr@8l0{RMr@(L)6No1GG;W0-K6clIBq3ldS|LQ1a>!5I-qlXK+&QaI~Q5N4uFkcDMvZT<(CeYsG+jInw4=Sm&DwG1}*xY{TvTCGK z!gY~+&RQk>{gCraS9eGuRtdmYu9oD|r*EVDs`OFT5e17;IJm67jdf6K1^J7pVacTN zp=8qdP%>$JP~At(ReCa$68c#?qMoF$ca7*@oRbOdi4!tID}UP2EBYub(%J1ll-=?c z>BQN;xA$9&meQ8nAn0`GPJU+RIw?yFTE`nL($U+>v|-dXI?QXPZ=2<)-la3wQMFda z&#ulnna@tS1+ARK8XfvXV=MC<%`K+9#X7oa72hcRhLtHjbc3^cb#t`!q za?3pO3Gf!$;A)wl>UFqW=;k&!qVvWzP>IJG5z4O6b4k=nv&>^xv)*lrcP&63UKR+Y{rz z<-MuVTl)Sg4}ndm%H%Vxxut!wyyr{KvKex| z>$6<%sE17*r4fO}PGLkw7H3G+QF%;(6m<3BqfYmp_B-ay${00XaCWMUQJd#0W7IMd zDr406P#L4fhl$DiKqfZJ7 zFBET!*%bdh*UErq*!Dn|whz#l(Sb5PT?mMU*5hfkABf|LbY|}SWn)ZNxH@yQL{U1m77W8pP_Y?FnM{7rfaS}Q^I0=0Y z{vxs!C}OTa5itw2c0`y=mh>4PYCn$gVTF&Dvt+a^ck~=V&vz6SQH-pY9NksW(tXBX zcr(yOi-bEReIQ zcy*%(?pFr9@j-K`m?abO2k?q(2a3|~j<^QO61}eVU-Tw3R4x^inUd8P)fbQ*uF`I5 ze~0YUE*+DOo!Zy|m+q1=#Px1n*`D9Zq3mCzELY6CWJgzD7$-|dm5wSM6GI4$&yLqZ^`5!Ijenj#+}lW#)nm+!SlRK=8Q!C12h+P zlxnUeuFo_UL~AA2eI=76laMGz3`-_W9@LJa-B0z9g|9R`=a5^S7;(x3MouqO4Dp4~!e>y3;)8r0(2(kE7emj!H_& z)|IG=^qQQFJb(hFbI8;Q?E@&2vC zue|dU-;JmIZX?lK%{~w0```U~s|Mc7rx)~|f&gm88+)H_-;EoI_h?V>9iS+V`;nur z-}Sn_;7=cJCE|V48;bAp={Kb|yknG4zavk->UHH)y+4>Y6F;B6m$wt~sePC2w{-0F$C0!2k0X`g5(De|dtj{V8H@~PeQ%%^91OZe38^JQ(m;7{AHm(>POQ5&GB zO-Ts2P!baIy7eSPZ!_k7#nd5oCpe|~Y?tOOr$bbuu{P8a%SDIqc5^4iPYuWTpAbo5C#9ilDa{nOME+7jwYKDCj++MGVYI;127!m%w&$VE*;AT4v- z$Ns`7xV6bH(AsZoHW@~j{RQq!Z69#w|Lr$MS)6u7{sx8Oui1jTJ10lSUyQA_?FVxF z_iA!%`~~_@``~x(k!Vigi|v~ztA6$zr;x9GYNUxLg{exTo1#j5@4!G@=kdc|t&!I_Nnw zyzwwUO(1V^tRkZhT$zaV3ZUF2pnL0i52Ci1b;#c~3|-!0h+U$=GwdJ*6Y~>gu9vc0 z_10Sqd6S~@jI9XiLyLJ!l?czfb#UDypQvn6yuaRQ24mh z&AF5J=HViJcf0gmD{bR=`;nj@^S9-aI$P+jce)YjM|~Op&s&kdE$`z)kcdE#o$$%BRNLryo|hQ~|W56mrk>*Bh`IG}pR z<6VxvySYE1J%8_Le*5GRQkJ(wo*?M0PC@T&T<>UpFXmjK@CPreXD#sl%Dipw5(|X$^VXj+e53atdz~l=n>LZOc#L$tfr&c|Yh(c^hx4%v+J)@tEJNnb+YsdE0H? z;^~5Ja8&QmshEM>N{imb(xR@q%c@wBg z3Hx|&Df{@qx2cp+e)Wb?-ch=b(BKM+uS0%&s*V84?;C}}lTIOTTi$Ou z1?A*3O`k*4_EdGx@@9Gx!c21n!UF0>8yH0l6bCMdwp0g4l?0>wNG6jn>1uxNn7HV70m zInYxCy~@#Lg06J*D}r9&=-GmP%h9h1dY+?bQPiPFdcLGY^Nj+A2 zmSO**EUoRZe_xJXCT;tCE03q&6Lh|##WUkh@yz%z-g3shFSm>Iao1iR6VnlUgiC6k zKYPE>eXEz%sM@4f1|vZC2%g|&b$9bFURJYLFf)RJ>W2fotd3ab%;y>=ynN7OtRj4L zpd8&wm$8b7V4y5DjOr*xp7d>u2z1GO%6mw;J~$IDk7eE={l+L@msn=5xwJQVZh-b1 zQLP!XnMR~$3mT8XXIMiqUog7(8$nq%B1HHmxqhRRWi(XdwDShOP3IQM!-&vb