From 4b3460613fdabb0acd12e4060b2e32b634391487 Mon Sep 17 00:00:00 2001 From: juzeon <812312770@qq.com> Date: Tue, 8 Mar 2022 15:13:07 +0800 Subject: [PATCH] =?UTF-8?q?=E4=B8=BA38=20decoder=E5=88=86=E9=85=8D?= =?UTF-8?q?=E5=BC=95=E8=84=9A?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- 38_decoder/38_decoder.asm.rpt | 12 +- 38_decoder/38_decoder.done | 2 +- 38_decoder/38_decoder.fit.rpt | 390 +++++++++--------- 38_decoder/38_decoder.fit.summary | 2 +- 38_decoder/38_decoder.flow.rpt | 12 +- 38_decoder/38_decoder.map.rpt | 10 +- 38_decoder/38_decoder.map.summary | 2 +- 38_decoder/38_decoder.pin | 254 ++++++------ 38_decoder/38_decoder.pof | Bin 524488 -> 524488 bytes 38_decoder/38_decoder.qsf | 16 +- 38_decoder/38_decoder.sof | Bin 240789 -> 240789 bytes 38_decoder/38_decoder.tan.rpt | 68 +-- 38_decoder/38_decoder.tan.summary | 4 +- 38_decoder/db/38_decoder.asm.qmsg | 4 +- 38_decoder/db/38_decoder.asm_labs.ddb | Bin 3427 -> 3287 bytes 38_decoder/db/38_decoder.cmp.bpm | Bin 526 -> 528 bytes 38_decoder/db/38_decoder.cmp.cdb | Bin 3008 -> 2937 bytes 38_decoder/db/38_decoder.cmp.hdb | Bin 6744 -> 7004 bytes 38_decoder/db/38_decoder.cmp.rdb | Bin 14924 -> 14904 bytes 38_decoder/db/38_decoder.cmp.tdb | Bin 2210 -> 2187 bytes 38_decoder/db/38_decoder.cmp0.ddb | Bin 49953 -> 48972 bytes 38_decoder/db/38_decoder.cmp2.ddb | Bin 40688 -> 39954 bytes 38_decoder/db/38_decoder.fit.qmsg | 10 +- 38_decoder/db/38_decoder.map.bpm | Bin 517 -> 517 bytes 38_decoder/db/38_decoder.map.cdb | Bin 1325 -> 1325 bytes 38_decoder/db/38_decoder.map.hdb | Bin 6580 -> 6824 bytes 38_decoder/db/38_decoder.map.qmsg | 4 +- 38_decoder/db/38_decoder.map_bb.cdb | Bin 660 -> 661 bytes 38_decoder/db/38_decoder.map_bb.hdb | Bin 6047 -> 6320 bytes 38_decoder/db/38_decoder.pre_map.cdb | Bin 1051 -> 1052 bytes 38_decoder/db/38_decoder.pre_map.hdb | Bin 6736 -> 7074 bytes 38_decoder/db/38_decoder.rtlv.hdb | Bin 6734 -> 7069 bytes 38_decoder/db/38_decoder.rtlv_sg.cdb | Bin 968 -> 970 bytes 38_decoder/db/38_decoder.sgdiff.cdb | Bin 839 -> 839 bytes 38_decoder/db/38_decoder.sgdiff.hdb | Bin 6758 -> 7090 bytes 38_decoder/db/38_decoder.tan.qmsg | 6 +- 38_decoder/db/38_decoder.tmw_info | 8 +- 38_decoder/db/prev_cmp_38_decoder.asm.qmsg | 7 + 38_decoder/db/prev_cmp_38_decoder.fit.qmsg | 39 ++ 38_decoder/db/prev_cmp_38_decoder.map.qmsg | 7 + 38_decoder/db/prev_cmp_38_decoder.tan.qmsg | 6 + .../38_decoder.root_partition.cmp.atm | Bin 5073 -> 5070 bytes .../38_decoder.root_partition.cmp.hdbx | Bin 1602 -> 1610 bytes .../38_decoder.root_partition.cmp.rcf | Bin 754 -> 741 bytes .../38_decoder.root_partition.map.atm | Bin 3511 -> 3514 bytes .../38_decoder.root_partition.map.hdbx | Bin 1527 -> 1536 bytes README.md | 9 + 47 files changed, 464 insertions(+), 408 deletions(-) create mode 100644 38_decoder/db/prev_cmp_38_decoder.asm.qmsg create mode 100644 38_decoder/db/prev_cmp_38_decoder.fit.qmsg create mode 100644 38_decoder/db/prev_cmp_38_decoder.map.qmsg create mode 100644 38_decoder/db/prev_cmp_38_decoder.tan.qmsg diff --git a/38_decoder/38_decoder.asm.rpt b/38_decoder/38_decoder.asm.rpt index 4daad42..c616fae 100644 --- a/38_decoder/38_decoder.asm.rpt +++ b/38_decoder/38_decoder.asm.rpt @@ -1,5 +1,5 @@ Assembler report for 38_decoder -Mon Mar 07 09:13:07 2022 +Tue Mar 08 15:12:41 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -38,7 +38,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Mon Mar 07 09:13:07 2022 ; +; Assembler Status ; Successful - Tue Mar 08 15:12:41 2022 ; ; Revision Name ; 38_decoder ; ; Top-level Entity Name ; 38_decoder ; ; Family ; Cyclone II ; @@ -93,7 +93,7 @@ applicable agreement for further details. +----------------+--------------------------------------------------------+ ; Device ; EP2C8Q208C8 ; ; JTAG usercode ; 0xFFFFFFFF ; -; Checksum ; 0x000C6513 ; +; Checksum ; 0x000C10D6 ; +----------------+--------------------------------------------------------+ @@ -104,7 +104,7 @@ applicable agreement for further details. +--------------------+----------------------------------------------------+ ; Device ; EPCS4 ; ; JTAG usercode ; 0x00000000 ; -; Checksum ; 0x06F0CA55 ; +; Checksum ; 0x06F0221B ; ; Compression Ratio ; 3 ; +--------------------+----------------------------------------------------+ @@ -115,14 +115,14 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II Assembler Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Mon Mar 07 09:13:07 2022 + Info: Processing started: Tue Mar 08 15:12:41 2022 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off 38_decoder -c 38_decoder Info: Writing out detailed assembly data for power analysis Info: Assembler is generating device programming files Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled Info: Quartus II Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 241 megabytes - Info: Processing ended: Mon Mar 07 09:13:07 2022 + Info: Processing ended: Tue Mar 08 15:12:41 2022 Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 diff --git a/38_decoder/38_decoder.done b/38_decoder/38_decoder.done index 508b5d2..fcc5548 100644 --- a/38_decoder/38_decoder.done +++ b/38_decoder/38_decoder.done @@ -1 +1 @@ -Mon Mar 07 09:13:09 2022 +Tue Mar 08 15:12:42 2022 diff --git a/38_decoder/38_decoder.fit.rpt b/38_decoder/38_decoder.fit.rpt index f8fbfd4..24201f7 100644 --- a/38_decoder/38_decoder.fit.rpt +++ b/38_decoder/38_decoder.fit.rpt @@ -1,5 +1,5 @@ Fitter report for 38_decoder -Mon Mar 07 09:13:06 2022 +Tue Mar 08 15:12:40 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -63,7 +63,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Fitter Summary ; +------------------------------------+----------------------------------------------+ -; Fitter Status ; Successful - Mon Mar 07 09:13:06 2022 ; +; Fitter Status ; Successful - Tue Mar 08 15:12:40 2022 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; 38_decoder ; ; Top-level Entity Name ; 38_decoder ; @@ -91,6 +91,7 @@ applicable agreement for further details. ; Minimum Core Junction Temperature ; 0 ; ; ; Maximum Core Junction Temperature ; 85 ; ; ; Fit Attempts to Skip ; 0 ; 0.0 ; +; Device I/O Standard ; 3.3-V LVTTL ; ; ; Use smart compilation ; Off ; Off ; ; Use TimeQuest Timing Analyzer ; Off ; Off ; ; Router Timing Optimization Level ; Normal ; Normal ; @@ -245,9 +246,9 @@ The pin-out file can be found in D:/projects/quartus/38_decoder/38_decoder.pin. +------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; +------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ -; I0 ; 35 ; 1 ; 0 ; 7 ; 1 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; I1 ; 14 ; 1 ; 0 ; 14 ; 2 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; I2 ; 41 ; 1 ; 0 ; 4 ; 0 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; I0 ; 77 ; 4 ; 18 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; I1 ; 80 ; 4 ; 23 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; I2 ; 81 ; 4 ; 23 ; 0 ; 1 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ @@ -256,27 +257,27 @@ The pin-out file can be found in D:/projects/quartus/38_decoder/38_decoder.pin. +------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; +------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ -; Y0 ; 45 ; 1 ; 0 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y1 ; 37 ; 1 ; 0 ; 6 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y2 ; 195 ; 2 ; 9 ; 19 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y3 ; 33 ; 1 ; 0 ; 8 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y4 ; 30 ; 1 ; 0 ; 8 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y5 ; 208 ; 2 ; 1 ; 19 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y6 ; 34 ; 1 ; 0 ; 7 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y7 ; 39 ; 1 ; 0 ; 5 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y0 ; 142 ; 3 ; 34 ; 12 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y1 ; 143 ; 3 ; 34 ; 13 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y2 ; 144 ; 3 ; 34 ; 13 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y3 ; 145 ; 3 ; 34 ; 14 ; 4 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y4 ; 146 ; 3 ; 34 ; 15 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y5 ; 147 ; 3 ; 34 ; 15 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y6 ; 149 ; 3 ; 34 ; 16 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y7 ; 150 ; 3 ; 34 ; 16 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ -+------------------------------------------------------------+ -; I/O Bank Usage ; -+----------+------------------+---------------+--------------+ -; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; -+----------+------------------+---------------+--------------+ -; 1 ; 11 / 32 ( 34 % ) ; 3.3V ; -- ; -; 2 ; 2 / 35 ( 6 % ) ; 3.3V ; -- ; -; 3 ; 1 / 35 ( 3 % ) ; 3.3V ; -- ; -; 4 ; 0 / 36 ( 0 % ) ; 3.3V ; -- ; -+----------+------------------+---------------+--------------+ ++-----------------------------------------------------------+ +; I/O Bank Usage ; ++----------+-----------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+-----------------+---------------+--------------+ +; 1 ; 2 / 32 ( 6 % ) ; 3.3V ; -- ; +; 2 ; 0 / 35 ( 0 % ) ; 3.3V ; -- ; +; 3 ; 9 / 35 ( 26 % ) ; 3.3V ; -- ; +; 4 ; 3 / 36 ( 8 % ) ; 3.3V ; -- ; ++----------+-----------------+---------------+--------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -286,19 +287,19 @@ The pin-out file can be found in D:/projects/quartus/38_decoder/38_decoder.pin. +----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ ; 1 ; 0 ; 1 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; ; 2 ; 1 ; 1 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; -; 3 ; 2 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 4 ; 3 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 5 ; 4 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 6 ; 5 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 3 ; 2 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 4 ; 3 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 5 ; 4 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 6 ; 5 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 7 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 8 ; 6 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 8 ; 6 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 10 ; 7 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 11 ; 8 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 12 ; 9 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 13 ; 10 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 14 ; 18 ; 1 ; I1 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 15 ; 19 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 10 ; 7 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 11 ; 8 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 12 ; 9 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 13 ; 10 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 14 ; 18 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 15 ; 19 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 16 ; 20 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; ; 17 ; 21 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; ; 18 ; 22 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; @@ -313,25 +314,25 @@ The pin-out file can be found in D:/projects/quartus/38_decoder/38_decoder.pin. ; 27 ; 30 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 28 ; 31 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 29 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 30 ; 32 ; 1 ; Y4 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 31 ; 33 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 30 ; 32 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 31 ; 33 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 32 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 33 ; 35 ; 1 ; Y3 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 34 ; 36 ; 1 ; Y6 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 35 ; 37 ; 1 ; I0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 33 ; 35 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 34 ; 36 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 35 ; 37 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 36 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 37 ; 39 ; 1 ; Y1 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 37 ; 39 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 38 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 39 ; 43 ; 1 ; Y7 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 40 ; 44 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 41 ; 45 ; 1 ; I2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 39 ; 43 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 40 ; 44 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 41 ; 45 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 42 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 43 ; 48 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 44 ; 49 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 45 ; 50 ; 1 ; Y0 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 46 ; 51 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 47 ; 52 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 48 ; 53 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 43 ; 48 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 44 ; 49 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 45 ; 50 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 46 ; 51 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 47 ; 52 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 48 ; 53 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 49 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 50 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; ; 51 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; @@ -339,69 +340,69 @@ The pin-out file can be found in D:/projects/quartus/38_decoder/38_decoder.pin. ; 53 ; ; ; VCCA_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 54 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; ; 55 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 56 ; 54 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 57 ; 55 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 58 ; 56 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 59 ; 57 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 60 ; 58 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 61 ; 59 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 56 ; 54 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 57 ; 55 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 58 ; 56 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 59 ; 57 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 60 ; 58 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 61 ; 59 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 62 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 63 ; 60 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 64 ; 61 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 63 ; 60 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 64 ; 61 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 65 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 66 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 67 ; 69 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 68 ; 70 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 69 ; 71 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 70 ; 74 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 67 ; 69 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 68 ; 70 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 69 ; 71 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 70 ; 74 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 71 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 72 ; 75 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 72 ; 75 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 73 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 74 ; 76 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 75 ; 77 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 76 ; 78 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 77 ; 79 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 74 ; 76 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 75 ; 77 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 76 ; 78 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 77 ; 79 ; 4 ; I0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 78 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 79 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 80 ; 82 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 81 ; 83 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 82 ; 84 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 80 ; 82 ; 4 ; I1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 81 ; 83 ; 4 ; I2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 82 ; 84 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 83 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 84 ; 85 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 84 ; 85 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 85 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 86 ; 86 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 87 ; 87 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 88 ; 88 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 89 ; 89 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 90 ; 90 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 86 ; 86 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 87 ; 87 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 88 ; 88 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 89 ; 89 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 90 ; 90 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 91 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 92 ; 91 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 92 ; 91 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 93 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 94 ; 92 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 95 ; 93 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 96 ; 94 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 97 ; 95 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 94 ; 92 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 95 ; 93 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 96 ; 94 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 97 ; 95 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 98 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 99 ; 96 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 99 ; 96 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 100 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 101 ; 97 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 102 ; 98 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 103 ; 99 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 104 ; 100 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 105 ; 101 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 106 ; 102 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 107 ; 105 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 101 ; 97 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 102 ; 98 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 103 ; 99 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 104 ; 100 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 105 ; 101 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 106 ; 102 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 107 ; 105 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 108 ; 106 ; 3 ; ~LVDS54p/nCEO~ ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; 109 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 110 ; 107 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 110 ; 107 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 111 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 112 ; 108 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 113 ; 109 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 114 ; 110 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 115 ; 112 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 116 ; 113 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 117 ; 114 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 118 ; 117 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 112 ; 108 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 113 ; 109 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 114 ; 110 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 115 ; 112 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 116 ; 113 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 117 ; 114 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 118 ; 117 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 119 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 120 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 121 ; 121 ; 3 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; @@ -410,32 +411,32 @@ The pin-out file can be found in D:/projects/quartus/38_decoder/38_decoder.pin. ; 124 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 125 ; 123 ; 3 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; ; 126 ; 124 ; 3 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; -; 127 ; 125 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 128 ; 126 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 127 ; 125 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 128 ; 126 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 129 ; 127 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 130 ; 128 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 131 ; 129 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 132 ; 130 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 133 ; 131 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 134 ; 132 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 135 ; 133 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 133 ; 131 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 134 ; 132 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 135 ; 133 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 136 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 137 ; 134 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 138 ; 135 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 139 ; 136 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 137 ; 134 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 138 ; 135 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 139 ; 136 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 141 ; 137 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 142 ; 138 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 143 ; 141 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 144 ; 142 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 145 ; 143 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 146 ; 149 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 147 ; 150 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 141 ; 137 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 142 ; 138 ; 3 ; Y0 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 143 ; 141 ; 3 ; Y1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 144 ; 142 ; 3 ; Y2 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 145 ; 143 ; 3 ; Y3 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 146 ; 149 ; 3 ; Y4 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 147 ; 150 ; 3 ; Y5 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; 148 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 149 ; 151 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 150 ; 152 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 151 ; 153 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 152 ; 154 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 149 ; 151 ; 3 ; Y6 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 150 ; 152 ; 3 ; Y7 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 151 ; 153 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 152 ; 154 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 153 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 154 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; ; 155 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; @@ -443,55 +444,55 @@ The pin-out file can be found in D:/projects/quartus/38_decoder/38_decoder.pin. ; 157 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 158 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; ; 159 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 160 ; 155 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 161 ; 156 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 162 ; 157 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 163 ; 158 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 164 ; 159 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 165 ; 160 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 160 ; 155 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 161 ; 156 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 162 ; 157 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 163 ; 158 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 164 ; 159 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 165 ; 160 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 166 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 167 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 168 ; 161 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 169 ; 162 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 170 ; 163 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 171 ; 164 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 168 ; 161 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 169 ; 162 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 170 ; 163 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 171 ; 164 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 172 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 173 ; 165 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 173 ; 165 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 174 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 175 ; 168 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 176 ; 169 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 175 ; 168 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 176 ; 169 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 177 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 178 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 179 ; 173 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 180 ; 174 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 181 ; 175 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 182 ; 176 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 179 ; 173 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 180 ; 174 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 181 ; 175 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 182 ; 176 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 183 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 184 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 185 ; 180 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 185 ; 180 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 186 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 187 ; 181 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 188 ; 182 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 189 ; 183 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 187 ; 181 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 188 ; 182 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 189 ; 183 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 190 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 191 ; 184 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 192 ; 185 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 193 ; 186 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 191 ; 184 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 192 ; 185 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 193 ; 186 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 194 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 195 ; 187 ; 2 ; Y2 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 195 ; 187 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 196 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 197 ; 191 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 198 ; 192 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 199 ; 195 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 200 ; 196 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 201 ; 197 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 197 ; 191 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 198 ; 192 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 199 ; 195 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 200 ; 196 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 201 ; 197 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 202 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 203 ; 198 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 203 ; 198 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 204 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 205 ; 199 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 206 ; 200 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 207 ; 201 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 208 ; 202 ; 2 ; Y5 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 205 ; 199 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 206 ; 200 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 207 ; 201 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 208 ; 202 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ Note: Pin directions (input, output or bidir) are based on device operating in user mode. @@ -568,15 +569,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Source Pin / Fanout ; Pad To Core Index ; Setting ; +---------------------+-------------------+---------+ ; I2 ; ; ; -; - inst10~0 ; 1 ; 6 ; -; - inst10~1 ; 1 ; 6 ; -; - inst10~2 ; 1 ; 6 ; -; - inst10~3 ; 1 ; 6 ; -; - inst10~4 ; 1 ; 6 ; -; - inst10~5 ; 1 ; 6 ; -; - inst10~6 ; 1 ; 6 ; -; - inst10~7 ; 1 ; 6 ; -; I0 ; ; ; ; - inst10~0 ; 0 ; 6 ; ; - inst10~1 ; 0 ; 6 ; ; - inst10~2 ; 0 ; 6 ; @@ -585,6 +577,15 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - inst10~5 ; 0 ; 6 ; ; - inst10~6 ; 0 ; 6 ; ; - inst10~7 ; 0 ; 6 ; +; I0 ; ; ; +; - inst10~0 ; 1 ; 6 ; +; - inst10~1 ; 1 ; 6 ; +; - inst10~2 ; 1 ; 6 ; +; - inst10~3 ; 1 ; 6 ; +; - inst10~4 ; 1 ; 6 ; +; - inst10~5 ; 1 ; 6 ; +; - inst10~6 ; 1 ; 6 ; +; - inst10~7 ; 1 ; 6 ; ; I1 ; ; ; ; - inst10~0 ; 1 ; 6 ; ; - inst10~1 ; 1 ; 6 ; @@ -622,13 +623,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Interconnect Resource Type ; Usage ; +----------------------------+-----------------------+ ; Block interconnects ; 11 / 26,052 ( < 1 % ) ; -; C16 interconnects ; 0 / 1,156 ( 0 % ) ; -; C4 interconnects ; 13 / 17,952 ( < 1 % ) ; -; Direct links ; 1 / 26,052 ( < 1 % ) ; +; C16 interconnects ; 4 / 1,156 ( < 1 % ) ; +; C4 interconnects ; 9 / 17,952 ( < 1 % ) ; +; Direct links ; 2 / 26,052 ( < 1 % ) ; ; Global clocks ; 0 / 8 ( 0 % ) ; ; Local interconnects ; 0 / 8,256 ( 0 % ) ; -; R24 interconnects ; 0 / 1,020 ( 0 % ) ; -; R4 interconnects ; 4 / 22,440 ( < 1 % ) ; +; R24 interconnects ; 3 / 1,020 ( < 1 % ) ; +; R4 interconnects ; 1 / 22,440 ( < 1 % ) ; +----------------------------+-----------------------+ @@ -715,7 +716,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Error detection CRC ; Off ; ; nCEO ; As output driving ground ; ; ASDO,nCSO ; As input tri-stated ; -; Reserve all unused pins ; As output driving ground ; +; Reserve all unused pins ; As input tri-stated ; ; Base pin-out file on sameframe device ; Off ; +----------------------------------------------+--------------------------+ @@ -810,20 +811,19 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------------------------------------+------------+ -+---------------------------------------------------+ -; Advanced Data - Routing ; -+-------------------------------------+-------------+ -; Name ; Value ; -+-------------------------------------+-------------+ -; Early Slack - Fit Attempt 1 ; 2147483639 ; -; Mid Slack - Fit Attempt 1 ; 2147483639 ; -; Late Slack - Fit Attempt 1 ; -2147483648 ; -; Early Wire Use - Fit Attempt 1 ; 0 ; -; Peak Regional Wire - Fit Attempt 1 ; 0 ; -; Late Wire Use - Fit Attempt 1 ; 0 ; -; Time - Fit Attempt 1 ; 0 ; -; Time in tsm_tan.dll - Fit Attempt 1 ; 0.016 ; -+-------------------------------------+-------------+ ++--------------------------------------------------+ +; Advanced Data - Routing ; ++------------------------------------+-------------+ +; Name ; Value ; ++------------------------------------+-------------+ +; Early Slack - Fit Attempt 1 ; 2147483639 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Late Slack - Fit Attempt 1 ; -2147483648 ; +; Early Wire Use - Fit Attempt 1 ; 0 ; +; Peak Regional Wire - Fit Attempt 1 ; 0 ; +; Late Wire Use - Fit Attempt 1 ; 0 ; +; Time - Fit Attempt 1 ; 0 ; ++------------------------------------+-------------+ +-----------------+ @@ -832,7 +832,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II Fitter Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Mon Mar 07 09:13:05 2022 + Info: Processing started: Tue Mar 08 15:12:39 2022 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off 38_decoder -c 38_decoder Info: Parallel compilation is enabled and will use 4 of the 4 processors detected Info: Selected device EP2C8Q208C8 for design "38_decoder" @@ -847,32 +847,11 @@ Info: Fitter converted 3 user pins into dedicated programming pins Info: Pin ~ASDO~ is reserved at location 1 Info: Pin ~nCSO~ is reserved at location 2 Info: Pin ~LVDS54p/nCEO~ is reserved at location 108 -Warning: No exact pin location assignment(s) for 11 pins of 11 total pins - Info: Pin Y7 not assigned to an exact location on the device - Info: Pin Y0 not assigned to an exact location on the device - Info: Pin Y1 not assigned to an exact location on the device - Info: Pin Y2 not assigned to an exact location on the device - Info: Pin Y3 not assigned to an exact location on the device - Info: Pin Y4 not assigned to an exact location on the device - Info: Pin Y5 not assigned to an exact location on the device - Info: Pin Y6 not assigned to an exact location on the device - Info: Pin I2 not assigned to an exact location on the device - Info: Pin I0 not assigned to an exact location on the device - Info: Pin I1 not assigned to an exact location on the device Info: Fitter is using the Classic Timing Analyzer Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time. Info: Starting register packing Info: Finished register packing Extra Info: No registers were packed into other blocks -Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement - Info: Number of I/O pins in group: 11 (unused VREF, 3.3V VCCIO, 3 input, 8 output, 0 bidirectional) - Info: I/O standards used: 3.3-V LVTTL. -Info: I/O bank details before I/O pin placement - Info: Statistics of I/O banks - Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available - Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available - Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available - Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available Info: Fitter preparation operations ending: elapsed time is 00:00:00 Info: Fitter placement preparation operations beginning Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 @@ -881,7 +860,7 @@ Info: Fitter placement was successful Info: Fitter placement operations ending: elapsed time is 00:00:00 Info: Fitter routing operations beginning Info: Average interconnect usage is 0% of the available device resources - Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y9 + Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y10 to location X34_Y19 Info: Fitter routing operations ending: elapsed time is 00:00:00 Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info: Optimizations that may affect the design's routability were skipped @@ -897,11 +876,10 @@ Warning: Found 8 output pins without output pin load capacitance assignment Info: Pin "Y5" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis Info: Pin "Y6" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis Info: Delay annotation completed successfully -Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. Info: Generated suppressed messages file D:/projects/quartus/38_decoder/38_decoder.fit.smsg -Info: Quartus II Fitter was successful. 0 errors, 3 warnings - Info: Peak virtual memory: 306 megabytes - Info: Processing ended: Mon Mar 07 09:13:06 2022 +Info: Quartus II Fitter was successful. 0 errors, 1 warning + Info: Peak virtual memory: 305 megabytes + Info: Processing ended: Tue Mar 08 15:12:40 2022 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/38_decoder/38_decoder.fit.summary b/38_decoder/38_decoder.fit.summary index 23abfbc..7cbb3c9 100644 --- a/38_decoder/38_decoder.fit.summary +++ b/38_decoder/38_decoder.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Mon Mar 07 09:13:06 2022 +Fitter Status : Successful - Tue Mar 08 15:12:40 2022 Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition Revision Name : 38_decoder Top-level Entity Name : 38_decoder diff --git a/38_decoder/38_decoder.flow.rpt b/38_decoder/38_decoder.flow.rpt index 3452d9e..b8b1298 100644 --- a/38_decoder/38_decoder.flow.rpt +++ b/38_decoder/38_decoder.flow.rpt @@ -1,5 +1,5 @@ Flow report for 38_decoder -Mon Mar 07 09:13:08 2022 +Tue Mar 08 15:12:42 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -38,7 +38,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Flow Summary ; +------------------------------------+----------------------------------------------+ -; Flow Status ; Successful - Mon Mar 07 09:13:08 2022 ; +; Flow Status ; Successful - Tue Mar 08 15:12:42 2022 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; 38_decoder ; ; Top-level Entity Name ; 38_decoder ; @@ -63,7 +63,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 03/07/2022 09:13:04 ; +; Start date & time ; 03/08/2022 15:12:38 ; ; Main task ; Compilation ; ; Revision Name ; 38_decoder ; +-------------------+---------------------+ @@ -74,7 +74,7 @@ applicable agreement for further details. +------------------------------------+-----------------------------------------------+---------------+-------------+----------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +------------------------------------+-----------------------------------------------+---------------+-------------+----------------+ -; COMPILER_SIGNATURE_ID ; 220283517943889.164661558410840 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 220283517943889.164672355814724 ; -- ; -- ; -- ; ; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; ; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; ; MISC_FILE ; D:/projects/quartus/38_decoder/38_decoder.dpf ; -- ; -- ; -- ; @@ -89,8 +89,8 @@ applicable agreement for further details. +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:00 ; 1.0 ; 245 MB ; 00:00:00 ; -; Fitter ; 00:00:01 ; 1.0 ; 306 MB ; 00:00:01 ; +; Analysis & Synthesis ; 00:00:00 ; 1.0 ; 246 MB ; 00:00:00 ; +; Fitter ; 00:00:01 ; 1.0 ; 305 MB ; 00:00:01 ; ; Assembler ; 00:00:00 ; 1.0 ; 241 MB ; 00:00:00 ; ; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; 198 MB ; 00:00:00 ; ; Total ; 00:00:01 ; -- ; -- ; 00:00:01 ; diff --git a/38_decoder/38_decoder.map.rpt b/38_decoder/38_decoder.map.rpt index ee4c415..6f633ef 100644 --- a/38_decoder/38_decoder.map.rpt +++ b/38_decoder/38_decoder.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for 38_decoder -Mon Mar 07 09:13:04 2022 +Tue Mar 08 15:12:38 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -39,7 +39,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +------------------------------------+----------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Mon Mar 07 09:13:04 2022 ; +; Analysis & Synthesis Status ; Successful - Tue Mar 08 15:12:38 2022 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; 38_decoder ; ; Top-level Entity Name ; 38_decoder ; @@ -200,7 +200,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II Analysis & Synthesis Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Mon Mar 07 09:13:04 2022 + Info: Processing started: Tue Mar 08 15:12:38 2022 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off 38_decoder -c 38_decoder Info: Found 1 design units, including 1 entities, in source file 38_decoder.bdf Info: Found entity 1: 38_decoder @@ -210,8 +210,8 @@ Info: Implemented 19 device resources after synthesis - the final resource count Info: Implemented 8 output pins Info: Implemented 8 logic cells Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 248 megabytes - Info: Processing ended: Mon Mar 07 09:13:04 2022 + Info: Peak virtual memory: 250 megabytes + Info: Processing ended: Tue Mar 08 15:12:38 2022 Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 diff --git a/38_decoder/38_decoder.map.summary b/38_decoder/38_decoder.map.summary index 20c6ab9..e76f577 100644 --- a/38_decoder/38_decoder.map.summary +++ b/38_decoder/38_decoder.map.summary @@ -1,4 +1,4 @@ -Analysis & Synthesis Status : Successful - Mon Mar 07 09:13:04 2022 +Analysis & Synthesis Status : Successful - Tue Mar 08 15:12:38 2022 Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition Revision Name : 38_decoder Top-level Entity Name : 38_decoder diff --git a/38_decoder/38_decoder.pin b/38_decoder/38_decoder.pin index 32bdd6e..0a6f10c 100644 --- a/38_decoder/38_decoder.pin +++ b/38_decoder/38_decoder.pin @@ -70,19 +70,19 @@ Pin Name/Usage : Location : Dir. : I/O Standard : Voltage ------------------------------------------------------------------------------------------------------------- ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 1 : input : 3.3-V LVTTL : : 1 : N ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 2 : input : 3.3-V LVTTL : : 1 : N -GND* : 3 : : : : 1 : -GND* : 4 : : : : 1 : -GND* : 5 : : : : 1 : -GND* : 6 : : : : 1 : +RESERVED_INPUT : 3 : : : : 1 : +RESERVED_INPUT : 4 : : : : 1 : +RESERVED_INPUT : 5 : : : : 1 : +RESERVED_INPUT : 6 : : : : 1 : VCCIO1 : 7 : power : : 3.3V : 1 : -GND* : 8 : : : : 1 : +RESERVED_INPUT : 8 : : : : 1 : GND : 9 : gnd : : : : -GND* : 10 : : : : 1 : -GND* : 11 : : : : 1 : -GND* : 12 : : : : 1 : -GND* : 13 : : : : 1 : -I1 : 14 : input : 3.3-V LVTTL : : 1 : N -GND* : 15 : : : : 1 : +RESERVED_INPUT : 10 : : : : 1 : +RESERVED_INPUT : 11 : : : : 1 : +RESERVED_INPUT : 12 : : : : 1 : +RESERVED_INPUT : 13 : : : : 1 : +RESERVED_INPUT : 14 : : : : 1 : +RESERVED_INPUT : 15 : : : : 1 : TDO : 16 : output : : : 1 : TMS : 17 : input : : : 1 : TCK : 18 : input : : : 1 : @@ -97,25 +97,25 @@ nCONFIG : 26 : : : GND+ : 27 : : : : 1 : GND+ : 28 : : : : 1 : VCCIO1 : 29 : power : : 3.3V : 1 : -Y4 : 30 : output : 3.3-V LVTTL : : 1 : N -GND* : 31 : : : : 1 : +RESERVED_INPUT : 30 : : : : 1 : +RESERVED_INPUT : 31 : : : : 1 : VCCINT : 32 : power : : 1.2V : : -Y3 : 33 : output : 3.3-V LVTTL : : 1 : N -Y6 : 34 : output : 3.3-V LVTTL : : 1 : N -I0 : 35 : input : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 33 : : : : 1 : +RESERVED_INPUT : 34 : : : : 1 : +RESERVED_INPUT : 35 : : : : 1 : GND : 36 : gnd : : : : -Y1 : 37 : output : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 37 : : : : 1 : GND : 38 : gnd : : : : -Y7 : 39 : output : 3.3-V LVTTL : : 1 : N -GND* : 40 : : : : 1 : -I2 : 41 : input : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 39 : : : : 1 : +RESERVED_INPUT : 40 : : : : 1 : +RESERVED_INPUT : 41 : : : : 1 : VCCIO1 : 42 : power : : 3.3V : 1 : -GND* : 43 : : : : 1 : -GND* : 44 : : : : 1 : -Y0 : 45 : output : 3.3-V LVTTL : : 1 : N -GND* : 46 : : : : 1 : -GND* : 47 : : : : 1 : -GND* : 48 : : : : 1 : +RESERVED_INPUT : 43 : : : : 1 : +RESERVED_INPUT : 44 : : : : 1 : +RESERVED_INPUT : 45 : : : : 1 : +RESERVED_INPUT : 46 : : : : 1 : +RESERVED_INPUT : 47 : : : : 1 : +RESERVED_INPUT : 48 : : : : 1 : GND : 49 : gnd : : : : GND_PLL1 : 50 : gnd : : : : VCCD_PLL1 : 51 : power : : 1.2V : : @@ -123,69 +123,69 @@ GND_PLL1 : 52 : gnd : : VCCA_PLL1 : 53 : power : : 1.2V : : GNDA_PLL1 : 54 : gnd : : : : GND : 55 : gnd : : : : -GND* : 56 : : : : 4 : -GND* : 57 : : : : 4 : -GND* : 58 : : : : 4 : -GND* : 59 : : : : 4 : -GND* : 60 : : : : 4 : -GND* : 61 : : : : 4 : +RESERVED_INPUT : 56 : : : : 4 : +RESERVED_INPUT : 57 : : : : 4 : +RESERVED_INPUT : 58 : : : : 4 : +RESERVED_INPUT : 59 : : : : 4 : +RESERVED_INPUT : 60 : : : : 4 : +RESERVED_INPUT : 61 : : : : 4 : VCCIO4 : 62 : power : : 3.3V : 4 : -GND* : 63 : : : : 4 : -GND* : 64 : : : : 4 : +RESERVED_INPUT : 63 : : : : 4 : +RESERVED_INPUT : 64 : : : : 4 : GND : 65 : gnd : : : : VCCINT : 66 : power : : 1.2V : : -GND* : 67 : : : : 4 : -GND* : 68 : : : : 4 : -GND* : 69 : : : : 4 : -GND* : 70 : : : : 4 : +RESERVED_INPUT : 67 : : : : 4 : +RESERVED_INPUT : 68 : : : : 4 : +RESERVED_INPUT : 69 : : : : 4 : +RESERVED_INPUT : 70 : : : : 4 : VCCIO4 : 71 : power : : 3.3V : 4 : -GND* : 72 : : : : 4 : +RESERVED_INPUT : 72 : : : : 4 : GND : 73 : gnd : : : : -GND* : 74 : : : : 4 : -GND* : 75 : : : : 4 : -GND* : 76 : : : : 4 : -GND* : 77 : : : : 4 : +RESERVED_INPUT : 74 : : : : 4 : +RESERVED_INPUT : 75 : : : : 4 : +RESERVED_INPUT : 76 : : : : 4 : +I0 : 77 : input : 3.3-V LVTTL : : 4 : Y GND : 78 : gnd : : : : VCCINT : 79 : power : : 1.2V : : -GND* : 80 : : : : 4 : -GND* : 81 : : : : 4 : -GND* : 82 : : : : 4 : +I1 : 80 : input : 3.3-V LVTTL : : 4 : Y +I2 : 81 : input : 3.3-V LVTTL : : 4 : Y +RESERVED_INPUT : 82 : : : : 4 : VCCIO4 : 83 : power : : 3.3V : 4 : -GND* : 84 : : : : 4 : +RESERVED_INPUT : 84 : : : : 4 : GND : 85 : gnd : : : : -GND* : 86 : : : : 4 : -GND* : 87 : : : : 4 : -GND* : 88 : : : : 4 : -GND* : 89 : : : : 4 : -GND* : 90 : : : : 4 : +RESERVED_INPUT : 86 : : : : 4 : +RESERVED_INPUT : 87 : : : : 4 : +RESERVED_INPUT : 88 : : : : 4 : +RESERVED_INPUT : 89 : : : : 4 : +RESERVED_INPUT : 90 : : : : 4 : VCCIO4 : 91 : power : : 3.3V : 4 : -GND* : 92 : : : : 4 : +RESERVED_INPUT : 92 : : : : 4 : GND : 93 : gnd : : : : -GND* : 94 : : : : 4 : -GND* : 95 : : : : 4 : -GND* : 96 : : : : 4 : -GND* : 97 : : : : 4 : +RESERVED_INPUT : 94 : : : : 4 : +RESERVED_INPUT : 95 : : : : 4 : +RESERVED_INPUT : 96 : : : : 4 : +RESERVED_INPUT : 97 : : : : 4 : VCCIO4 : 98 : power : : 3.3V : 4 : -GND* : 99 : : : : 4 : +RESERVED_INPUT : 99 : : : : 4 : GND : 100 : gnd : : : : -GND* : 101 : : : : 4 : -GND* : 102 : : : : 4 : -GND* : 103 : : : : 4 : -GND* : 104 : : : : 4 : -GND* : 105 : : : : 3 : -GND* : 106 : : : : 3 : -GND* : 107 : : : : 3 : +RESERVED_INPUT : 101 : : : : 4 : +RESERVED_INPUT : 102 : : : : 4 : +RESERVED_INPUT : 103 : : : : 4 : +RESERVED_INPUT : 104 : : : : 4 : +RESERVED_INPUT : 105 : : : : 3 : +RESERVED_INPUT : 106 : : : : 3 : +RESERVED_INPUT : 107 : : : : 3 : ~LVDS54p/nCEO~ : 108 : output : 3.3-V LVTTL : : 3 : N VCCIO3 : 109 : power : : 3.3V : 3 : -GND* : 110 : : : : 3 : +RESERVED_INPUT : 110 : : : : 3 : GND : 111 : gnd : : : : -GND* : 112 : : : : 3 : -GND* : 113 : : : : 3 : -GND* : 114 : : : : 3 : -GND* : 115 : : : : 3 : -GND* : 116 : : : : 3 : -GND* : 117 : : : : 3 : -GND* : 118 : : : : 3 : +RESERVED_INPUT : 112 : : : : 3 : +RESERVED_INPUT : 113 : : : : 3 : +RESERVED_INPUT : 114 : : : : 3 : +RESERVED_INPUT : 115 : : : : 3 : +RESERVED_INPUT : 116 : : : : 3 : +RESERVED_INPUT : 117 : : : : 3 : +RESERVED_INPUT : 118 : : : : 3 : GND : 119 : gnd : : : : VCCINT : 120 : power : : 1.2V : : nSTATUS : 121 : : : : 3 : @@ -194,32 +194,32 @@ CONF_DONE : 123 : : : GND : 124 : gnd : : : : MSEL1 : 125 : : : : 3 : MSEL0 : 126 : : : : 3 : -GND* : 127 : : : : 3 : -GND* : 128 : : : : 3 : +RESERVED_INPUT : 127 : : : : 3 : +RESERVED_INPUT : 128 : : : : 3 : GND+ : 129 : : : : 3 : GND+ : 130 : : : : 3 : GND+ : 131 : : : : 3 : GND+ : 132 : : : : 3 : -GND* : 133 : : : : 3 : -GND* : 134 : : : : 3 : -GND* : 135 : : : : 3 : +RESERVED_INPUT : 133 : : : : 3 : +RESERVED_INPUT : 134 : : : : 3 : +RESERVED_INPUT : 135 : : : : 3 : VCCIO3 : 136 : power : : 3.3V : 3 : -GND* : 137 : : : : 3 : -GND* : 138 : : : : 3 : -GND* : 139 : : : : 3 : +RESERVED_INPUT : 137 : : : : 3 : +RESERVED_INPUT : 138 : : : : 3 : +RESERVED_INPUT : 139 : : : : 3 : GND : 140 : gnd : : : : -GND* : 141 : : : : 3 : -GND* : 142 : : : : 3 : -GND* : 143 : : : : 3 : -GND* : 144 : : : : 3 : -GND* : 145 : : : : 3 : -GND* : 146 : : : : 3 : -GND* : 147 : : : : 3 : +RESERVED_INPUT : 141 : : : : 3 : +Y0 : 142 : output : 3.3-V LVTTL : : 3 : Y +Y1 : 143 : output : 3.3-V LVTTL : : 3 : Y +Y2 : 144 : output : 3.3-V LVTTL : : 3 : Y +Y3 : 145 : output : 3.3-V LVTTL : : 3 : Y +Y4 : 146 : output : 3.3-V LVTTL : : 3 : Y +Y5 : 147 : output : 3.3-V LVTTL : : 3 : Y VCCIO3 : 148 : power : : 3.3V : 3 : -GND* : 149 : : : : 3 : -GND* : 150 : : : : 3 : -GND* : 151 : : : : 3 : -GND* : 152 : : : : 3 : +Y6 : 149 : output : 3.3-V LVTTL : : 3 : Y +Y7 : 150 : output : 3.3-V LVTTL : : 3 : Y +RESERVED_INPUT : 151 : : : : 3 : +RESERVED_INPUT : 152 : : : : 3 : GND : 153 : gnd : : : : GND_PLL2 : 154 : gnd : : : : VCCD_PLL2 : 155 : power : : 1.2V : : @@ -227,52 +227,52 @@ GND_PLL2 : 156 : gnd : : VCCA_PLL2 : 157 : power : : 1.2V : : GNDA_PLL2 : 158 : gnd : : : : GND : 159 : gnd : : : : -GND* : 160 : : : : 2 : -GND* : 161 : : : : 2 : -GND* : 162 : : : : 2 : -GND* : 163 : : : : 2 : -GND* : 164 : : : : 2 : -GND* : 165 : : : : 2 : +RESERVED_INPUT : 160 : : : : 2 : +RESERVED_INPUT : 161 : : : : 2 : +RESERVED_INPUT : 162 : : : : 2 : +RESERVED_INPUT : 163 : : : : 2 : +RESERVED_INPUT : 164 : : : : 2 : +RESERVED_INPUT : 165 : : : : 2 : VCCIO2 : 166 : power : : 3.3V : 2 : GND : 167 : gnd : : : : -GND* : 168 : : : : 2 : -GND* : 169 : : : : 2 : -GND* : 170 : : : : 2 : -GND* : 171 : : : : 2 : +RESERVED_INPUT : 168 : : : : 2 : +RESERVED_INPUT : 169 : : : : 2 : +RESERVED_INPUT : 170 : : : : 2 : +RESERVED_INPUT : 171 : : : : 2 : VCCIO2 : 172 : power : : 3.3V : 2 : -GND* : 173 : : : : 2 : +RESERVED_INPUT : 173 : : : : 2 : GND : 174 : gnd : : : : -GND* : 175 : : : : 2 : -GND* : 176 : : : : 2 : +RESERVED_INPUT : 175 : : : : 2 : +RESERVED_INPUT : 176 : : : : 2 : GND : 177 : gnd : : : : VCCINT : 178 : power : : 1.2V : : -GND* : 179 : : : : 2 : -GND* : 180 : : : : 2 : -GND* : 181 : : : : 2 : -GND* : 182 : : : : 2 : +RESERVED_INPUT : 179 : : : : 2 : +RESERVED_INPUT : 180 : : : : 2 : +RESERVED_INPUT : 181 : : : : 2 : +RESERVED_INPUT : 182 : : : : 2 : VCCIO2 : 183 : power : : 3.3V : 2 : GND : 184 : gnd : : : : -GND* : 185 : : : : 2 : +RESERVED_INPUT : 185 : : : : 2 : GND : 186 : gnd : : : : -GND* : 187 : : : : 2 : -GND* : 188 : : : : 2 : -GND* : 189 : : : : 2 : +RESERVED_INPUT : 187 : : : : 2 : +RESERVED_INPUT : 188 : : : : 2 : +RESERVED_INPUT : 189 : : : : 2 : VCCINT : 190 : power : : 1.2V : : -GND* : 191 : : : : 2 : -GND* : 192 : : : : 2 : -GND* : 193 : : : : 2 : +RESERVED_INPUT : 191 : : : : 2 : +RESERVED_INPUT : 192 : : : : 2 : +RESERVED_INPUT : 193 : : : : 2 : VCCIO2 : 194 : power : : 3.3V : 2 : -Y2 : 195 : output : 3.3-V LVTTL : : 2 : N +RESERVED_INPUT : 195 : : : : 2 : GND : 196 : gnd : : : : -GND* : 197 : : : : 2 : -GND* : 198 : : : : 2 : -GND* : 199 : : : : 2 : -GND* : 200 : : : : 2 : -GND* : 201 : : : : 2 : +RESERVED_INPUT : 197 : : : : 2 : +RESERVED_INPUT : 198 : : : : 2 : +RESERVED_INPUT : 199 : : : : 2 : +RESERVED_INPUT : 200 : : : : 2 : +RESERVED_INPUT : 201 : : : : 2 : VCCIO2 : 202 : power : : 3.3V : 2 : -GND* : 203 : : : : 2 : +RESERVED_INPUT : 203 : : : : 2 : GND : 204 : gnd : : : : -GND* : 205 : : : : 2 : -GND* : 206 : : : : 2 : -GND* : 207 : : : : 2 : -Y5 : 208 : output : 3.3-V LVTTL : : 2 : N +RESERVED_INPUT : 205 : : : : 2 : +RESERVED_INPUT : 206 : : : : 2 : +RESERVED_INPUT : 207 : : : : 2 : +RESERVED_INPUT : 208 : : : : 2 : diff --git a/38_decoder/38_decoder.pof b/38_decoder/38_decoder.pof index bbba2ec5797d3a862b5b1ba995cf962bf4c039f7..5959345ff5ce155b3195da40e3637c567651e46a 100644 GIT binary patch delta 4469 zcmcH+3vg7`_1^d1CJPB?(>n8-Fs8|6*Td1_z z-E4G4TOb!^allcEAF5H2r=|k~vr(o}MTwQFqXo1$rJcc=k40=UNzZ+6Uq}L4JDuL0 zd-lBZyXTyH&VBct44O{{%{zWOYeU&IbkDglFPem)Xrlu=cK)r4T*5k1#Uzn0uy)G- zZPPE`dFT4y4^>va!IC`22qGG?jnR-3M%^8cj+{KXy?f-Xx1P>2y!8K{xV|7{?GLXnSLAZ6OxOly7sIbt+qI)U6MgeQU z+GO$CC7&04-PTrJBCk~`;R^61UPqk2+|rV17_?aQ3^Gc%0`v(}#OIuR>ymM7U?4Md z$nDm%NX8`s06qC}qR~=!B^<6_IsEFY&9h~pTPc2v0#+oE`x0m8K~$Rtv$EO?2W>VF zgSJxqeg(9_7QyHqK62#wqepU*h?_H5>b??$`c0SDu5B-l>rnis3TR89b}e-yCr;FF z9ldz5y(%t6@n0&SZ6=j+N96wo2Z@NM3Z$MGEUvqT+v0vq*mi;Rtqepw(DFrTU=y+(bNL_ak)GV*pc zYynVlWZS{WHV1?!A#t2$cEYH<($P_}XLtJU_izXKw56#^r(vv!0xhaRR;}5>Ga9U` zu^_D(Tg(gvI&9`B;2E=;f;0}qLq0UO{1c*$wJqVnKAm(FRH)1tfkh;#ffWmfsA(_? zY?#_Kgsggu%vevYxq?1q!(emAYR_U4n!bp;jdkY(+wd^p?#d^+!bU0dpe*jQz_UDpRXCO<^RE_45qm@w8bSG8XTb zjd@F(FnOo`1so#vsrj6DpzIFvS$00z@$3s?S2~ypftf6zx9$}`%ih6qeZ7Twltk7& z`F~vKcmnflHC;v(ds=5JxZ^NsI==VuvmsHKx^ z_`pMA&pAoJ)-9SVcQ8Hw;P4nDE{ndyiK|*aV#J?^USn0Jjh-3fYl^ELT+6EX_WP0~ z%VPeX`Rs(OK(-1*(`Yjzp18?nA&)+hBhJ}e!7>H-sO;tRe^`7XT(1HQa)jbBml z%f$6*OWr5%Rh5z3kJ-hyca^XZ&BfQ~{)juCEnt}}DMf7m)3?OExUMxd7S}D^f>mh! z>W3tScupZrVsuxz z`hD3c>I~}{tEXu$m*y01413APKtHrEJ6R3SgN02ffZiIL@<#Bx*t6rm9D6V^wW%0wLWq$#CdLMP%5zp>%THL*5bJ>ykd!h4wa80gZ z_g{i0?Rv#Vysw+sv94rdS?E@cxc8XVH0W_1PZ%J z_3(KTb2_mP%sqv>Jh|k=P0gaW)X9+ZM{~uO-W+1hR(Q#J;l6+ZT-b|4a7G2Nopv{+ z_8-?llsP>!Rk()%p$M(Px$L3mlW6c_;P7hvzeSZDFO@u~-1?1sfDvSmXMM{^B$ zB^)c+9PKzt?lL%GQ+`Aa9m`Uo{!m+lSRQk$OoJCvl-^LuS&Aml!kveYh8ae#FRss5 zyjQ8)o^>jmKpUp7;@t= z7XgG6owboUXN_FUBPPL8^NDq#E9y$a9MQ#cNYXhQ`R7>^Tj{=;EIns2!iB9i9OmJ) z4n!hBUk!Z^IUIt3`oFAzs`wyz*OFGgv$QsKXL?2>={TR21dqR~y%G#QwtpvSIPXZS zfyaZ9hxhNa=XLd$Y}FhT^i7dq>dU16{Jca!ABmWP}@iy=HQN$Y_^%hYc$+bli+AFXxA!PfmiM;e-YST+NH=uW!J8 z+eG@*$z6tWn@^+2BS@bRpX1{F`g*Ag)=FJ4P6ouwQDJHL5H)hu5ar^6?JAioLoYAD zFRtL_Ir=Pvt5Uf)`~pt5)$I*}ze%7ot_p}>XcGLK#E6F{@tUh;EM^11b=0nU%R-;2iAR&P+FJWhAp6Lttql=xIoe0 zQGjWe)~)r*RRb5&Mxj-9hMrkq2rUEeZWVahoq#&cOWP^HfL{NSyWp1N@KF=yFkhSI z!w7*pclnm_Eq((B)R0c#s5jnC!Wn_THLQim;L#j$1hrCMPLuRwr!Ua*c92!!6#Il^ zL!J?Anl$D705rYX_GfW>cr(^KL~prQhmZ8CGty1_-=6_T@6@5;fUD>D{^#aFpQPai z()(e$?%s+ICkc9~R0i98vkLxarA|!0Bp3Tt+ceU?+(5RZBqzr;Ke-(tJBahCkg+Ml zTXh?7Bys8b5A5v+65=(kX@^i{1q~#X28xsw!$9x9@ zu35F($-E8231p+mHXo`EOb~sFqBg0y?GkljFi>M-!%>SK=Mq<%!KmbQf=GMWOxYGW zwcN_a+=b9g_bddxcmib=x~?7dU;08>mll*ft~#ouT&9ndm4d0FtZ^!}VUhHxuB>l? ztB!_=9qp)#3iI%ywHiAP11pHEnq>IEKxPgRH2}R_ph1Lc=svAcFHRP z91qM64|aMujZgXw#g(RR3(IrEa z0nQn#n<1z_J=Ea9Fd3LEOb(_BWc zg94YX!MRmeAFK=(HyZwy+s3-ynk)i6eKZjp~z= zqbEFnZT-31jei4TagUF{+--gK| zYEa^2h60n~>Fxh?b;|@Mu#u7y9HQC{4^s7I}qU zO-S(cQmAY4Fd}>+WXIB9dJLX$xZtvZFPB7?7+3=yA)7#IBqE5C8Mj6QYa>JwjSQMo z7=9EJEj-fwPJR+iDW#T$f}~8T0)$?J$f34B^|>S8T_GD zf_{y}zz2u=7r?goxkbpZ5t@KeHK#5y8kAOo!39smcY1DP2!%XVfm>D>~(#C=#Hn=U8;^+h*X zf}(`GqkmRRW7@aUYC>7EEE<(OSHEf)#?%&S2)tWoT!iUC=Lgm&QY zRA|-bR74Gu;G3on25ag2YJExod>1IOYn?CaLBM;cy+;PkQh!}h}<*wE|i+OdGn z_#e)wM`;mDk1~eIG87)xLbJCRMb?Hts*LFbrQU2kEXlJ#gVm}PbqJ9Hqa~dRp$IlF zo;oS?E~k@kB=dW?BNG#)p}2~nP)M2E4_5N+hZdJPS))1Zl?pAfAy0{bqTd$)}~ zPB%+%LnYnp-Sxz0V{P>MGh!AwX;7GxobPnM?so5S71`{2=-byxHEZXv^ZmAW=8rH4 zf{13j1(4D+bKSfB?%id!B5E4(ar@a?g3HjNOmyJ%wT8c88VYl)Xa)`2r+ZKsMcp== z-&os*rr*Ke0Ekscbf}(r=LooZAC}09ge5gq_u^2vGYFfW<1YQf?Awo9yFVlX29N_GC6}9V^!_FOfP8Zu!urnOr2?-3eG9H(WMp z<%2MfNG{qn(bfyH#TGSITng+_|x>F}^>*35H$pAPC?kp#TQgeoTF?RQk~ zl4^3zI5#=u0U>y{8{ZP%w4}94!llF1&VrUoTqVtG!7y}i^ zb{2N6#TxWoXXNaTSEz-1g+T0w=T4PBI5L|H^y-;RxoTE22hAU}sg*p8r};-5=H-#M z*B*K>j}0tM7vdKc!>1qH;mpTbT!r^2G%n19l;%{ZniU5lZ>)wt4mhA^Ad?T8Hpao& zfC!%rSlRJokyrozr0Tu3kJPpQYMJmGycDMnIumjCbX$()#SH5z;0E(8j%B#-c+p~= z|Di3urC-~+;GycLEGq@rJ(#D%<&pLa!V&oSU|wIU>Z3e!^;AD~4iXWFX2A#heKRrpc@r<$bQ@n3J`gLbMgAa=jhYycW96p-E ao%!0@3okscb0$49tz@Q-(5vptQ~v`)&u*vy diff --git a/38_decoder/38_decoder.qsf b/38_decoder/38_decoder.qsf index c51ed34..bc0dcab 100644 --- a/38_decoder/38_decoder.qsf +++ b/38_decoder/38_decoder.qsf @@ -51,4 +51,18 @@ set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_ set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" -set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" \ No newline at end of file +set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" +set_global_assignment -name USE_CONFIGURATION_DEVICE ON +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_location_assignment PIN_77 -to I0 +set_location_assignment PIN_80 -to I1 +set_location_assignment PIN_81 -to I2 +set_location_assignment PIN_142 -to Y0 +set_location_assignment PIN_143 -to Y1 +set_location_assignment PIN_144 -to Y2 +set_location_assignment PIN_145 -to Y3 +set_location_assignment PIN_146 -to Y4 +set_location_assignment PIN_147 -to Y5 +set_location_assignment PIN_149 -to Y6 +set_location_assignment PIN_150 -to Y7 \ No newline at end of file diff --git a/38_decoder/38_decoder.sof b/38_decoder/38_decoder.sof index 44209acdb4edd4b20400f6fb86ed6b47e405e1cc..d8f3d1a8d5a29127393917001e720546d6a81213 100644 GIT binary patch delta 3941 zcmcH+4NR2h`Fo!CyW?<(cc6%<_<`Dr;skY~Q`$q+N*5In&{-9%#%5b*(KOTPrpGJ; zGk?HWebj-Yu2EYlXnj%71det$vV6JI+FrzcnNTwJrox9k0g?PFhihlbP;6 zz;9)bL~<{O4jF1HSN$r*&_sGC1M{TYlezN=le`nLl-DjA`jW_H#@l(5P0Vh)zj|gU zjylst9<%ew;8v%xn^!IHCNxzrFZw#n*M*{s1fFsTOdY3fYHyucMa z3^>OvbFI^S7bg$jKJBlr=|Zw*iG_-}46?0B*V9Fux^n~f3G@o>z%#%|af_%m4;(aa zg_y{i-cVK_OazwZ1CTA70~8vJChfX~rv!%{h00j(b)VuYK{uOFspi{S`8&(8f-(LA z5f21`C4G}UQwN$zl_mpZbcu; z%lTs{_+3bmzJOP{3r!l@3~6LtffQ;DpqpWbY2y(aZvt=9qn`F!gx+L%dFmM*6mg_{ z;9Q7YqLvrHi~A@0kwijFV+!K zfu}D0w!ciMt%x|eua-8Th0y`GOg0>Ls=u$tXX9z!AJHkhMx2UeLc36vTQJGNW_3v4 zSEqU;!wcH>OsHEzl({fCgE9yg_=EWkh(~5e^jr)rWL4pLI9d3dWloafP z!-em_2nn9jh{0I*zQSotlQk__I+M^S=IhaRGKnu;K+E>ytUWcHPobG9)UpBRD$bxn zfYY=(2hM3*?a_oY96m#n&b7`6moZ9u?zX`?-nH38zF04$M$T}$jGIL`n|_fiW-{vp z9WT$CKEvx_SVg~FiIdq@H6o{*%uCrTU$6am&@Fm%J zsgzn?$LShqIF-#oWfmKq@mddT*vZkF6)h3Ec1#v~pK?*nS5Qh#_n<(wG>_nfQNm#y zV1s9odzsiSjQk?rjEWW#I~T+&CCu$xqh!_hpN}#dV<#Wp=NFXBPuT)8;j8*CI~L}# zT$I!E*xBp%tsM!X~v_8qdYilq>#KuI^rBa?Iujb{V2gC<>@`oVcPG_K7$yajWOT7^=R9&&%q{In=Qi z&ocB=F=*x8D&oLyKYVcz?67_)lG15o2?w~_GhBz`j%ejlY=jY9?)u=|-i%qwI__1) zYvF=JHzM6}>Uz*t9HkR==%m?cqKa$arh}Dp$g=Zk)KQBrYMBV}v~-C09<`Kn3kVIv zM27d!XG1uxA`ofnL_HjJ>9%Ili`zxH9YH!0pVrj5A97bB-(qo1aiD#fMdK&gm47q) z>hj$-4Z`x1zq)dQh9Ar|eUG+Gqi)mf+J2cbn3pGm`P12s>AJqZ!RA`i(~cdWI7UZu zB351jpvDpyyYte|DEK=lmdB}EF*jJdp>pzb zX;RspFd8F${HGdVzfj!7uaAgy&Pa3yknJAmy-fz8TZ^Q&BIFcV4$nkI?WH}QQ9Ewo zpZd_j_WRxcp$52spC;kHHzPrlAKxQhHrkI8`}LutDvoAl=pOPau49XS0E+A7MyGCD z4%JqI=%A{(5a)mYE$+FYUE)(ae50?7qvokge@M`DdOoJcc>J9sj>X#+qtHs6DZN=G z)bSh?sNzb|p%Z+&-^%``&~K-9lM1HdSRLBsw&sc-1|Rk69~V3F|MQ-zO=d^C6j#u< z9d>UcYYjdoTVGl9pg#{JLA~P2z;U?c986*~J1dv`kEX^RZgzBJ) z8Wg6;){!Qqx1mdA&BwoDq{+YcD2EJ5plxi~hrLn=>XRqLyE?;d|1*=uR1D}U@S5w9 zJ^uh_J-;OXs~eL|-9-i|E^ZYbSgL^hN^K#gcDN3qXj>mqhkmU9X!U! z+(iSYcDpp=xi1?$#pv-R{Bwmzk{&~k#m$><^&k?2!J8~SqC^KCePi+X!jnpP;)zX6 zUVUd61B_<07yMV>pT`};NO;uuv5~-E_%^(bZX+o3V#vKhJa8D^Mv!B;(G!{R$Bekph$LExOOr#960U7oYA6)*qG_fN@lR18dtfW)|I^$WU*77_Dd@uMf*Rk^>WvB$(??Cw;9E;Apx VeN-e2j_Iq3diAG=X2Tc~_z&N1+LQnQ delta 4276 zcmc&&3s98T75>k;|FR1vfG!e5!Sz9kbyXw~Bvrfk7}It_Rs>WeJOVVCJPg{jnq)>6 zEoz&pi$Cr$1`@O#W0N$2{JIy6CfjkEnv4^$&9o+(2B(#pPPI6bp_8`Op8GG0tWjg9 znduJb-TOM{eCIol%dQXOcYPS|Ka!$3G}JsAf6%9CiTuA$b7`7xoL!Xijq9)R9_s@h z%{r~=8jPIL_`XD{{s70{dA*g0Bz;Jd1~lm%I85FIh&QruD6{b?6K($^QUh>w}SOe3w_I0>A*rVh1#Q>$Vv$ zZVFQU23Sc|-+~Hi&llO!w;w(RBWqltne~T-z}uzy`q)nsWNZ_D=HRbS83U~*{rN5E z=G)hU>2hZvCDuTQ=SyTlrEuy-$JbJ6=0`Ax{F^bI?yJQ-!&l^{_R~;8o))ml`)fr@ ztl@uYwxxxyXa=#^?PmSr0lRgO+)Z9k$XvJJX@4fC`C9Z zbhju|TFoAzv(nGKsl(J=XCO?!)8lu+FmN``&x zg@X!&vM@6k&o7CHz$r|Yu7%JHQK&Qpm%?*`^b1Eo` z6kZo$wsyDlu7l&YNC5GL%*SHZH(X12@DN)>W_{&d>dx^DazMexF1G5C+Q|4$HhS~&jNW+*80T~= za2U`N(MX3t@{tS=BX+#V1qwmRm^JFR9vgNVuwiE}cV3gEkmS)a{!NSw%65qQy#hjGWPj zXE<-yfP=bx*a;p3&aC8%s@2@8Vcm0h>YQ}NVZ5T#?|{q2A(=E0e;+3kcR)5qia`&6 zf2g!qbB1!zffG<-4h$m82-k*P0`4^$o!KK9E1B9(VA+lBIgu*eiR+W->>bcW^Kvjx z(YF!%Vrg~|r8qMx&N#VlE<0_CN@>e=-x4TLhp)1L*Q^rbh6F135!|i9dn4?oljnKd z`v|uozM#iQT&Po88>Di35RXUOjWtENHzYax^$@zssM9A7lg}v*@6r3WJVa6s zBXum|*amdnO9hkQdFm*{--HjSR>xcSxGHMj1^fCW)m$YT1$AX3CA;X6IWV2~ZO1Cd zu+dzWa*l{-`@ZVXF-^|beG=n?~&F5~2?Bf&?x9pTW3uJ@k<>dDlc zfBzZBwH;XJj`gV z3KvqpGe(i;mp5(vnw)C0!`xci1`&{NslE{#e30hs6KI`pzGx(>A8U7kkQuApbO9a4&oR}}~GmWEBuZXzJel6veerWlt zVhUY(McC-d%OW}LriZBWWs%e{Ebad@h>wOvnOqRDwJI_QTVKVVO6eat86NXI4~FM? fKr>zycP{7;AJC$2`I4_5^|u7|R|rj;@xp%qYSY4P diff --git a/38_decoder/38_decoder.tan.rpt b/38_decoder/38_decoder.tan.rpt index c0b61b4..08fe936 100644 --- a/38_decoder/38_decoder.tan.rpt +++ b/38_decoder/38_decoder.tan.rpt @@ -1,5 +1,5 @@ Classic Timing Analyzer report for 38_decoder -Mon Mar 07 09:13:08 2022 +Tue Mar 08 15:12:42 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -39,7 +39,7 @@ applicable agreement for further details. +------------------------------+-------+---------------+-------------+------+----+------------+----------+--------------+ ; Type ; Slack ; Required Time ; Actual Time ; From ; To ; From Clock ; To Clock ; Failed Paths ; +------------------------------+-------+---------------+-------------+------+----+------------+----------+--------------+ -; Worst-case tpd ; N/A ; None ; 13.383 ns ; I2 ; Y2 ; -- ; -- ; 0 ; +; Worst-case tpd ; N/A ; None ; 13.172 ns ; I2 ; Y4 ; -- ; -- ; 0 ; ; Total number of failed paths ; ; ; ; ; ; ; ; 0 ; +------------------------------+-------+---------------+-------------+------+----+------------+----------+--------------+ @@ -98,30 +98,30 @@ applicable agreement for further details. +-------+-------------------+-----------------+------+----+ ; Slack ; Required P2P Time ; Actual P2P Time ; From ; To ; +-------+-------------------+-----------------+------+----+ -; N/A ; None ; 13.383 ns ; I2 ; Y2 ; -; N/A ; None ; 13.370 ns ; I1 ; Y2 ; -; N/A ; None ; 12.806 ns ; I0 ; Y2 ; -; N/A ; None ; 12.348 ns ; I2 ; Y5 ; -; N/A ; None ; 12.185 ns ; I1 ; Y5 ; -; N/A ; None ; 11.620 ns ; I0 ; Y5 ; -; N/A ; None ; 11.545 ns ; I2 ; Y4 ; -; N/A ; None ; 11.530 ns ; I2 ; Y7 ; -; N/A ; None ; 11.492 ns ; I2 ; Y0 ; -; N/A ; None ; 11.439 ns ; I1 ; Y0 ; -; N/A ; None ; 11.438 ns ; I2 ; Y1 ; -; N/A ; None ; 11.402 ns ; I1 ; Y7 ; -; N/A ; None ; 11.395 ns ; I1 ; Y4 ; -; N/A ; None ; 11.382 ns ; I1 ; Y1 ; -; N/A ; None ; 11.296 ns ; I1 ; Y3 ; -; N/A ; None ; 11.292 ns ; I2 ; Y3 ; -; N/A ; None ; 11.129 ns ; I2 ; Y6 ; -; N/A ; None ; 11.012 ns ; I1 ; Y6 ; -; N/A ; None ; 10.880 ns ; I0 ; Y0 ; -; N/A ; None ; 10.837 ns ; I0 ; Y7 ; -; N/A ; None ; 10.822 ns ; I0 ; Y1 ; -; N/A ; None ; 10.819 ns ; I0 ; Y4 ; -; N/A ; None ; 10.717 ns ; I0 ; Y3 ; -; N/A ; None ; 10.444 ns ; I0 ; Y6 ; +; N/A ; None ; 13.172 ns ; I2 ; Y4 ; +; N/A ; None ; 13.161 ns ; I2 ; Y6 ; +; N/A ; None ; 13.141 ns ; I2 ; Y5 ; +; N/A ; None ; 13.095 ns ; I2 ; Y7 ; +; N/A ; None ; 13.009 ns ; I2 ; Y3 ; +; N/A ; None ; 12.995 ns ; I2 ; Y0 ; +; N/A ; None ; 12.674 ns ; I1 ; Y6 ; +; N/A ; None ; 12.658 ns ; I0 ; Y5 ; +; N/A ; None ; 12.658 ns ; I1 ; Y4 ; +; N/A ; None ; 12.648 ns ; I1 ; Y3 ; +; N/A ; None ; 12.647 ns ; I2 ; Y1 ; +; N/A ; None ; 12.636 ns ; I0 ; Y3 ; +; N/A ; None ; 12.623 ns ; I1 ; Y7 ; +; N/A ; None ; 12.619 ns ; I0 ; Y7 ; +; N/A ; None ; 12.614 ns ; I0 ; Y4 ; +; N/A ; None ; 12.612 ns ; I1 ; Y5 ; +; N/A ; None ; 12.594 ns ; I0 ; Y6 ; +; N/A ; None ; 12.580 ns ; I1 ; Y0 ; +; N/A ; None ; 12.560 ns ; I2 ; Y2 ; +; N/A ; None ; 12.535 ns ; I0 ; Y0 ; +; N/A ; None ; 12.270 ns ; I0 ; Y1 ; +; N/A ; None ; 12.241 ns ; I1 ; Y1 ; +; N/A ; None ; 12.201 ns ; I1 ; Y2 ; +; N/A ; None ; 12.104 ns ; I0 ; Y2 ; +-------+-------------------+-----------------+------+----+ @@ -131,18 +131,18 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II Classic Timing Analyzer Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Mon Mar 07 09:13:08 2022 + Info: Processing started: Tue Mar 08 15:12:42 2022 Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off 38_decoder -c 38_decoder --timing_analysis_only Info: Parallel compilation is enabled and will use 4 of the 4 processors detected -Info: Longest tpd from source pin "I2" to destination pin "Y2" is 13.383 ns - Info: 1: + IC(0.000 ns) + CELL(0.995 ns) = 0.995 ns; Loc. = PIN_41; Fanout = 8; PIN Node = 'I2' - Info: 2: + IC(5.786 ns) + CELL(0.499 ns) = 7.280 ns; Loc. = LCCOMB_X1_Y7_N22; Fanout = 1; COMB Node = 'inst10~3' - Info: 3: + IC(2.847 ns) + CELL(3.256 ns) = 13.383 ns; Loc. = PIN_195; Fanout = 0; PIN Node = 'Y2' - Info: Total cell delay = 4.750 ns ( 35.49 % ) - Info: Total interconnect delay = 8.633 ns ( 64.51 % ) +Info: Longest tpd from source pin "I2" to destination pin "Y4" is 13.172 ns + Info: 1: + IC(0.000 ns) + CELL(0.974 ns) = 0.974 ns; Loc. = PIN_81; Fanout = 8; PIN Node = 'I2' + Info: 2: + IC(7.387 ns) + CELL(0.651 ns) = 9.012 ns; Loc. = LCCOMB_X33_Y13_N2; Fanout = 1; COMB Node = 'inst10~5' + Info: 3: + IC(1.054 ns) + CELL(3.106 ns) = 13.172 ns; Loc. = PIN_146; Fanout = 0; PIN Node = 'Y4' + Info: Total cell delay = 4.731 ns ( 35.92 % ) + Info: Total interconnect delay = 8.441 ns ( 64.08 % ) Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings Info: Peak virtual memory: 212 megabytes - Info: Processing ended: Mon Mar 07 09:13:08 2022 + Info: Processing ended: Tue Mar 08 15:12:42 2022 Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 diff --git a/38_decoder/38_decoder.tan.summary b/38_decoder/38_decoder.tan.summary index 372a7d7..beb25fd 100644 --- a/38_decoder/38_decoder.tan.summary +++ b/38_decoder/38_decoder.tan.summary @@ -5,9 +5,9 @@ Timing Analyzer Summary Type : Worst-case tpd Slack : N/A Required Time : None -Actual Time : 13.383 ns +Actual Time : 13.172 ns From : I2 -To : Y2 +To : Y4 From Clock : -- To Clock : -- Failed Paths : 0 diff --git a/38_decoder/db/38_decoder.asm.qmsg b/38_decoder/db/38_decoder.asm.qmsg index 9297466..1c22f03 100644 --- a/38_decoder/db/38_decoder.asm.qmsg +++ b/38_decoder/db/38_decoder.asm.qmsg @@ -1,7 +1,7 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 09:13:07 2022 " "Info: Processing started: Mon Mar 07 09:13:07 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 08 15:12:41 2022 " "Info: Processing started: Tue Mar 08 15:12:41 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off 38_decoder -c 38_decoder " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off 38_decoder -c 38_decoder" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} { "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Info: Writing out detailed assembly data for power analysis" { } { } 0 0 "Writing out detailed assembly data for power analysis" 0 0 "" 0 -1} { "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1} { "Info" "IPGMIO_CONDONE_ERROR_CHECKS_DISABLED" "" "Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled" { } { } 0 0 "The Active Serial/Parallel mode CONF_DONE pin error check is disabled" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "241 " "Info: Peak virtual memory: 241 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 09:13:07 2022 " "Info: Processing ended: Mon Mar 07 09:13:07 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "241 " "Info: Peak virtual memory: 241 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 08 15:12:41 2022 " "Info: Processing ended: Tue Mar 08 15:12:41 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/38_decoder/db/38_decoder.asm_labs.ddb b/38_decoder/db/38_decoder.asm_labs.ddb index cf5c209639c6956ed658af908c8874cea9ff376f..5227a153bf332ce382ceaab72b69881d4156b819 100644 GIT binary patch literal 3287 zcmcJSdpMMd9>?cGgfkRMwire(om!J&HH;{?Mq?tWL&c2CjH#9|E;Ei>Zn5Yxc6SCd zNsP->b|VrZQq;IjXd{=fu30fImD_n=wTFG4bDrJjJkOc=<9*-fnfLwue&65s_xZge z4}n1L$U-5$z}Fl&Kj|G1Nb~bWV!uWs4+qgMcp(iiMo9EG`umOb4bW&T(#bm@nC9t? zbRc`4M;aiV?2xCtJ&@L3G&*qGnIahQ3<%`9+}Z)+_W1yL_2oJg`i@)WtMyFTCc|(U zWf4u*y?8e~#$H)P#_N~Fp|YPbn`Gq7qfyq(t4xSl?Ar#;&I8+e=6)^@YqYjZaNb8w z$H9g4j^BDzn>mZ(o(fDw2=Fm}b=24V_JknlS7*ehXn&F#TAnQ>gbzc-QH&bg=Sw#~ zwb7~Nyou%S=6YZG74^;^t-AlP@zY>l^$aV0i4tDgcX#26->Za(#RX>dLzf@A^UTL) z7MCIzA6^q?GUrCh-DLxZ_HCg^?;NbGRpMg~-c67}5otU;!q1k*n#yaPo1HLl$07B6&G_(CjPdBn!d5zLBh z50_U}A{x-f!oP{P4usR#QquJfWA`62I`xPth@o&b_BN#KRmo9&XAY+Zy`fP0x%R&O zfqbkOuk?~|F%>+C&4@;V-dNdnS-6+ko#Wu`gZzdn>)Y6V|FKGsEbE|uU zj%*|Mx@UI00Rso-+2r>>a};uhg)f90VhWJpEFqB3E1*8|;f}TES69WClUK6qDyt6& z%E`V!5MqkM<14q=m?q9J@I&m&%yR3;`!{dN^nl7hEo9izPV5zZ5yo4n@7z{&W4EX@ z0qD++(eLt?NAAO69g=K`oYg5Uq$FYM5vDe9h}{8;QbKRxqdPd`LaWDe@sm+!TzkCi zbMv#g*9$L)gvvUx{aIzs%z5|M{%jRLdGmrqAiJk6vqJfmVs+~<9GrCEsaDHL#i3Q7DT}43k#8 z3e&EY`_c!yTRZIgrBlawR$cS0A^{61@HjU+FipM)YF|CXP6{;$)V(cVK zz!k35XdNr^k;;imL|a0@1eEx@T9ao_Q429F%XSV5cHUpqTP2ltj0 zIRaHA%Q$fhnh8om(l3aH+z$KB6G?lz^a_$f6UxwWJB)vZtByyRm|B~H0U~q>pt&#- z5Iqu5Mi;c{NMsP-1cSBWVskLul8`BAt}6S9d*+D8YzTw;HSff4Bl}2s0k}Ju z!g~^}J7oJM z*hp9=Rg86R@h2-{5bJqos-`3#y#z1` zn8o$!EosSj&b=nm#R4w?S}B?T-ys)HDDzgCa=INgPXMHsrJruvK#qMM>`?33wsR~^ zii-m!r2d2)g_uEUlnMxrlVX&BvHcyf3v8vC_!&-o(@bgj%C+ZHMe;z$8)@?I7l$Lh zHB#5}1n}J-3?Q<$#JJ4(*g70b!b4gQt<%>;tQj<1WFZGvTw7t5{hD=^@$W@ylb_;E zipWIZhro7d)~o$z5E`K4f)q-FbloC=_XoU;a4<2r+%^Hr#?1R4O@GM|?)g~S6;v__ zqPdklG^PyN^~(+c7*7M)-hvZh7F~u3U=e`VchQCP52dV9R-;BK3l*LQykdbbNxNkz z=nGOqB4F#f-nu=UcEY-{?likLIpcRvk&>Wm7#3p%!+bb&V}FofL(a62`BAiK>QT6h(4DXLqOM-fDQ)k(c?%ieGw)3dm!FfOTqN3^T6WG4n3x0iP_N^`reoDJ>J0mi@WN+k$ znUEJ{kp;ioc|azD1B!XkFWPUQalMi z5a_l%7<3Z&Sp(OHeS>He>Pa}x5C#9?G$p_XZj3R3qfCv^W=6&+6b|m{8x%|-`N9u+ zk^JGta92mTo3A%~pAY2}uRK%`Iiq2P(!noHa5)GzqkCim&emLq~$0Z$f93>(~BW#Nqc{g&Z_s_ZF5t8Ysr1EIh;H?X=ypm`@4qaPd)x^ z%t+hpuAYIup76Y|@dcLp!ZL952exveDn7JTOz(h3zQUmt)SyWc&EO&WFZH`UHP>#n zsha;PUNWk9HhA)C`SPMb^dLz)viiw?(T=#_}OmclsxTfY##Q{57vJ-Y65USEt)#)zHy1vX zOg!`4jNkV1*(`J-Ch+w)5i~ejHit`{8Om^{>YUfyQAJm0CeA(go zizVkpXG`bk)D_ziN470qG=(d3i9x&iz2o9#8{-NOc^-sG;OhPv9Nl4ube9+97GaMO z3CMg7lb)k7#^sC=Nk#45OzM>*_v#;93M+EGK0htae_)=`$HK6}fy*r-pIZ06>~ zdQuKIln=|3NMZCsBxz6)mp>6fziRxHE8r4S7;FhS1#ygt|Ns0PkthkpzPhS)+& znbWZpe26W@Lj2V1Ed=5Rj&V_zHC1Sp{~CLoZKi1oj-G%ZO5;#qefZpcuYn%E(N3@n z!R4XvLj#ZECChx~)g;9|DYz1?R2hsUP!46pG0I9M6{4IQR)q04A|Zj9QDW{`KB=%V zWH*tmhNCPvX;bgE8?qa#U(VEqFBxpy7>(dF>A+$ovJA+Du#M<-$4?{|Xau?IA%=^u zjsdcNo#Sv(5lfH&C;~6;KYNhn=004Y^`(RZ*5u6AKwX>9_))lA{UeV`sHx(#MT1EE zQaFLS>r^bo^tb~F3D3Ss>zv858t_^2I(u!XjYFr)Ao{xk6jr+l0!s7B zlkIW{?~tsJ_*A9J#NhU*fXAKsV8S)bvs2`yfpY6E1#!h9qF=AaCgJMD z>7xD!-=+zRt}wE9yok%R$&+o7^nn$gde{BLZ zq$l1$ks2YLV{Y=Lc!!;CcnTCCtzX_4m)FXWT|*Z?dSvW=+E8M1UiLCwzq=U1x{`f^vHyMfU< zb?el}ij0bkgsl}Bn8?fj|Nd#69#{a*4yGTzY{r-j;e!(Zy({1k<0JSE{3lHt`A?Wu zPgl`l(u3p&~2eo1Fp4o)R$IZ`C9*KlS(A zr7H@9!JWaz=%(PHqLj7h$ozHk&%VwTT<(tlNUuc2swe30FC||B>8<$aBZ$Lo-a; z4v}eJ8ElYjknDY49p-rvj0mfC)e)PFIt0jq)fMjh1JPIJ-peJzN^^6@uCGQ^-Ud_l%+e}+@156tA7?f@?a6{>fmGOiX{e82uv;DO2 zs{7pxoQgribCOsPpPg=fD?XF3-~=4aVzspi$fEU#z2B9r_q8MKIr=3r?Mj+@+oIPT z`wyhRV-;fyg9*WegstLHyvx*^(M1RQ9?6 z0xD_~TgNw2`z!vRg^w_p&t1{k@vRyLA`11(CGJW%!b*X0Ri{60K#*x=RsAml^48h9 zN^POiSJL(}F;a)01K;0$h|g*panu!l>lWdnD@EN3Eed+*Ch;itVHcBBhM1tVvaofc zbN-lVyH3=8?W#v?-ge8Vru}a>`YZmQh0oGv5NKs36m0BG0zr`QO_JUm&%sb_J9en< zv((T7)@D(eC6!x~;8HLPPvvXrn_FyM)T?`{JGFfv66atHAJ5&e*T&+1KPxN$2Bs(# AkpKVy diff --git a/38_decoder/db/38_decoder.cmp.bpm b/38_decoder/db/38_decoder.cmp.bpm index 8c0b460bbb6431ec3d9b8f7ecf0d3b64d7bc4703..a588ab5e0111e6a2348c9bd15dd0602c937adc50 100644 GIT binary patch delta 329 zcmV-P0k-~*1ds%fR)3ZO00000005%^00000000mI00000004LaR}d5+8!vIJoX-!w(=ZJH=ysK&pz6IIz-cyyNj~yh%a`;jk!* zcfsGxHSv5C&jZ0-Nd!2=s<`oaY~^#y`oMQbvb@l@SEK72J%8AaOf?__4`{06oT089 zlHHHGvLf>;w_muQkxBiMSJIqPvq_(GGV5eYGU?O_8E6pbaA*5_fzhSvoqaU5pQ)$$ z*!lTPRYTpF+BU|iwyT|)n$C|Wx_MBwo%Zd-G`bgM>s#C9>LX{Z|Jss^1$IPN%!rAa zf%gtA>OD!m4?ff}a%xMsy#AR454ObRuO-iMCb-~BT<7zQ7bkFwNIpYOIg@-dgGDoZ bBH136S(!Zltt?F-Ti~bUkOV&flaK*^+_{=B delta 327 zcmV-N0l5B<1dardR)3TM00000005%^00000000gG00000004Laa+Hxbb;x<#WsGKzB#HI5#(!qpNE(*nf^lIUoWJaLOZ%kXHm8Gl0e(s5j=%r_ diff --git a/38_decoder/db/38_decoder.cmp.cdb b/38_decoder/db/38_decoder.cmp.cdb index 6a432b9ae91d4b8faf313a4c8f5bc2eb52993542..3dc02b19b854307bc7fa28bf5507f03cdbde4780 100644 GIT binary patch delta 2335 zcmV+)3E=j?7x@;DR)1Rw00000007hj00000004Ol00000004La+?#8tRYerX=brMF zJSE4DXDClEB--?nTQjnqyqI-#;BCsNi2!c}t5j}_q>77D-kRbb1 zA1b(tz3in~R`;5_|8E}0eYg8D_ry8c9h^P0*Q~uB|1~pv&wo1CEX%S1W6ZwL{zD64 zuR5lsPAt>Rm>XkoVBjQOXNRHKM$RMQh&O7FRz*^z!GDHoEGhiEFej8=@JHKF(F^&L z^*6Whc@dSV?&9wYSKLDFh088lwtVrDrOOsa z-aj;g1HY@K@?dOP$GZcqB{;4TT34I{hcV~-#`?yXcYm^MSbb}5G9%EQQq`l-T#+oR zj-C0uzaN*Tvhh1^_v5I^j|ZFVoyYj`-!vHZBI_y5SHFfP@2W%eg5}T_1B(AB&4cLq|VAJ#tzJH?+*sKfKYH$Q!EJuLml$wHq!cmuC}4110|r+$%#*nd7<_uA*Uz;KqnpBT8Adw0 z+jIJW(Fb9k^fC_q3(%OTBc4-&`?XEew|{(ir8*;u>qWv1+6AuFNn_wyQHONL>SM$o zy51D^a#1ultmcXJ*^l+2%39q~XBzDQHh&*E1BF8y9HHzZH)D0KvO4%#;^ub-^kU6I z)oto~y&KhAAqv)@yG(Cu5_9=O?kbW)WaIgW4G~oUlVny?x1|{cv~9(P`&;B zwu}x#iT zxq4(O)DVt4cII&Ul73RF49BKNEF+;MZ*p^s>KM5Dj#>3$7iBk}#RYrT?bB|x=h zCa%1Fnf3x(H;(Uh<2*0fpnBh-V>#Jc0rh zDAQf`%#V?#i3WR0{k3eXE;{KY9HC~1G?K7stFP%qGRvw%a9leZRO@F~P5+_6e z^J!BG&oz~?Y`hbj$;8m7Z^=rMQ;IPR0kUWv&9XrkL;os)l#gSXagkQ!(`M}oG5iMv zR3P*(hQy7;Qd?U8a||*4(23!3vWDDOLJR=`<7r!(!7*OYVu4o1$4a0OLo(nL?)w)* zKFUatwWXC9%2KN?)y$jhI)CJ$LnnqDcR6z>#88}8wX+thVi=b41iphGLk`I#`r7hf zip7xMCCNo5#84o_k69O=x)|o;2;al16xQKdRSNh0TZj6D%t%PKrFDw!r5v-#nI^=L zcbvG{L@c!`hGl#Qe;tx^A#vK42U9GDyN z4~xy})=AlU8NHG+oj;{eA?gUCPNtO2mb&MA3xnpTVP|fuWmgVq0IOS9Dq)BWQv(Kh zp0SI!Re^zQiMmu*{(qM+V0rqYU?vQzejxYTYMW-mgn2(U=5s>b&V~uXq`aw2W>Fw3 z9fr81Y1^v6puSa?>Pqcw0LOm9(3>n&gkhz;X{#1tAi0#!Lb(HhXq^&ah*>BG5Smg_ zw#Xm#a#g;F4`PeVnOuA`-*@9RL@(az_~W{Ii)ZB7I7Ed4r+;)?-BJxcgod=$-{N)z z{|O$tuF1&+sbCC4H8M$~q{$=?ktUO4eVR%q;KQTfm3qe9D_;GxKLve` zs0+KJ-yt(i4r5k+=igA;Y27bUnuHc!ewXr7*W34let#HKvC?5hAC7$3Ms-D<HYM7Zx;|D1{Qhc)@9JQm>~{7=KZ^73r<{Gv*T|=S z<@(L@71!^YU>}%7S+qs`UI_N(c>*6lh;+*5WG8re(Z)nx zXpl<)^+l9>6eN{!5vWiL6gVyY{Qu^%&+O@UnX_f@16|4NnSWVpeQVaNHEX_^_3f&v zs-7`sSExUv2C-8OGo?m^MP}B~AohA+)bHMbME&*9ruwW(`sC--cTYhS!2e&w2Pom^ z`3U3Se|*gP>=%_+U84Vr0{+i9o+SyVG1uPz=hc_|^jB*(ZP;h^UfT{>v*EmCExS%$6E5o0HaBPk>n&A_^6O9ea!{m*samWKOK?$E z;HksIm%^t*hx&l5;6MgO&X+>{ z*hOZsd2OdLXJ|j9Uuv5j)ogW;Y_m}XIK@4^yKSESAnb?N zclhr0Z~D1m!8+euad*3Iwp=lO+gvoFZSL%_&3~qjn7`=fer`?c=GBgv-#!7`{BT6u z+}&ZDKXusV`@uF?sBYby>`mu>>*&`6dXsH`bed;qipeJ~^Yn4Xs^FLHK0Vj)NZtn*kHp)vz31Uzr!~3+!uM)M zkALr-U!3CU`5Mxl$9_fS&Xm(H-R9>o zP8~VG1G$cW3_2babZoNoS>N_LA|st+^uu{VLd*T2i-gtP-L9B`AGr4egsXMa=zrZM>KYvce zW8=7A6Gnp(N2(e3_u066iBe|gL&b?z{T#3}(YQ>Q1692B8J7{5E0{Oc_TxU2ja%+t zq_a-^x?)^b5Rr;TbMt*xSmp`eoSpwR^0=&8){3SiVB8lZ>kGmhHlQ%ydxd4LN`fTo z>XB?*sAYCuB2MO@SBY%LxKF92cz?3WAOwpt?%#z)M;}Azh<{(o#?_0xaNKk?4r{ur z!dq>0MrorfUt-bF1KOv3AIE{Hs*CP9nQbbCY=nE#k;%}+EGl(u!?9I;#}s~iUgQw< z$kn_p!EcG3o1b<)_yX={# z$=L(tJ*NG)ZmZ5G=_M>x^}0qRVbfPz0ZKBw?*8$G|u9@u5GPk(DGj5PcXNWEpjHRV{Nq^sf?7sUPciCERfH))@4$z;R4>^>P z0PAbiJwyP#)r2cpq{}BoWrv4Dg{evT9Py^7-ziJoNF2L+&T! z>I&m9^-r4*bG{pywSYLhS_Pm#xj57jvLa#E*Qk3~94fD1$SD^1kbifaxLJUf(&WQB zzJ-rNK0J|F>}v*lm=ATnH{?DGeHi1b%ZEAN!p9+RVG@|phdR|-&7ESFf3o*d4)o+$ z3w<~hW-WtEH^m{eoNwWMNP?6^Y+n;54yCdH8RC(XaQ((EN zV~T!ghhVenIw@OEqJLEbi}@>s0Iws6G9Rd0Ep5+_*9=;p?!Oy-)%j{bt41?G1ojLw zXdzT&wEHTUK^uWplj7hLX$CYe4+>VoG8GP$eUS`Dg#z-A7 zpP)cgxfxY^ZWXYm#DeJz_IOtDQ%2B2%OcY}zlE znLLHe!5?UiVYktunTF$)P-|)Q{ClXU|C1YYhdzS|@PD+W5j95Bpsl=V%zDjEsNMJ& zlHx#(zv>}mYzgB<61$_{AuBBoV=fm1e0*u*ii@n|rH%mujcR&Ll3|^!u@2j8@Zb_3w8I?f1`MKmYx9&cnHX-%xO% zAM377zc&l*SL)vvf_^bBqlUSDX9fTAeYZ`&pB3tNYN7p}4Env_;opr4AnYf-oqlm1 zdHk;AC?y{J3%~2>M}1dZU-6sTFXdBvD1M&^`dVQV_iXsd?f0xsNvWL3D?XA31Gq}x z+3NTP3`wJuFWkeDCrLy1ddgpDrgN}J%^jKta?_&!P8hvvT^a_v)fLtSTQ_@r9X;X{ YhOP8;+_XKXrLfZ5DgHkIvsVcs0@X6Z<^TWy diff --git a/38_decoder/db/38_decoder.cmp.hdb b/38_decoder/db/38_decoder.cmp.hdb index 47b0a2609fc4c9e213e3d440599978b8ba253905..eeda6462c59ff85c3234d2b51b63b8188b4e8285 100644 GIT binary patch delta 6935 zcmV+y8|dWNG~70jPJid`000000006Q00000006oM00000003MY00000004La?41d8 z9L1T(TfziLa5&9vG7!QMV_BnHKu8bL$ev|15~GnpkVIIAv4d?XmI!7K;{`$vAmPfA zeH)Tp0wGzF&1QFB_U*yGY*_9)$?oQD5{?kAaG5I*4txLq?tiYC?w+aodSqFaMb}eL zbyfZPtFOK~y1S~owRP*(ty{3Y-?FU6w!x9n;jxj?^&dp&UBpgh!=cu}*ml7-f$bg0 zfoI2lY=^iV%$|Nwi^_N#eUlq@tr~2>QQ$44l*a-aQ2BK)2Qnu)QI_=@;$Fq(1tWVr zjxhc3<`?4U6mRlhfjraZm#yTe{KIJs`(^EA{RUQJEbGadXIYz(+e=dNuuBa)_4!r2y<-ZqvmNDL98^moOZUb=}h}*ziX#;cp z3MMXJY=PJUu?1oa#1@DxFufMoqxR=D);vB-f!To-6X&c$sA3NDz1SCU6J5>5CS`=MaMLm~i^x{IT`t!s8nsp*K+cseH$S?m0{ikYw1IYG5QB_wT{m5cGjKZ$&UZ z;F!1V0%1-JqF4g--`1`Iuj)|*0WyrObQl-3aJ<7{0DMB_}Yt?pUv0okhv16 za-@H8vbLTApDBN4S7W*9^F6=T^HqGwr&P*y`FSB;9arx2sCT=zT>KI4z%6iok8*zb zL$yn$3ho6%?jbvdck0Qfsi)avTYES;^(O4;zKO^xah=lLZDA@@Dk}~_OK{^aQ3rXx zo2F7Nt7I)7s32l)CKeylabA%eXnooduW+YLsDMLc8iId>lHfvoWh#>l5~U)Lafo_} z7%&p-#jDp%OeT#Ka~cTgqN;f1Wn7!`IjvadndPr-#QZ<_;U!p>yOshSUuRJcI4W*mvXvba@D|#Ob*25P|YkB-9Y$ z!-Af01_XA(()+!2LnA8>LCk*Gj=}Z|=zJXf|AEii`xSiJgLS3bcx}TD$+CtwF4P?9 z#bbZBXd6U14QqRKBsSXLyH}62hmGL0`H*F?yu9Asbo16jX%{-$!QDgIlGgtIO90x% zvOdUx<3e{|k@VddC8w0)##D+&Z`)KU{`_aQp3C^%OG2<$V}0>J>st0uUN@DJ+&-(* zXM4t9&}wb=+sD<(U9PNDE^1mSo^jNZzI%Ux#Jyt3>6^un(+^e1?f=D4fE);tPVX#| zPERa`oL*N9IX$cxaz;9alw&C-*N$JO!ajeKZ~r3f^NiY?$qlxu;nFG8MZW6uQ*CN6 zD>G7nQbScmicN+&CY#iFC17gM5->G#378tb1gv;bPuvDz5RtmA)i^?S4b7ooeBy2pQv>^SWhmTqQVQ%|2QnB`U^cO zF6C+O*!AcmXW`aksD;>TR`wKyv$o^1kV#R<1ecc-$6j-G$+-b^-C z$mmCdyJfxZm67{^@RaN38`esN@Am{H>xyRtm8AR!WaL30G=f&fPdyoiQS!Gk@+1&* ztv>}~UntVwmrmeRkWVk|&!+N;jCS~b8V4L$I-ob-voxRT?#|?vCUE7TOc3^;!BLzx z!3ieUnaX#D(^dG{h}`eM@h*R+H`UjdS)NYxrSqATwj(|Vnpc{sj)Dw7UzO+=suBHS zRia<2ir!p}A}?1Z`jx8aSF565tBQUdv@oLnUPj)Kk+)>zZ5er2Mz+WZr%|fvq8wSO zI-sW719$~d<(1Pe)#fp;`aE{6HjnpJpT}<1=CL~tsD8klkE4W^z7Kzp4`Ecx^dx#y zg=KvS46O+a#F_5??nI%t(~VUltMZ@pZXfrRGuRJ^`RuVj2D@@b_+*gpUa zCkMNF@*Ox2WwVJwCY$a{oSrExOLV0&S)N`B8TTyXl(o>4Se9A3EYa7S*59xk2(dJx z;aq(X5OWz122tJR$f18#A90wcsNrnCP(}_1VlGQU7ILJFECS-3PH~sljSI65R9Nxe zH(a&HR|78wj6bV5NfDOzC8P@7;xZYzTt=>tkuS^0S7hW$8MzLKxn6IOg?vj!z7K>K zX}o(+bY%)*C~x-Ud%@C=A(o9erlof%eDh1bi%CPa-UWg+JQ;pmsi71H^f{$}#C;5f1+>k|g8 z&j*DH)1S#U0KlJN_U-~C3s(GNQOKWwaOSDH^s~l)3Wg;I;yCP9bbl6++Zd7KH-hnJ zwQA?HH${~6=imfWT_htH%gE<|NEf`9MqoZ4f%#$t=1YGOn9CwCmq%c(h`@XqOq8bd zm5AJx5xJ`(a#w>B8p<_5@Mq|l*UCb^DkFa(BiG5u*MM-G(M}}(B>?5Iqeqgr% zjE^UH*dQMc3IM*qYB|@>$;g#J_!v^Mp|1HOKIwtotD-tbHl%R;^(BR2q{#)W}i&O!K;4D5g2D5`UlM>G^4KnMsx;M*c`GYI^d z?D9QP$p3-hvxQt$9L(f8^6Bn$u8_(OMpy*B(9(JcuV$$HNb)BGq%N;R~`jnZj9huEj0Q_MC#n&%Sh_fVs~; zPe_?}C1N-^*_Y~e*6+IevzT!h=+O7yt3aELe6>hiBNEq*KG_V})h+$!etAF`0! zW#kSSxl50zIrj&F(Dq5~_02;f@vxUoL%n}i$ge!aFq`~q8F^Gj9+QzLfK+a7$|%2; zg*>T8l$57|?264allZ(|3{o8TCVqKye^L&E+R1KQ`EMWnGT)bTL)@(PBo&h{Un7D#wXJJ}L`YBqPVm$YL3(laVDrq*hrE#@ytRaz>3Z z(j?~7ED|ju(JCv_<{{aB?E*U;hv-)QsQXlyjPmG}o{#8b9m6iE15OYQTr!3?r zK%^4gA&a>)f|$F&nDxB}1Z#h?JKdS-*Ir@0DXa4b8R^6MMHr|GGI9nGbD0KYA!o|S zSu*lTAW}_!DgrYYfjL`NXGH`tLtxC-8y1NXkr)Lb)bvUjIY&lT$;fIMSp&pul(n*u zb)t}e6N!HpiCabD9T0-;x5&sru!kV!Xdq^*Es}-Q0g-2mmPDfJBT;|JNK`{4sxcDP z6p3n%M72bsZUQCL-XDPA&#)x=mPouU61zYPzGTv!!7DC&|7fr$r+?6OPtep!zld~z zN9gY$4it&wJwiW)yx1f3i|9=t(24YSOPc|39w3*-t3c^oCw42k-EsafSHm76@qUr` z00<~#TJ+gdM&^t8d{BQR_7aJ`MPeV3*jFU>6N&vrVu45;AQA_P#6co)FbJV`4-thN zDiVi@#6nq-!$lzpkvKvmjueRxgAi)23aVKMkpM z*g8*GGQNK7)1$+a6H7jG-iq~;=S?grtvdU5>qf@8Zd=iBfR=B-4M+bXT`9j9@Ht=U5KY^_Q4Y;kbIoaCY)Y#V6UYBfXYH4j~Y;JB# zHnlbc=J_7Mr-yu68F%^7Lcb|Zu)~@FU)ogI;1d|pLx+D^=$OuSr}ue9hS?x%w6noJ zRZY9BEB>1awm*1|qhjIqJRfndB9$)^y#k+olANVi9-xogr-xr!eQE8>T z-{&_sfD|2DHw%R5tzpx0 z2FnT5n45oc3GB2bM_ZbQhT9vGjYGr3BW*41?XAhymBTCATUHLOY#CMTAzOZNJvC;@ z7GT5w_V8sfJhY*1!`d}?LWr72a1`?!h_kE{`RT|D)gh&L?pgM1h=1JC^9NL@f}kFGuG_}Lb(v)dyT`l_xTO>M=Lrt25e8LunfY^#Tz zK_7q9cr}$ebL)muEt@}dCONvgbo=u0Jly6F9p&iyxGsKbb-+u^V7_KKykw2W>&6yc zye?mz-E@4V_*y8<_-fNw57p+cs~=r_C7n$5U#&hmUKjss*vYcq-g087p51s_GFbm} zHQsHf<>jq5-mTy0a@=|;=c|>YcofId6EC6 z!__#S?v28S`fpK=t3Nex`b9Bbm#;2fCr1|_lH_zw?$|*FI$%aQOZj;Zu>Xp7bYb6k}OVW4ZfKv=d|8RMxlA?dN z&QzpL?=gDawmD^oD5}qNo69q&1?cUjPnpvO2^222foWLRTSuP~Fz<{6F#TQ32X7?V z_u>5Iod+!}i`e)2!dP^(AMk~-B532E6BKzY+u0BM!gyNJ{;4mFbvgTIzVKp%f9?z8 z-q8MUUl`|T+xyo~Hf@IIwdoi2W!!(<4czUs#4IQ&FZ_7P$(0DT&;Jym_WACF+UNR> z+UJe8+UIJg+UJUi+UE+Y+UJ^&+UNR_+UF{Y+UJ^$+NZDfxwgc8tQ|SmgI=0*v4@K@ zUM@TCHl(v0bbQfxd;QmmSgw1E65C)d0_dZ%C_n;TaFLgLp>F;aSoMnw%9t>W5R)d)w|CAt{t2QdTpn&0`#yJ@HMS@b{}WmVFP$Hi%EBfl^^#gj^l<0 z_ycW>GD~TuHZj%uo9lKl zl`l@KJYMfCcA09MpX%5%7mk~%&32aKOl@~(DMK_pc@?z%&*7#vKb!O{=@NXAfmSlL z2~+t@X{G$Cs|S-VC7I>dC^LPWNtc}^&1AEgPTN^*Hq&~V>S2GTbur~<($kb?l37Y~ z-e|WupwqGUGY#L*2;9Rlo_V>?jg-dzQhadSD9Kqyu{M9`O7YHmsO?00@vi*f{ULex z{#_?u$KP0{zboewW@+<>&Lm%#?`(^A>#LS!v7JJB<#OY7b}NtPIj2(nPEC$Ze)Rb1 zDp$4oOFT-jO?H2lufI@#4XWnUbKU==lv7|ac>Nb zuryF?o!AC(JD>&Pb`aaZX$J9_821IIA=qwnh|ij|LwtYr6x(3N+ClhUCeZk%F)&m4 zg!@ma2s=cB>CJR{rRhzz)1(9p5(rG7441y^ej!CAOvSIoSfn;}ybAk$l~&2uag49T zPe+`}b2?;E#`e&)8Xdob`n<-|LmfBWK3?#0$~oO~XS2N1uuP@&!@p#l*77GI`(enW z&paNCDF1&5@Q;I4K94=xrzaNq?Vm-IrOFo7Kh(?TNuT^hi2Gk(*e|Qu$5y)G?wCMvfop!ACKS%yi@v%W!Rs zdQ)|5^I@E*cJUv_547+&e>>eC`}|1C_K+LP-2P#8x2-njCk-gW)IO_ezv^qSCV5Qr zK6X|yU+)8H71JcSLb}tRz&JiU>;C}&0RR7Z0en5k<(wqZ;9l?#$iYGi2s$Jq*YWB~YR)YHz6gvW5np}}X5 zSUoWrf$*h(DZ@8Ole}%puZiWi`x$aL0>WR&0Y=AVy^k9DRQ-iJu!JPoaZ1I-Vj-?B zbMbxytfGn6aF5aNA_rH+)w|HyzKKIBe^vq< zxo-d57KZi^OyvY^vlbb9-6!kWDHMh(h_&yB)F=8Y`L(%3DAijl84J7oe^l%Em3{0p z0IFuCNzY&im`#qI-pgJApjhzQaQhcP?OY(mEQX!8idf>}dc?5uhDy)0GewX+;z$Hp z=`wl)3U{=M-pH;zO*-^Q762{cJf#3msKSrhZ~0bdUt1U-8C6-VSMY&up84}&yor^= dHRw^4Z^lTit@qyiuZEbY2_XQk{09I4|Nqf$#Uua# delta 6691 zcmZ8lbx_q|vldVZk(6!@-5ika776K;Mv&&vM$^VPqD2JJtNtC@leKw&y zX2FflA${M5hz*YpTaB~Ky>!X>M5~8~l{sc}#Y3zZjttr75s4U#X0q6G#yFSJRhBrG zeTo*$V?M(D)v67h@huG8t~?lvUwPmS*gNrOPhNnRBh93a>6o2Y?ZkoaqSmxd`{RB| z1+=_e!t?I(XtCbh51@zH+R>0wlaW(V8{A1Bwua9QI0*5(GSrDz##;K3K-LN@CF^9D za6YL^ehVJ-Mh{4&2#OSOc+^;P%-8Nieg8LkPPB6~*^h7wy>b&ut72XX?>e@gegjcT z`~wCZR?s3-Izc{VZ%yZb%D?(B60~LXYsGd1&+a`{$Z`@!A4nd zt(F0R2%(a$SC^1?hEwRe%5MMEQ(=zzYvMApF|tQ@>k^?w5Jsjd*}!We%-z?(awqMx z62Pc8p!s>lo0YTw*Im^Mi&s6w5uA8Nv_PGdL*N|h|BBRowGX`Q;nhc9OXxdU>q_2~ zWmnMUE=kv?@*Xh2|Mo81r`O0KxZ!n=BdrsfE5#hu;#a@NSlR#0@Q-rdIuO2pT=9N* z8;P$^r7!Shfc!Aju0Nb%&!neUw0r+Fh$Q31^NKN`(yJQB{YwjFUVeZ_salqD+{6Fv z=Kr;4cFW=7u<=aHWQ~Ki)S%9{=SyA36Bh>jjRarQrKYz(ALyhoLQsd3Pb`k{c>>}4 z*BFz$$I>n9QZx}4g=m`r(8xQ!u)tN6#a-Tz2CeOD;4)2c!tnmbd$C=sF$O^6N4#&< zh#zhD^~y&HaEYUoN0y_Mfe13@_P8)qVnf%Hhr==pD~UPOYotbkwTkGGlIwA=NV ziifc`j32jCcB(?{MXEogQHjnrxZ1j3tnJ-;JT6_G9~oN73jLYwpx0tT+*;ctPvfh7 z&3jO3FC8u|_N%L}s(#4$6?Qr5sqlj8)j{#Mb3W1pQZH~_MQ$s%!q`rr!|lV*g-Hfo zGoTsErd4&0lnJKwdWoJ(AqGMMGQ!t>=A>*6I!Mufc4bAo{jzFdR>Elpj__??SytBUJ9-l3y(%B zx^xLdAjoIj-`WZ+tS(jyEr4Q|S&?+?ooA4h#y_J+ij!RKHNjL}OU%haAw_)Kwj^0? zA6AAAE0uGc`&fjXqNoUo<5uceIlgqK9Kpd^7k`?XdUV2>oh)#-?|WnG?@HBx>_0J2 z#zqz;Zv#A%r6c$_ytu56gm|a;OIu>{ve;rJ<~>UKoWe-l)5Y*B=vDZ&_O5!E1bjHo z4TUy(P2sSo5Y6EqbIV8K6BKRFtj*k54V__rTH4ni7^=suZ`tIDNX~K5KvwPqcy0>J zLo#iwAb=6HngBDh-V&g_=FKq;+RrYiy2Q9;x6GxgMKYU zd|7V1o)w*6o^`c`=hZgyL}vLBav-U#z?A?)A!{U!n1{>`${feT{zl#S<36YOo<^>n zdVKc`N5LUSe}7;rF|#*o#aKdX;E!Six>YN60xUexMb~lHs33*#Gy(vz=&XL1{Xz$d zL(Rdj0Y7%b%t?g-c91$6@9ZrfElv=9z_tTeODzQveS4Ow2`-}goZX8O-i&^p5*4kS znw4d2u7MCNqUx?+V=?JVW>1yTE1U{7`{Bn$e+dye3)gWqXQ0*d)*I6q1*o{fs( zRJ~4M|4p5&>4fqtL|NB9THJ2L(&@MhZ;5zZ>%XTnK>6WLs+k5#;M*T4B@v?B zPn6i2I=BHLkcAMSd6ySeFdO_iLRhv2M6DNPMh6FPVdK2CkT{@3l}VeR+2_ej)fD&< zvml9$t*6%%U7(iHes*KU2p>yHeD9XrJ8-PRHP)s(FmJZ3scdW?U8q^AU8g`0LjP}etahY5BD`m3adt=|pGKoem%Ql*nTTwA_8IR|o~ zH(XLWwG)yB#NH@o_p1J`!|eT70DiGdeS@JwISgiRz4ab^W=+}k=K|P;ai~VN;{`T?QIWg&-?$ab%Ap;`gn^9$ zs7OX04e~`e2r?_bRUX&B{7lVOSrGMKA?U;M4wFH@p4Aq&)z&An8#X+s+ydiZ1xkmh z3@~#olHkK$>-zxGQo1B^c|DVQFlybLu)Rdz-S6|N2cz&IEHC;??6s#sKLs=%Aa;bU zx<@c^o~9@b%WH&8)C?*$$GG?R7lR>bF&Q||dj)C^_^jw`2K)Q088|^?w4WTu5<=Zk z)~y089}>5WE2LayiNW5r%yVfE`oD}=@6(ff(cX(U*lZ&=8_@h*>Kpw*ONe) zwq?K&W>aZ-9P0A3eq&C-pzrtqR!je|jYs3@C&@>ZtBiK89gk>^EebG%9na4A&);o} zaJ9`vd5>e42!)IM_sKt;qkA%GPizoFSMqf2&v|iWycgYQ_4YWd{ScwbOp=hCkDLswD!$+q^J8}L166E=s9ND{4 zeVMqcOA6f2P?l8`+CC_xpseqK5#|o=M@@m|j^Q>z@yig!nW!8Tu}MK<|5Dsys1c@V ze6J1#*DCVUdanN|$5JMz4LcDBB87@hXT>98oy}Hw(sJ4C@XwIt=e%~URiFahQQ+(~ zy=$J*5(9xL3fK8JLMK#FuKDmw6Ob2p`8X0OY zyl%urh1J$OvUv_rI|{+dQj*3O_E$cJaV)H3sPlyBp9jufw36oQA9LPAh}O?hU)J&+ zHSDhnlTHZX>jy1v+-&5cOojUDR%2zoN+E!A91nW&>oAJq`%eMtnop!WGI6Qs3`+}a zo0jq$vJioHv{Q8j(yJJfhY_Er)UV0hyhl zepWC-N@3sHiVm+>N8l^3U}I8-Mq&(q^i55KT!~W6Kva#WQk%LTb0Hc=AG%oUo;b-2PYTCvCuB=`$G86q7**vO!(H$K#7r$bDcvG${|JyJo5JHb(6yJ?i zST5aDLW?*O3&TXomgvY$E+4f5uknS^Mue?r-hEC16u@{OpKGKa=O);V?75+@(qxce zC4fiuu;EP%nAfsczX{S0+a2Dzwq=xD{}0*^xCWD3m9{4y?Cck~NM?Yu-jK z2?l=aOIzIbZ{q}c4fcCkZBb9m{u-8Pk%_V7L8} zA{N*>z==8-0xum_5B$b{W`l^&MuRE4S8(jKVOSiaTh3fbo{2lE16$6FD`nb%SbCV2wE7}U&O)C-K?>t- ztFZzX3`PV-Xe#KU@KRXUa#+Q(Y-P)DnEtyzxnSNTA!c`uV94`BIwfKJh_?cAHXFe-X{240+*`$5Lwb!e*bh-D%-SpTf7injL4Xm%3Vx*c_ZO={e+Jg6 zDBEb?e&E>4{;^EL?=i6|Co)WTu7?*M-NTBLV=7~^hIgC3H$wY6@?7t|5sC}d_xN6* zS$STDBq+zpIYV-Ix-w3w#gswf87fw))9oQU=q~cc{{zD%NhF%wh7?Rli2;W=QdVx` z158MT0f!_KB)6f2Cv!y2h$U>u0L72=LD2U_$t2L9n!|+D7$i_-D(C0-cv=KkG*-XD zdGGavv(l?G_>n|E!=PGP#ac#b2?IcuO0kowSv22(str?CdPt#1cxXF;q| zq656A!>YV#Y&VFTa=)NOZ9;z5men@q$OrtDV^qIZ4=&*fw*D0E^YXd#TR5WiM#YAB zjO0xdQn;nvK%bwE5zo8sQnoJSU>*NHLrDy`tEu~_WkKY3I;#$(a5h=;_02nnSlf;x zRnFo%=uY9~CG=L?-p$_rXF&nbDm?vr<y$33B0aG-EU>KCr|JfP@8dVD&^@gsVrrU?Pa`S!b}OUsIKPl>KXMA4v`BZcCgLwR z-V3YcXuwLMYF-kLPm?!mHggV*V6(fEfe)o4@h8D|h2_Ijyeib2j?Lh$eX}Us$<&rn z0navO?E&1)g0s}a-1m7-wJG8;g45BgaXbc062n|fcl+0d$g*0%#N%dvArYGGcq-B? zjz6L2abau6URX0QmGNKo`jm?5Q})=)pZrcaqagq2+N0 z8_arKYP=6OY1=M?AlYMmw^SftE49t=zY#H-T6D%l=0nZ`q2g(FIXQ@IxZ2XWVePswEV9 z*YxQ+xx>L(XsXukG13j^{`Yv>GPr|DVT$a>@na=G>qQp31S1~eG6e zikD>_;jnbFpM7?d@*ssDumK?GT7p>}ivp;4Vj%FlUnI+s|tB=|h=ONk=X~l9I zF%4}5&dR!sZS>4<*@o{>uq|6x)7XVS&&XjAlBh9qdI?S#;50>7s?L(ESTvGL_{)9CyN0f8V^Ar&`9{>2(d^iZYqW#nzVyuFUftPT35;T)Tc( zkH6?J&O2l2d2!Sr;W>7T0ez7nIqu3;ljlJVB#qpVE~~_xX8av@h1n?%0B|Hbfa=#0vwb(N_*;RuJK9Ofe zt{;l-^)E(effi4;j0_8!PgAT+{atvI`r@NDi)L^Fdu`L^5lHvGgZ-)*e-DV~tam05 zaCl}I=Tcavd_E1zW2`AjsXzmWHj|wf&D)yjoETLLO{f7crxbML^t?ge;$`v7YKo<}ea7X!Gji2(N=_{9 zQ%h!D(irpI1Pi+gH#JF7RqXZMtD~;VPxXy{mAmyt>AC?+i9%2#`gK4k6}-$p={o(n zS(d8t2+3V?_@|Jw!mingJp2A?wp(SWYrblYg7G` zkKMdkKJ#PQ4VLW`e`y4bg`)vhfe{)J!Ih?pv^x4sZ=<%S+xV7%hw{XVP3MY<)fBfE z)hfvFQ3g}^Ux(=W1ACmIggUJ4q#@|+e3?krZbs#8W(H;E{objTPPyR)+&jrE{E%Zn z@uK&vEK4~3kf;79FmK;^xgglq;yT~DxD+Ru-x|xXA{`BVO|bYCWCZ+^Eh}E@3$9<} zsizi;OxxqB1S%XycI#ycg%U@U@qHACFv?wSu|;R!x>q^uY31eVnvkmUu%PHU`br$J zDD8k&Le^txu|!fD7GIYx>pzoEwK1>d5KLx|-f2%}x|!aUnL5Zk2Q1MazIk0JFj<^j zC^*fPxWO0UY(r_?unvgdxzV$kgC8klCc6Bo`mTAtux)5(Y0*W43uR{w z-S2Vsk;;m=9!uJH-p<2pyV^sUBWGMa%Nzx(_4|c&_cl_O;_?5q$t5a{N7R$27rHlM zb>n%=Sud0}fi>0lA2YYMknPkrjuC$S)fI>GlA9-zvfrH1vVjBtE*9nX&AS03yR}yE z&1)Ke3d@CGxXvc7bIvwXelqhRW79t>xBTKZ1&#Xs7AM?8ZkChV7qJT@(AP$1dS zlg#blwKb*}8ajz$g~F@yuSRBXQVea=81$6tZwX0#_i5XdMbJw%}O(&+H>xOWcfFa!}95ge56c30N-x&s`#$x z?vna+&@Y^{GJa&L`}aQ+?uQ-8fuHX*t35zGoL&r978|O0KWKm3aEy0IOKj~rblGHf zz)j-E^Jjd^uPy}?rse097l>BIM6<9RM!={|1owcJ=}*JdcJVIDMPA}3`sJ1sb@>%A+9Ru|ZlvVsuQ{0X zS{{B;CKYDfdP2AC7K2c&Wgy*a5psXgA2@>++_$l1hNJDd92pSp@-IhaPBa_{` zFXR(@Eqc*sZ*qeHJ-T?cCG9iV^Mo+?w7ccb)I^8$FIH~oBzKa?Z^T}1B|M8;dj=u* z6Wv9|dT?T?V}QVV%36uXHt`vz{43^o)}gT%AZWR3)wSN{uDK(zy+PY1^pv3iNrMOsxn1=jm7gO4W zC{Nw;f&*O%_SUvwSG7J~NO)m5rTLtjEtE5*dDMPNke{#wqe|QPXV?cS#P#Vz&|H5V zVNc+<&O~HJkkOgwn=ub9HzU#oMV@5Bnh`^*jf<}_)mkjrI(YW0xW(%EcGtCyax6N~ ziF^{S!;^X6^XoqW{&W+?X}GwUUY?j!osH;HRivJsY?hx%W{T?P&zLS&ch^+ShpFjq zL?9rbT3BEpyZ;9Nf3}*ble4A09U&(@BcYJ1rHu(8GYcCb;~xelb_QlfMovOyQzth| zV^cx}Lt|?~WJi!0?00Ii(_`d+U2l-!eaQ!bmfUkWe9ZJOA z^jhgAv)VA6IB+C)Q&@15c7`DoR5frc9BouF7i1k^rVFaNH{$LI{m}e?*lKL8F6xaZWN4pkl{GXfYEYg&0m_zf-+AX#xOol8pmu#Wv0rBz?y~13r>@#RPuK6* z<+jWgE?B?ZWtyEgE3%UfyXS6SW@YPrZMcG2`prLclLwva&j5T)qn;aB&$5>0{(COH zy9Kd9Fh^!U$8pQ|n`dP#xGv$K&WjeGzRrtRE%y-e@^Cne6uEi+o0cu|v$NWIzeQV5Uq7dv7l`qFXKRqBrv)VI0SUEzgnD-^@c=3K=t{DuzC#mgfd+V(y&8o0 z&lkO7njA&0n-4xf|IvA0u;J*!`)MGVy#|XCmIifiuU-2_g}1ou-oColy-)^l=xs*! zJRq1Q0b^7P{^knrc@U7{%>E9a00@vg^@9ZJ7IuhW9-XDMbUjZw^<8@097e6@!+I5% zE_Pl+MBplzD9%p9D4}~F0DvUx9SJY?{UvzD5g!&TU(;tb>%Z`}XgJFc5T=c_7wf`u zkwxOgehoQ``w3jLc*V3&`tg@7JrZnw+Exj#Odb*gy3ThMN2(F+EM!_kj_x^TX&>>3o1Z&Op{H z1n-WjfqGd8aD=~h+l0;j7s+meFf(j+1{GGrRW_S%mdzjOpVJ`b&u`AK0IR5=A|P~L zTefy148Mv~iwyXuO!>TVP2era`}OpmXm_nTUa5t!Eqw!~NLFV;GT6GvnQETsZ|CDe zlK%A%DQ>dCfBmt`%&_pxdpz8CIw|~+*9SIkduZrM&v-w+hm(_O`YL?fuh$uFxK$y3 zd&ea}9w*o_K=Fqwq1TUwWCAqaSB`9K#Q~xP_&fgfqHygs>+DDDw_(XHk9+zDJw|G> zkI_Es(h~rIA3EY%$F~y;r*%7~Ka96y)-~Y}9sKM0OY#02KcZTO#cKh#UkRN6xz&n= zZtew%O%N7`9R^ z%01QSc^sM6Q<=gkX|>BQF(~rD6=+^D(GFag{d<@B zQQfo}i(=JVha&ihM*j8tx6QOR>Vvj+d&a^%{h2`^NS2uqQSk&J$Rg?#IX~Pf8U0cI zMO8#|WhC}zh=Q*<5mA z@}k#tm$C{nT%I5r!+{!*ihSbuy>{JynJ^8>j+;Ex;L_%mxW54w4q^6>@L)|L#VAe=1JUGtAh;hF&=bz5_Aa_j zsxlOYDm)?1PH8aW@C?EbLVtX0~IE20g zADJjw0jQw^yM#CbS4im-nc1|2TI4|PN((fr;F*d#sCs91XkUoR3Y%-Q8{h!G!~j)MEe*@0qr3N_WHyLUVsMQHLi|AUIqWwuS~$aeQT&v zKchcmiH?=oIFm5_}@<0_N_7N;w=vtXR%}K7+m_B zr0luk$duJs-k6;dIdgJMPt;x`=JF%^9XpzYd4V*XM`JWWE72cH@iDK+i_4R@5om`9 z7)qz(oeLwqOg+7!I#P)~C`t#0FQb%?DNp`*fBRq5)O&`KMwjf&SEh)i9yfEQCZS1o z=POgiQcs#YQ2>pm9kcm=Fe89lt^s;$G^u#qbz6lj1{*7~GZ0_4QLAd8%e&&aND?W_@E+dsei)p5Sd+^>H8 z=D|dpkCY5X!$x*K_n8#$?`;d@#C*>wQa%A=^xxZBbN6=Ghs9>#Tb3QgMKagofxZ%! zN8#QNb)g5eRR&gG#@(SV`+f7{=Jb{yauOfzJv*mwwRH7OyQIk($sdo6FF-SpP+5V{ zkvn`Br{c#+kuOGyR)qPfhnrPJ zE-u6#H**dNY~0(?pU_n!|4Ht%j3af%IiAs>JiQ0{IZb8*m<@wEnEWB@GGA2kBES#r zGqrw!smAzA+}Fx}0oXOgjIj^Gz~y$4-_V@fH(@Bj;XlTQU;hL|=T1|F2F*=!H%S&) zv9}1TL)mNjzN~JLP%x-v%2?~B%AfQ0n)PQ5K9IQ9C;;*{4QtMUg-@YiH*j+9@V1y& z8ha41Gy+QOF=iI^5%<}7D!H_pK=LxqPaZG#zd1)#y@|a&Fch_x;rLbx|Iy!zqR6|e z0P;7PZ9C&|;GC`1(@49cK5lo`RD1bmlD*NRIlOJ^(l4=S=>Ir(O$ZzT>qTy_!I zT^~RD1ztDgUt_{bWC;vwXIa&@>2%v-lrM|8y@S^!Qc*b1DJPgm2;dO3wMUk9VDTT> z+rNQJgt0!z`D3Y%qgGYlIzgXl9>@1txQYvp+PS-&eH3`l4{-j!5wiR7EsMnK73zCG zr_ludL-sSK3?ja7HGw4g4fPHRr`V(+b~3kDlg5%(>uc;>d~MPkDjAUvTyDATk~+Nl~6f5U9xsaw?&ZeYIt z$aKsD)C32g^kBsnj^;WWCL`6^PlJ0xHXm! zS7nV}b;@0Bsw|^EjhRU5kGMP#v$pnG9X+;>*3zLJ zGENzEKopwn3-8kiKeM(Mh=rV-F3*74Y>mAeZ{CeqQz*COnG;V<>FNbN>yP<*hPSl* zjReD#ZyA9{t=N;!V9NXz&kiWZsgqCnR*}_S`)l;c%N^R&)rqUwYel#gX`a67)*p`voXu$p;W@U___&3&@b1}do3WMIsh#ajv+Y85)Uc(U#gngT}^!o zj_8Fe52je01GNRP1{tBvu461)X;aj==oG3jQI5|pObk`4rFO zU^sF#p7iv`tNk6LzmLDn9HCwY;fm#fYrg`VR84E2DsZx!#?^WNxpp0SH}4t7&L9Ux zudj&a1LV2(WSM5)8X=|Kt&P1p8$4XKc7oe9t9XPqgm(5p!U}B>hShX+Sa)`{w6!&M z3|MO#Yj>jj>Z{Py?osrOHMVx3hf=24e~Y)bDZBi%cfgz8@ov+tH@!Qg zLtDG8X+BoEi&Z)QhJj2?`t=#^?9^(Rc589h@a{lHnKWGP76&ueCHF!D^~4FqS80bM zw!5_>NVV3^4*$64tF=#;!bG%a*W#tLxm&BQPS=@_I;X)F<{*df`=hFS8>#O4 zn-t*tI>TyZL1GwQ`NM)~#Y8dOWDu5G^ZCpFThc?vc#^6%W(TSso?4=^5 zW;jz%PE`z%LA43QtB(J}36VGkJNZc|M4c+Q&KJbFiY3wRu)Hr~5gPk~W`Sl=s8>V3 zAmapiCY>hXAuSmnds^+YzcP>0V0`EH8Qg{?IS1yLW?=#0h?-&O1dXt?ikyVnKn{;G z*|uky=RVJ6yDm#TPr+ea{nUdPckZ0;w`gaJ?3O?LWaN9}0FOh$rJ@d&h}A$Nl*wVE z9>V!AXQDEfY<+6JzL|A5z3?y$`#B2oQTd&U78=_JoFC@Ns6Qk~C165l z7PS#xX;Svcef>~UeVFebPJsicKe7bxN?i3RY{Ld0qW9h#g!v}trB2>W+ZAF5TAh}3 z)vAM%uH2teSpjOi1DAKA9Mn*u3xuDe(PHCuXsL6~Tm`pqy6ms*$$6VU4hTJKT2-s_ zJ~@}bqvQAENPz^f!bW1=g+eL8~m7VKI=!)6-_4`=Yy6-AstW(3N9?Y{IQ*O5FKW zXXwGr0B&-@XiannWCfYD8x|gg6PuAMgRKN`My*VU5YEGQrhlnFz$)OQC zmx8hwl^ehc&$ouQb*xq3ihD`r8&c%Zas*ID;@*n>;MaY7d_!wSUwVG016wQ)*H@*_1fsf!h`K zhm1qo3CmcAuo*b%gOJk>;W4!bIY7WO=G*kFD`20>8vcj^dmt72LYFnpasxo~q%eyKO7^Z%3@BlORQ2Jjo%V zVk_<7#j>=i_0jS8Aw!`%j4 zv|}9vWUtz?k`0v52hT7;>(Eaa(avPjSWp6z-PGzxS2XuK8m^7C*C zZZpRs;UaFq&UF%x=lxyT*hLkWLB+uHUw&nOsq7NQOf?iUUI==22i^`UE}%tTYB0+E znRD)k49W#`GAn9TlhmlhA+qttww$#L0b_7^r9%!qNB|`s9kxw*SB~fkd1h#N-=gy{ zuMeRz`p@4v-N%RXk}DLn-GKIP25bdIw-?vi-G#1hgQl#4z`@-Z z$6(;Q9uU1SnO;0E!Z>HHPp$nJ`U{qnZJ)1%#|k22XO>z&9i9xPqRl>>`4wR|kPvs26H(dZMgg4tqL8@Y)8zjwoWJ$n2`Yr_}CCMdVr)70$8$rc;2T=EysOgIjgXQY$LjTfg6g<}~2}q3G zv2~gAnQ<2;c^$rRpj9Sdc|r$eQ3|fvx<`bXSCGujzu@c^BmKrLrxYImn zQlzlhTsYp-b5)r!6Lf9tR z?^_f)Qsd3Rz!-|?daF$g?+r&FqrjqJstpmhz1?Me8v&b2K;yt6;Hi2ww22b6abZ`y zVtjHup;6~rdLzS{P(OfBxgBi!w`_T&!Wc@fN`*iVz?q1{^L0uCOWq2 zg}Q%htZtu{OgITG93jvaA29%Z=+?J$#U12n6MzlgKodf`U?TT%AJ07t9AuJ~ zixKVlq~Wc7!NY#Rf~`bm?*|AeVoMjYhosH`$ zOpC^+jgg>Cmg|^6rrnuP{G)6}ie>{8l=aDyAkL_U7O8J^>si@}O@V(~qv819hs81D z=@Xn+-x>&VGEBaRDMySb6$lPDoC#qEAz*4fv#%s1Qn7A4M3zkJCN~3UGWs2bI?SE* z4dyfi8^y2NZ?1!u4-s@oanf}_qDE-oHMpHdvX9G25xiQwuR#Q2}z-*_mJn@`(E3pLTR_u_dr- zQNZqtzQ?#99z_uQEFFTYGw>>IbN1FuPq9(^{roqkLScKTEB#0+9A+pkI9b`G$N2cM z7M_iUF2Wx_JJ|}0cuuEqstOLC%dmcwN&&_^nD#gV+5mzN2jd6u;-zB?MuW`#Lps(e zCVe2WBubPsGx;7WAJ|Dx#iV2;xUlvG3&ad2A8f9e8YynEY1fKBLZHhy|uHoO_i%sU#Vadcb>IWf@AOF z(U(nzAGi0JHf9qc5&q`hWYU>GKXmZl=`+nbuIZ zxw?R=pklgG8dd&KkGxrxh8KBOASy=mIsY34{VKPU{g@e7)Ym9f#QMPe@afZ;u&+B9 z)L_A|{wxP_weHsm#Q^M47EJ9)6h|rh-2gvU!@R95#cEZ9&ani-j+Ou1FR zD}XnXuze-Ph1buMI$xRJx2dF?sQL~>A$sMe1yu>UH(T;4_KVbN!ROaq#6;u&14Ycs zpx+Wg+UTZ`$iSvuuHKE28=*PUNEpAB}ruA(#gy3 zeF&JxRJCQ$>4?XXTfE`usZrVL>4Ofueo$h`u}(Gc2$5CX4>}V7XBc*$k+j4LD(4?y zF>z=HIXCgbL`3BVa8XcXtnWXG0=#|VPSiqXPL^10$yBb370xp`DJh_u@B*1;p*v~% z7^f=5+fCXo{4Qmq;eVDJ#0r(VpofZT03PS zREAYx4zBwmAtbh&UP}5KW>ot2hp45vNGFcvtn;R3ni`91SKzG7$m1wj z@Xm9R@93r>VN5~p7|)Hj;L^0K`g~f>S?>7?t7uEtEoy4|mR%o2MT9)udR7fc)w97> znc4>*J6YgLGTwFhK;)TtNeRN`$dI!^@QK0PD%`c`X0}ucq3`L-oodDULo;MO{eRn( zHGQ*$A6mmABu0Wgif4qTH1o5$rs9^>zB;x7tE*leFcF#ti(CGd{lFDzAvtuxPO;|) zD&VHE7x9+qPV%9dBSjf^&>v8>R8Pi$~-*==R;GyK;L&5WP2(t|qn z-{eS=*D?cMzYcw^2}!`mcn}3RVfvRj72|wR(k2PlOy@SgJk6gRk{0F1?&A(%Uj`T%tqrSNer6W_3ZZaR@G1v8Ib0r0*nf%-D{JPM zVieT1+T9KBi1APasqND*z&25Mew~hp4~3F4z{n~LdP3Z$FCqIZQ)QBQ>-N(PzWtD= zVa+FGK5c_`@qHf{F498YD9R*s?FmfP!2UaDPWJVH%7+z;-K091?9NVYz~DS$%Cn)Z zR&-k{(NC2|AM=$om~Ee-UpyCY)_jp`C_|}D6RXn~oUFyfvi4cJ>_Vw<|LGlk%))M&^LF z3sir@Ul!uoj_A;r%0FdFr4)zzn=eG=lX}5AWn^OI_fxYoP!!AcJM~9r zy#x>TZXyiJgO=ueR}Q`3cM1^@F{{hi{Z-m887o8Y7^>Wng_dZvoDG}JirMtGcb?(w zb)_Vkw;$a}Ax~hWo4ZJxsmuq0xgExcVGD&tYjJ8|4;iyEsw2s_i)TwttJzgh;#i?R zk3$h0pnJ5xghmsLDTSlyg;+#^+Hhzwc>Slqpb+m+7AzNzzJj{3Cg2?TStQ{W#2Z(> z+6nI1Z%>G=hIpBbCGg6vaZ`srGbM>E)@dM;&`&5aJlB@rc`qDN0s<3_lL&IAo1Rb2 z@XwK8eZl>e;F{Epvt`xhJCY;lxpP>)oF($&lR669Amq}jPE2bi){SLg4GFJ{1m;Pb5hG#3**-gv)Y>Huwg-K!SMU3@5b#E@X7SbdjTWpy5Zq zAv>0=a0bpmqsfsl+u581X$!J>E@8?~qQ<<;l3C?Wg^f1Un1=*&yJ zt}ugilB`Sjp20$^Go_*Nm4DaoR-jk=`2()y6W$Gk2Wp&It&wV6X+hWpEaMYA^9v z7aQyB%4`#tCo{C+txAAgfqW3G7^={zYo(v%<(D=sr`n0}5}aypsh`T5Sc`d=L`Mfn z8v)ech8Y85&tPte9)J;1^z}(yq-h7eS$RYbIi#g)mfkR#j1Tm90-Bjp%gwRzMzmq@ z7b#&k-8Rk;2K_;^G3k9ggEZTai4R<8XQ&Q)1=}rhsG>LqQYF_Wb$*koPp^w&@r5XM zKmZO-L=$_L7P^1gvBBH5dRmw~94n)jP|D4-Tx-O_T3<`G*UG#d12jKpJ+^nETFFC^>}ixLDfD{RSuWW3*{Th>Y-wPO2X^wHXB4ErOBRG52-MZu z#_D5y#RP>(%czw|H)tQct5nA$CLgJn))w*~1F?F)*<&G97C#7o$f4bBKeX1AD6ftQ=k(SO5G2f%MqL5*tJyl`|p`n~b zZZT9bJXF4}DP~&^IZ!H8_d0A|;|dCM3rrbbKHgW`i+PPT4vpQ|{#W2Jq@soMYP-()Z@0G^G@!F;-5X1# z8k?B^Kse3Pz2&+@p9}fXl`0bFONh-wn5fj>%k7fYlvXIvCHH_W^86Kk6VWm~zf9?vGc zvAdd)W7fbk!h+LiIwE=li}b@K$P~CIM*Wdvxo+?ibEy-hZobnGse01JCg#3c^0~jzBlkvUJ z#WR>*6a}p~P9BEuGz5eFc3{9^XIfmj=x=E}_q_s7EtzCo_vq7qlZgtCO)6UydFj)1 zIr#*|`(tJ!9DgkyeRAHTKJ?h6-1{W~9{Tje3{0PJ^5mT0TF3)bHyD*upJFI~3|O`H znXI|7iqCyI9yQ&uXG@GQGiY*(>O#~!J*?qRm`fWZW>`#$ps)nwXJ%>VU1N7nJn&h1 zZhI+ZVvom2Y5!={5G2b|%jR@iQz*ygzuGd+J6My@=O+S|tkJrK$tFV_AERwcC>i`| z;R3f?9bXXyY8Y%Q#U(5;;q9JTdnSh`8KJ2z8$|6N}etCaV_kp#NQ2%%3@$K$m8L|XcQtm&&iAOzQ@;8qbfN% zL#<}RZAKs7Zu_+ut+g|_J*w1&vYB*_1xqO|Y3LlXD7=Aj3cfC#N=H*MF!~a&$C&7= zPYAAWHVOn%KL=p+C3D}VXmo^1hR&G<%SFN%YhEeEgruTtmM?)M8V|P$v zlghxfR0edA2jYXbD#l|1L?Z_ycSZ_=W`R~8MCnZ74^J!nM1h#671YW&+DoeN(F zwGgGtv@8t900>C2D#rw-FbdYg{I+UKzO=)8+;S~Fm{+5 zyEiH}A+_YBBT+A{KqD*Bfk9_qdeNou*YReO)|RDmaCh3C@~63Z4rD!Q)u{r?Y#WF% zo;llD+s(QPNo+f9$mpTb&8vw-Af^)TuX#m}lDpl6f>V!O zYzOW_M&m~McLNktY)E6K19H`=4#eqCu{D_+8_n0?AUcXExnZEK>ZpS*-dJNy6_yt@ z<8Qde)fgetCpvj4Za<|0d7`1+Z9$pbe0i%gZWrbC24T!W6i6#nIxTixIO7O2Gyu)5 zpp!U*lM^ZKPR*GUr+IBv%q32UMG$ zBneoJd5j>as-)f2Xijrk(TbMY49J-3&MM%`(pT^o(2?*W6H({d+jG5PAoroQZkPWILXbz6(N+<`;qzaVm%RCqx3wZ1Q?)Z73popp$(YHrDC=omSX-& z)&!^}u`@3_00KW+dmUaMHPKf-Tr}JtnrL0yqNj0&MlgLhFT)ys=uWv0jA`MEYf@sth(Oa2ib4F!E#p zG^5Hwt^_K9D!#p%x_Xy1PxyYZ$JCfaR>zcbj1w68WQ#E>O4Cx?fPvO{8&(C9%l*^? z0C+Y9*LFDS=vs12Sl7Ht5XOLTbVJG=f&JDtnRMd08#?6X;6o=e47^N5D4k6B13tvFmsU)EGPteAIb5Po`Uam9M65NJ zRHLN>#I$I2L*i9z7OLbMI4&avUSGHJ&Y_Wh3sY|%gfvCSsDcnk0ra0t{0h|WBG+XAmO%FszOV0F!z^zOE54#48j zAS2CxbH1JAvnOVv=(sCgsLY$qMa*m}uV;0>n82l=(V~81$Xquhsi{)`GIC40cG@-* zfSQSkqSHpY(b>+)P?q{s=$grR`i)h|hD3#t2kvz$jd%ClTT)=lyUuZO@f%yEu#U)W z5T%7VaBqd>@KE$E_(tW;wGmOQ(61oyrr;mAx50CMT{ZRT2%lfr0GyI;oBIFP1Zg%c zsg)o(U`aPP{RASOYe6hY{DZa$kL`!#_@9QZA*#-#7&p_Q)OS*56!jyMdR(;t2OLWh zrMb6rs>K|=20s)>)*5tu*>i-|(pG9`K*Ac8MF<-%J*bH^UzgxSK&cgaaoDs?H+&{x zk#df7zEy^dB_w5RH5kZgDRb&rtG!565}(az1{p>ysVGC1CanQH-6u_!21(*3y7hab z<*?>~T8xJ~ic|R-Wwhx+ny{~pMCIv`mpV&&1#aoMa}ta3&Z_M(Ku~pJb!RG!cy7H8 z*vG7RT7>2%nk^vnIkZx3GpaRkqXndbYk}pA$V}T3vPmUyW-yDYocTcN@0=1M(}|S! zNzOcHKKq}|iVTO+T9e6-8Nia-fb@nU+;C!IkipQ3 zOlR_jst|Jfj}|#~a;I9eC_t&o!rT&&sa0AVK)ulzjcR;)VY&*lR9z&@$jvsgOi`+o z1`{+XwJG%M)Bha-p6T!Z1kcO7F0M71sC1$) zZ%ZO7$M8f-{g2$}Pgrp_$Aua0AhV70Qut9H0tKxA(hXN5qR}2y<8;onP#&}=1H2;Y znG`(78XH&^)3vBnTf;J1E$khS^y<2<+H;0O>LyGo1l@tZBI^b1x8>JGV^&?$XY&VE z?JSI?<{XeYU%!|5j&}2{k8^seYi{Eh<`YF79ai}AiggVge5Rez2TWlV7Dk}Pu{mgH zlMJZEs?F|UB-WlDaPo}Zc%>lJv_1T?=wtt?RJ$R*C>cYat__|mhNwZEC|vcxa)yqs2aTSXXi~!wM~p%QJFdPh zMsVRwBo(rC`mK{Dqn26UFrN(@`-b*BYbbzN8g7w|042}r%cE_fgOsh#?X{#-LIQ!T zJG@*YTYokLB1%vNa%dRh6d?4`lXSe2zebiGv1w5Uqr$c&=2Rz(j@cs!$lFPyi;mK1 zIN@6ooi5u+!~B7Eig9w|bkH`qb|fc2aX2BF9g1KTeTidrQ>0T4l=8CSwJQMF^vV%2 zgsLU>q0*14oFs#!6(yUI2n`kdJNs0crPqk=$D@!`dKm=7+d;Euj_?9?e(v;UV-?ja zY@$~vaVH}W-0(i9t*|%Oc>7xk0?(0u*YC9mb0Etk(2_{JHbEfMPt@(tB2dfbX)OOG z&jXHRoSw=W{uKd5&v~sYpqFagHS#i(_$Ya*Xs-_^GL$KaVO!CMiykwj(!z!__t3chdw#Za1mfI#Cs7wtt{iy zW=apn83bk-JbcctKuuMqKg41uQ0+aD97c%d|^y+>D-YF5T z=n=gjq4!?cP8uQUE5YLv1}n2tyTE6IrG6q&nui*+Qi8hlxIOD+>gKiaS7;@G*I41N zseeQ-wBPyk!!ZE28zPsH@|Ztr8tao&ixiDXI_fWR8xbrmI*i9C%%ujUL2Pu?dcZ(Q z*mZ&|H3(-6`^eyl!Q%zmaub^5O}Q_7Wkl&}32~ZMa+HRZEVWT3+y7fIL@rG0%~hr$ zQXp9_N>$tv;>N>uMQPZ?P*g01QSc@KHpn$s4$0=$0!DJ|RtkE-QY~$5J zG8&AR9RqDyOq$8QOhcoyyQ#0I4esm;&~X;I*!$;Ht5mQ&6dy5g3W^vk{&A$Zsa2)w zT1O$lW8b7zvXoH|qI6mDJe%IFuiitvSk~D@&B2XKEY{pG`lf00?*LR8ZQKWabbq9@ z_xBoWtA_x&)sOD7(((>)-)Zf{Uv;O149{%yhgHYzZ1?X~N`&X4$F~K+hG!8CFEeL< z6sY@8QY3po{qD6a$bB^x&^42uQ(te+>sWO(P#}RgV`IRjvP(&d(oY5?ymQkE0qZ4% zO8ue9#v%&+?)d1B^X-KN(n1-VvDj`_$zMV zV*&fKZ2pYfdi}I!^N2YLO63mGCw|G7Qo?QNzVoTze|5`O%C9u=#Y=kT>uel>t8(HL zC>g7i{`L3Oq22|I@_IEklbOp-3cmg-P_<${ytJAZ`$$``QOXR#Kc8Mu7vQ1&*fc#X zHV8NzZ}&yye!#5`%x@lKc~+?r8bbzX;@mfIVp10?52ek_3{)C5vEzrz^groY_{97i zxDQ70L4(CG(sCC{3cJS@QV{xF&om>JlC4Xthh=czI}bKaY!3&K$J%;`fyGo`j*{}1 z#LRyybsXw2-HS^ZilKDRmu01usvpV-wqPYE_i=R%8|QIg8sr{nJc`9VUblf?0x5hT z?}mb}gWou$)5{}{zMnod>w_17zO8YZ3wF1Z*Oo*%w)R3JSHuvl5I3`9%xjEl2HVO1 zXkdg(A*#S-5l6>UMB<*t3Pj!@c}n&;tqbF~&8>{iH9)e96#KY1-e@Z851#m1GI}x7 zp5p6|MuWuD(%0=w)<167x-$-X3~#SG$Y4WaQ&=G_!%(B$qNy2|ff5ck@%&n&VTw`IM)Mtn=oO8jc4kSE_QhcGC~-J4vJw!W zi7Sv};s2_3Br`nfoCgqJ9}G%38quNA?rLgArpB{Wjg9MiY-MQQ=Iq&Ly2?-qI8x_3 zJdgG=wySvcnZi&G{MXV(>EAQBE%@s(Ocn6`U^c2Jox5@lPrmXwZj|8`&aS}DejI1d z7&|QZlz)Dl1du%^;Dr<`CvgWxd88i@x&>i9rXLW#1ra#}Q5$V+(QCG674z^h6#}Ph zW(OYYfkrx&4PdYbaq&bon9c^$+$~X#g%2A}zlI=hSi6Xd0|)%FcA*d?%3i+wIbN2F zFRtnKfnEgzO0{Z>+05(bLlm-<<8yhFVXUg)R86=O@z#^u`P;2f^W^;5W z=|(jY(Et-gq6IagTQ#yx9Fil#APy2Q4hq6pB_81)fJIg+xu3n3LBM@Ci+anx!($O8 z2wH)=1lq_t+%zFFT^jgw?FbB20PG=Kg*ZcvVBwX!9(LfAt&>48MT+*{Io0~bjg5dq zyhXsG(Lf}g%XfYcfT-RX__O&-Nus32@#5XQI-LgGLD3CjgTV)Dz{_p2Hs-qWTHmc& zeY=-ux;w+9$k124B*++=cH^-Lav)xUGO-v@i!4Q)dM#XyY$^e@3f!ZxQWCl%Y_-tu zZB1P0vCq3B$Y?mAY1bJWxc0@->E@zyeW2Y1CMQ2vtwjVjJMnzQD}U)yLMxmTWHdBr zW*Xc5!GS4~3;-zrMzS7tmeEl8q&s_TCt{^$w>CnmV~z z8k-U;0gSDQS%_6-h&4@(h{a7TUH&V};{^SW0|X?U>;D4CE!h8}9MAuv2k51*ma~bZ zn|@Pu0vo^f=$=L6YJK8i{3QjRkUGFJKfF<0Pslx3^$(Q#*3|O=F$3H$Aw=se?RGo_ zNNyxv{Q6JiOaI+pXUeg+Sp$mA{r9+TtEr@ywM6(xj73vqmU8|(+!^kbI-y_=Sz80t z5Nob!lS!0{x%7&;S#M`$d4BIdxBd)iAL^fZ9f=<--}LU7cP_QNSFa38=X*KpWd>k}Gp8td#r|ok zV8t5C2c=f(21l&zs`p)s`pLp+Rb zZ34o_i!KRGt|FJs2VV}qfjM9J0bs%16oKq^tywWst(uqjmR*DL3sPoxUrpm~C=)o` z7L#Tk8x$omJ+KLPeFg6{n3Ji@?iP=j6C`Q!3kk$6d>6$OSgAaJK1)6IQF_!AE~)R! zbQzcmUG|R%-$f`vQj?xuO6N9^6M~{^ShCo+Nbr;$DJ)o_M$mrJZsBFta7GX;{12`{ zj0^K=Cb1XWCF~5rJ9y39<%|LO$IoAxksPy=$Oq>s)tiZ^Q9;e_s4PJ!)Wjdj@ks{3AB=#_Pd2X#rvv0s zX0lEZcsEon)bj$+1Kic?#*^hQGW%8h^p2@%Py{Vkxh%mkj-CMj>{RfR8PmtrTkeN5s^9YzO^nR<%$Q-=lr0`-^PKKQ zlZl4>qrcPgZ<#H&2L{?o+q(rXi$xo)U?6Y%luN=M7WB`Z6$-71G{}~r;W-mrRRZBMIH9h zKX(4jn^e($pFuB|NwuHtA$C&k#gKG9z|qJtl(8@tILR_kSeU(9=6;*Bew@3rqH*)m zWxNyVqCH>$8F9i`$jAa!%$^e{{+TU&DO|MnDkTb%zF^k~9n)u-e0pJsGpPTmbBt6r z$pSjU*1aM$8N_PK>M_rJ1-R(Fd15}gCY$X&YbN5eZp48T#>D1Yv?TUXlH?*)2_r!s zBrtjWVEA_2^tdELa$bMJsYzI4FL>_o>v9aqM*sm+Jz(dx_1|73MWjKC{`ZI%j&_E# z@tw~aAnCW)%>3|@DQ`5nCSXM29E2$ytdG8x1VyUU^*(mSCn@$jEU>nCP_8{~bgdX{ z%-tq3*q=bKBDg0wUTy-BXAmhV$z>#XJ?Oj7AI{P++z=^l z*`D4|FPN6+3lgtX3#QuX(5ZXFf^{=MFkf~8uk{G4E*Wc3G;*KgEc1Kt2JTuA`ZN{$ zqPw5ru00bL0L4RmQ;g?-*6@TkmT2)F)a8rFUgRZxJ)9_FHDGqR%RhVa(`qE%$Zp)cr_(U5))cjxtv4YQQX=#&s&%lVu>luh~;*dnN z4nF1Y=4AMk5~Ajpjmu&`wXHHxAlnN z-%0JzZ~z05B!@+`7)(Z7UV*>*(cSOR@5OXKwpbRdt$&jg6FX{6C1Sd5sa@8iGe#@w zwi>mQFtfnA2A6~gZ5eig0O!+Slz9<_aVbfcSZ1T6CAAzkpD;CVy?5cT_HTabek5rj zA&ZccR(|j>o!bnAHdrdkWVu%M@>uz!P`Gr>7`Ae)I-Uj&Sls+kAhy3YHjs zCf#yJ2$hqG)zZ+0!ePXnw4bwE>%LNtljeCR2Aqf)`g4_mBo_+5+=}#zHSK-7pNocV z@JFAgtVQ8egORbO;yAVafR+}D&Bf1B%|;Llvoc}%NCpQ^&M0OJ@3DRt&>>1!(GkWm z|7L#3t}TOoh<D;V`aE2N0&Xh7)&U{QjKTc@jJY_6wcOW^_n~_(5DTx zP|Gy?WX=#AYc*Th#L!16>%J-)+UOT}bMtMHF z(2K{DzYb)J1QbTMb(se%tyD9uwlY$MAqc1oCy-rE;fPWg<4xi=pGkw)3RhTGR}2M= zjtR3pxVJS18!mSby8>l-steh?MyQRRob;yv(jGVfv^1JtWOR=6*DCxYARltIYOdFL zrx4R1^G})NadfgVKR%B}6}e0MUkvpb(rudG^x&2;=SA+&Z1Nk@Dez8w-HsmMsU*qa zgPhL5CRov_#!qK4Gs7QL>{Z@ydS@*&#LA>^%-A~l5Oc7ZX3U)dBb(3bM7VWfsI(F8 z_mp)2n)JhRxjb_&UujEJuyOTiwE0%IuJ`asKDpB_Nsnq+2amv;Reo?I-o{uM1_sU|IurA#fI(D;FqGGCtP!%?J?`2WAr z<%1A81sIFYE3RL^4Z!B2z*|z2hp_oT2w0F?8?e}~?May^^v0T;{@ON6K*&oTw`^B=6dfBIe2M2;_=^G z>Q1wDP5@~;KljZVs1s7d55wH`2560w*hh4?<^yQzPm~vb^6#IQdjLZV0vv~| z&Ip60+4L!)vV3Rc*O;dBul#tBK->~Xd5$K$uT)HL{0Yh4It@p%An(AivQHZa4Z6Fb zay+Ir?n&EXb5Ant+C$`6YG4v^j1OVnaLWCg)nDDb;P+II!`n=J#o2qEoNa0W27<>s1pl6Cid#u- zOVrEt${W9fGh~4y=o3=u_iIP+5q?0@UjC%96pU}?rZrVdU@)G^!YX|>*laIqR0Lu5 zQ~~{g&KX(b=E|YLCwg<6PK8Alm#kRJHg+7#bL>1R;0c64L;&72m78(Aip(F71v-AM zUd#(B&=Z(uzK0&~;FA`t%!8c5>~)}oR9=N{%HNIDF^a$pv#HU}Q?=rVG%!~SOX&hn zL}>5HpVVV{Z`}eH)pB21n$C#j%tG)}nhRpa!8rw*%FRugRiTr z%kh>H+CK%!Err<;g(3gs`!FlWgy;2Mwwk_4_-t$D+i-QK%b7^Oslk(WAWYw+;QsGS zaF}5W&&x>COWBUccf^`Kvkb1(NBd-qu$MXQfOm^b{iC~1hpxu2A>Dw!h6dMdWSrhd zc?*J@OSF5TS54e~Z1W!4EZGKBR4zvYA!gXU(Qh>&R(h3uc|Bltf_nNuY3TyH_ zX8#`8X12`^`4HBYi@wJEx?uIBZ~=nogia`>?XYOA&`hmZJtNI^QS;%2KVHC3$+3DW zF3v?{$y-Cu%2iY?F|I;(nBw%Vu$FPDMFk=OaKv1#p$X9hgK^w444j3bjZZ%&p^i`h zn7$34)AVVD=#NBMF2cNoQUr*j%`cOWSm@AXIp`M4G1K+Wt;F;>JGJI)-N>JTmsN&e zIeFwxX5pJK73{XP##7#4MaBN4skz!RL$Kez6VXtLbLi&*3#7d-H>`~>QZL5rkg-`c zQ5a0@i5#u?`rq7!Ejf_YrN()6IUrpoBLX4spKrIe=C+0|gN@borTWT= zB3FEbijKA%?G>j;+RV?A=B@Rr)R&ul(Kh@vh|-;z*II8jLTWVsmPXBu@sy?Kjyz)+0U0A(@4WWpH;mvM(R^E_p_QM zQh0CVN3Mkq&5kdzZegtM3^YeZHrLXxaQd~D2o9?Y4+%=2@0X3Nm7H zh!1sxIAxQ~FPuKth5hnC6Ew8rElTJ-(oI(+FL>(NbN1sOC)eDx^2xn!i>-gJtK*Wk zN>iBXxl_HUEttv~2;~6sUN#kmjQc6k3=w|6f|7{hSx7yv&D!R1v!7X4AnR_|vPhHP z{y_vX6-S`2eiV(Zl44s9%o2a9loG>;g}+BwM6CWiBt=dyhvx0UH@T|ZhybUON-+0w znM6IPJWed`A}hG3hyIZtqRWuJOnwl@z%I3?i`_KcS!KDZtt5kr1#=h5tXcWAh}TP( zkry+QeWN>CZM--bCo*yS=D)ENvJLJ0D}@@!G=m*&71RLVt38aPq|})`_^99OS--gs z72vJ<<@(S<3hm*Y%c_-`qn{J``c!z79l)efbhZ~HtXEki|2+4>!9Ew5%xD>*hlQ+C z$ei|t5py8M--lLLE@9cSpNv2-_5|FAzG3ppp_*@QI-gKv+FE{1P-#&=3AsBfRG(IEgN$6mhVgX#;m~ECbLF3gnB{ZMlZMbA z&LjDHNj9t+#bcyn2R=k0>~PBezR|Bm+OGyHG}M<^DWCsY;w(TqN>nwk7IO=_%s`vg zvv*Cf5g9CKTaBQ8^-l*HL3e3jhp3+T>qKjOX8JkT3RrT)Iz+7Yh6wMCvPC0~`$R*n za&W18I!DEvQ@!CEiyviDBpyZ0jHygV#sh83l4``ImuNV7Bg>cSGxPSyusY_UL zokrY5_l{Velf9QMyQRj))unk6jVQ<(MYsn9*E#k+hW66<&BF52VF50jJt9b${|Xp$ zF7l^?t`WWe?l_VMkE5&ZQ6oggIb9pVp4z(UKR7dnn?EbTJg%=vso5C#&X$sIo2x{| z3nnZ_LC|%$aZOz<`~`iha9g+xly)Ex%9B-sVnYCW$H;{S9kSp;)5H=B&b( zh!RmC;{*{Z477dYzaF81mmd>RTge)6=qUlw;EUh;rnhLM|`YK)pQ0Ze3ACQ}*U{}m6DWGEHRjS_NuWWNxlTUz30 zwj8`fz&3GSG0%wwG+r^;d+JSs#+DqQ^Sru2hy@H2nsLx;z9Ham13{>s6gQrd^r6SX zd?yS>$!^}|S1+F(aWSc;HI$Z?Eo>B4A!d@?!(w8P{;{i?r}qcQSMw!vlMtCMaL$G` z+DAdE;h<*!zNt5JojuE(M^%RyKX`P(kwplbB0jLiB^iBDN0yOc;nn^lu)iNpz;zCp zdz`D`h>CgR;^j&oZPo`?GLi!&g6~%|$A8D{4V$tkS~F506*?#-gHkL8+itWWO7~lo zz_Gh}<#p6Bpcvf(vQ>{BG5Bw{4seFFAR8cy9PB=)MN_6>x6YNE&iMq_iR_`~b7EHb z9y^ZFTLhxtM<(yxhc6(ceG+LuOYsI3i-lV@Uyx=1Lw2~{AVT8m;%01tRdTEuA*_KN8M@m!+q~OkTV>uO5`; zjm_<4 z?cjQZ_K`cAG)}|k)9z%4?9f8bRcpRdGw$m>F@$L3fxeRS_vsk7Hef$QbUzvgOR&B% zQV2xNnF>fTh0l%NRHbW4Y)!{7Xa2dDI;l%x-53@a?MH+1mC55k-$o%1yeV{hmSt2k?KmO_; zgRFFYT`4qlfH> z=;##5{j_wYT)|GZc2^NBgASTyMpKCaOz)2Wt&Fn7p0L9kS!LlN#sfgCKr zTAA{}+5>AvQG4CP#gVcQasxHiYKj+|BSBR4A@6+c{CNG-(7{DdV4%|TRu0-sZ<=25 z0>BJsHREk$C;Dm#Adm33?RICP&zHfN<^QR0KV5M=c3;pvP~to>oM#?tn|9%`a)?v$SC?rGD%=!$^&XPkscL%ao2)eva^o+;M)C3g)h!_2BjKJY#HjN*N zEj82GQ`fD`v7~L;k_hr zdPA>jwNN0Udw1W)WX{g;-KOCh^$N0={3V1LsNBPnnkNzZ>R0We$%gzy0yh^pE!buJ zv)DqN_sTU1KfwS;_QRGplct#pALYTc96glz?I^UhO0QWkG|UNCe$P2yzMpK+mc*Er znzpnRqg~0bz!3;P62_(cbly(6QN6Ex43trgdhL9BAj7hWXzSpwd3xfkydGHnz{c^gi zWYgW1A>aN~#Hw=6hq`hCJ8T}tzm>_ecuGVzJAdu8(dfRZk=1Wef?9}lC~@$$_db~d zC>3e3eLw~ETR=*#EMqQSjN;J3v;AWlng?LfG=#CsgT^S_p?O;`WBKro3)ws)+2_!# z9Cn0CBOHpH`x?=Yu)$AsXN!>ejt~wrIM$w-bfqk$b_ub_&C`DgMMSj@a)@44Kx)T8 zlxIm>U_=xuGBYX6EmC|15^Whfs&}`f5Jo6z-2+^IkK~^MU&fsaYdfZo;^x5G4rPs^>_ec@MQ7|q1_mu$a2g9Gv6IESaxDbTUWTSu`1FOU zC%Z_+=jJT)rDT{IOX^hOEKbVdE0!|Oa#QZ;q#|RCLu>;MN1Ab}+SGjC=;q8HeMQu@ z6zfQ|w1O%w;G!c!ZZAEm`yBpp!2dO}3ubpT$ChHg>+liFGxCxW_{o+bU54ZngSk|? zY1B?{sSrWm)l)dqj`4#J%(}n6b}g%aXA9rAMnp}Gki3`32u*Gf;B-wUsEBz6w18`> zU+r<=n*>W*7Ri6$gftWHy8KGE*AMW(U*;<0FV*vWzT*n^z&Cb7T{)bv@K9X%*^|O> zmo{5%OS@fdr`#J*2LIald0bFjj1=;Oy%OJw5=@=ZS?rRceS7LfeM6@ni}Yf6QB*Pf z7Mp9M+l1(Adi>hKvHj}mq^+oKAZyzc{5~ln|Je?rtq4Dy_Z>fq^>c457Ce#hQ`9b# zOqlrk^5@pRPYX)?td}O}(Y@{|N&Zw(QURQo3Hc|h-1lrdR4?#NNUxd$iiq$O(mrK{ZALy}jdupz~`eIM>b_xqqELrC?0I={UT6(@J%B^O636-*s{17yaY@(=Ui*seYV zWvJi0R|s;~-BYGkzOSfqdz`0IqQ~b}aej&Sb5C(BPSi7?u&9sp-K@6g#nH&}|K761$`}{kl z9B}u(4f7I}yF{t2vn2gi9ox@;mO~uxs|Y9Sxh6u0GYw6x@NM61Z-aNoyUT{r4(t$O z9L-(2$|57u`jwbK#U>1Sg41fCAbDF-TAX(2^3@En};4sSHrwT~#p@xy^g;8!$mSqZh`b+u-{_F*)%M}2d-#F}1`pZY&d>=(}f_8pcE zsUo5&vnAgCewjvX+%`W*a?V_%s=I=}7ZOz}a8v2&=!*d4>Ayl{8`Dm@cn*8yGoW)^ zrU;Gbvuv#%;h1&D)Qcm}Msgp9CURDuTyL9!)^0p{Ld5i`sI?LL^wEKH!_DLeyC{MC zEWHrFSdMs1 z53=kPAI&R+EI)(F+uIcx9VRhbfFG0V<`8v!`wj!Jlq#~)pepxudqg>$Xnq<59_0KN ztLIPAs-lF!^|AULlMrI;j9rm0kBWnOgg+a(f`aQ%C((ApI_n$WA&OEXrOu94sL9o- zvKM$sGLpyh?zFruvMJJ`6%=%*T{+>$mvE?^v_~=HV!OXvA@#S~RN?O&$oBoHeKRN3 z3h|l6{1Hkjf4;Hf#h0g}Oy>qPnoO=Kme62P>4EMHilizjWkl8E20F@P%Kh1uCUILj2np zvT|-SQPEFj8waHfdW97ybZh=v^?f8D#?e(e48do*X@C6_x)u%H71Un?tw-CWSW;ni zKsJb&KZE1PQz|Z{Xe7M|K_sW+EU;{5)K~^t4?*mb972bAtQSBN=x;I*3kpdpvk?>f zK@S$c1Yg@~EB68YEpU*wsZWCf=4phCB#BQVf5w7U`QSH7U;^`TD34Ykj!}~vP-YQw z>j~>a`pbNfbU_&14%37aM3_)q;-oyl5ufgxA!*q5IrQi!Y)ga<5nu%}k{A=Wn9WO; zFe{Or6R7koX~ds#Jgw59yw;2oeHYJ2)qz+(Kpv@YkrkWX5vD(A2CJtP1`SyJH#E<8 zrzX0h1IO$-xC}ypFfE;&avju=lzQlq%!86l@*9iV^k`oLVVfc}dF~+!y!d{R_K4o@iB)!HJn^1{^h-UO{5;x9;! zP#(mu$z+&}m7|Z6ikp`|PF3S03fOQFR4)q0U3Q_iO?smKg{FwvmG0t-n5D>gSq#|Y=+Q@@Ms#w1gP3TPRtqLz4L*RCvvDh{ zav}tS%c0aZskC*nN~Nt!Df98TM;3x~Tlwl!5p4b9ehXLIw8kL((Nbc)z?-jb#hB{J z7=)Z4e-kU;zg)Q*PFgY*!of`LfabYF@f=F;(q>q|WwEn;u_nL#mj2~*JROAqUN(_y z?zleWugZ|Mdc!oBUxQWi!m&z#_-9NcaTkQxBO9(%ci{N>@@h)mug3|ML@!dz!W`0` z5uVWObn~~fGg=G9x84~2A6sJ)^+qr7j+hXgx=!3@)Bcrmg}63;=PJS|l%Ps{;b7e0 zv>3p$7;MFQRAMMIfjBx|Gxa*^A(3#X(g=(vDVgwB0S0$GN9jgIcoHyK8*x6)o3w(^6sd>?tU2?{P4IGY zHO5bhSQb&s2plceEMY6G6>h*Mb96&QO4;gO#npNNMr(e8X&Q)sC@n5kj>gB-o)nQ0 z#!Gd+au9*-QDAd*pxwm5DwO~ z?mY6JwuP+`yqK?)0a@_Ktk~S=PAJY9CE1#eIMiWSb4zxD0~7iIy@~%7xNg|LXL8!S z@~u?y0xH(Jz-!{h0!++}Gg9O#N6=iO2fs{}o>T0r)1cSEu-*nfWRUFjIk#Jk>(VH_ z9~ID6WS0`%lN3u7mTUhvvjImb4K%HAgMs!54fE!s|S(p$6=3H~GD}$9E zat0ZxzSS@0Gc~|LF8jzN3xI|m@q^+L-~X4e;NKvTd{hs2)@KxnWh?k)3-`w3VuN>- z`%r4jcCH?-mtHl(MXQuijJV`^Mq4_O-hf^BboLQcpa3NA-H~}PDz5Q{Y$}H%niiYz z@C*dWU$&=@@{IZe$91SI3=}8oFYphh2sQ4SC?g(3Xw@&~Ui06*W!VNB8wdyPzX11X zppATDgZOu*gTprXiE&v|qjiP=W*9N;lL(m(!s>`YYh?j^;NG=&x*s1oK7w9Rh9E3bA z;~fB*9dm+@@;drMgH6h4ymN`ediHBTW>WKlaaoim>eLuj{u zzq5})B9>`^dkHCvE23-vc)Z}l8Ri5Q#I1xo?FCzdEzSbS|Fjvfq@K)Ea@QT%8u@Iz zGe$A9FKVxQ0ojnXv%A@@xf0y0+S>4MRem4-zW$Oq`u^uNf4@`&Rc0iRJ)IHxNVp8O z8+J}?dy&qaYjWH`&;cW`YbG3$r|CBw@yFJ_8VzMHesfErS&?o(X`a{j5Q3rh{4#Zk z9Lr5Ys5(B}iw0hqe~ma!FX+7t4(-_ydMp~Hd362 ztX-tYD(amP8ttIw_<}3raeR+L%U;Hnu#|eI8_3?g4_WIvrD#xj|-6MM-%06hv2J0xO3v)JWzR?BM2FcY`PA z_Mgs%yN*>DElcfacECjRAP=B? z^hAXAAZRqTcA{uzWM%L~t3HzTkk}9T_#=O_^th3nTw zpyrUS)Q95Ml#I~Ja1;+i^yI6!!SfmSaKDor(j=qjk7`@M+VR(8-It zo94tLkno~{UoZ0Fh%_(9nID?KdqUn*sMTfi^JZ&SP3bg`^NWrKj%sNuRmwUb*cOiu zv-L5n0EX7NRmhEbz}YSPaH9|^66y}Cf8#4iZpwQ9&}!Uj)SJW5dVHEu8~_@E+*uz0 z@ZC3{lqONEj_O}&O&*&ey9tr@X8(BXDG;_zw<5AMHto#*0oU9MgpdEGx56Dxs^7`e zdUCR+b!PRlpUdfbZ3X}^^v%Z2m)6_VFVxM;{57j{bedva!{V!3tIhtAMqD%~qYkFX zO_E{Nt6T3CAOhb^wQQGwc>b)zi@*)5V;Z9+T%K>U=mvI|%8begc3RKRDXfzwbF8a_Xh)>qjCc(C4fhh!|5f1f*!jgXo6vd=@*9t8-0K$N)9|A#K;nUj;i8KkTG}F@B z;Wq=n4=(#V*0EN_$1HRZ#0akUwc87_;PFw$$>ok=90(mJM{&o)C|pG3vfeYw z^=_t?3vuCetFg(Fh%N@f%Yskk^@i;)X^ys&>I*(I6ts$55lDYwsqe)YoX4T-L=Ts6_Wwlv3q#CW`Q-ayW#&TG{d^;&IS^4P_j*B{Lh*gw3z=tP0sB z7Mz5b0p*B6$1qY1Kg&ZJLTn@dIB@f7^@oj8Z!gE1!ER{rrL`oH+n7J<`pKu(f}=Kq z<4k7FN&R(EOu{I(NsJd2=)_kvUd5=-AvKYPHow;ZVKdG(n+EP9LQUc}Ck>n@;1&=_)6h)a0fQCRQ+*UIR(9y0#6Uf&Eb6W>a`t@P;h5LubGVNyrQ%G81BB z6FI2kTB^%S@enOKI9_i7v+0 z(f$7+jWK!$_D^q1Fb9eQ%ozjZ0Fh2&ZdSpO6hPPK!l^}+(3~y{R&KHXa_e%)o)U#q zpD5yZx_0CVv@mf(G)R9x5`88|yvMkqNd6OVQ_C~ekd3qXcu$7AW7uGhU z$(0&VT~59!#m=d&W;_5A%7&;epDqsTA?*C*HQF?aUm|)PoYc z@s4B=ui`XZmtE=)TD7-q&+J-turig5a0R5{*`IIA+0_)?FDs~ryW2P_tVTUd9QR=y z!97%}rt;zu78wF5+>n-9g@qG7S(vpscqzGP6U#VmPxCL7Y4ZCwp%>Hu`zlS^m6Mot z>VnV6>=snTTNfT3*@}(M1FfZ%Tx;XT1B@|3IG_jT5T^=Ys(-o=I2d_tM3{bwoM84+ zPcjCPPK%WDMrfLM0By8xTMmbm^?Aq!>vrixGkQ^QCzaEX_QD%oS#rV0C{ zm=vE|k}Nx7i^ePFAd4kCLa$|oZ%1}C?*TMEqFv&Wip^leA2q^DyJXsh=ZFo!fkiNb z9FpQ>FT?CANT;SCcZw*Un)=1@=kq0-lTj;jcej)G)3d9wo*g8C=is-^o{$}We~Ecu z0&)8UFJvn8knO3)W%-Pcu7H*|+(_Et|6bAEa-M1vNM!1^!8R1IGlcqU3g=>o4*q&3-?x~ z!POGu0zfeH=~Fi8v#$-SqiqKU^Ma5i{be}Kmd))3Dja+47$cpRKow5x$~*#jqJEWw zhktLjY6(8-vIQ{uZM$tUP_smgYX*os_aj3yaZA}j=bq6Tnv9mIs^|Nx4VaB4z;6~2 z-hrj4-0Ms^9hrU1`v0}+CA=;8(-=`cCgrb^WT`sae&yV;RcsDQE}{8KynyxmldF*q9-!F#5|x6uJ5 z4N_!LoG3m7Q`UQ?AA1G_J>Nnd74U!&!Kp(SOuFgSjFo1M`;v68SQqT#R7f?IX*5l+5?I< zvv@NXE_Pq?5c7`d9jBw^%vMGzmSU-Bbq`P**v`wn(22?IETM4Ad~=I3$uq5WkFmN58uduDhALSY6SVLeTmoy}K>nrx5Pdx9FQau~cjB zIl&y4L|l>(Ssas%#$CbFcmk8+NZ4inZqoear-$VETOcXF*ezVL?>_s|S-3qVXeJUG z)TInhajk|eI@XcPb7l8bl9vDyZ*9Oz^#W=JjY)Tj$IyPr%aHaq&c95WEu#6phXikAQxXtMPXeuKjx*e^T-z zvbZ`xK=8EX86a?sj4F)BnF-1DjBq;Vit1UXW5|e4 z`tVQ>LenOE)PH|$Ane}6-A@uSy8>&^iFOQg3Acp=^%8iy`#O;&Mg0FZDBI2E`@67XPseUT zN{x1az_-EA#&>`qx51-C%4%XlOLT$jP2--Rjfy0U3NaGI71iM4cDh@t z6s`gxh=qya;(%4~4eCb{6dY{t6zPTSk+}DD?oWfiguTP`Vd&emMPxN{(6S{8G{3s!32fT8ox*wA%& z#4lH4g5C$H**hlfwPZcm>&czFKjl$}X$k`T0bju4@IU^OE;DFsfsjvCjyI@%hrfW8 z;ujSX>erKHS+>j=Gd8hp zYWm?PrlxmXsNS9THaAV&*IYNTX#(S)0$r*054ESobuGGX%$S8(?nC-rLmI}UObe~f z8)JTx;a4&JHD1|g`-{f)V(+FGCbs!8wAcukExZhcNQQ|0D=y2LHPSV%i74t!J~j^HA#ZpVUTf=7}zIIgiK_Wa54Ue41}t@ zXw&LA+Lg7S)Pj@#jpt~DCq`ZBw`W8h6y5ksO@6NI@IVdE%Z^@6HmnxH*Z+W$+BN>D zjX37mZmMAD0He2qKH&<*n!TH4&YEEDI>HV+dn0fcM}PWn>U1r&{dxzIJQmWO7%c52 zV_7dmq~|MRZKwDNtxCZ~XjOzgqAC_r2wDX>($)v9eN=0vxb(rZc6Ljo9ZFkQ=OdC! z(+9F|e|(3+ z^)6SR^?&6trY-hM4}lJUdO6r^^SD8`UUDk*SFRN)ZDG^Lv`uz}IL@fto3!OV?8!p@ z$Yb`8yF2tJeZ}93KI7xVu8(|MwNmk?k443w@&sBiKKEc~>$0!-V~@l2Ueu>Q9wLlg z8u_F8{hNY)<~9^97#|hS`7X522Q{zux2*?eP=7~uj`9xHyyO9AtNAPIE!WDJw8gyS zX*{nU!M0XGf#ZC^#pf$^W&e1r=waM(Zmg}GJ8gku4e@!@;mGlLpX+ekEpZ)zBW6t} zN?SZg$$Qop=4o#Czj@xXe{r3Yj~<0T9-;V)*Fnr7j`h~9gE?Yc-8!oGZN7i3Ek3sx zcYi<=OP5k7<2U3pQPa^s@neV}Rci=r;uVtwH=Vhf4q30{xuMgD6hF~Oq_F%ERg+C= z{M{P==2gbLt;9U>b(TG-{>9fAvs?W=>f?Wd>p$z}ds_InWPd?@@Q=9u(4q4vWiML!Ak$lML~e$XHY z|6`~7@Go3G!Wi#gdysgYZ_kGR{eLe0I{(~-uz!3LzrV9oKXyNTEVS>h(zkI)f{Wkh zAU08Ae}f)KA93M;j6wlTu{x@P!(0@|v-5lZdIqMq#{lec7;oW;a z@LGzG3EzzHFMrUP$Ba;ZbH{bmdxM1F(i+#n!(7H(A}}(S3fySmM&zs1DoEE3g|9Q~ zn;|3e1>=u`f{`g0*aEr1sDB<9;}*4WEpWXIFh)uUMy90T!ea=ec3^E delta 1611 zcmV-R2DJH$5uy>0R)3BL00000008X+00000005#000000004La$itqd=@vP=9%Kwl8SCtoS zdTmF$77IcJob<08)C_CPy405EY7G!{qeXrE9O`hRcAbS$+k-gQat3+avzzP(Sz z5-$x;&r`&|yz62cK<*yP}AfoC@t#vBIS-bh=F2WLJn|kE*pvTgAnm^s+}D zvwoc2p*_iK_AcquKQ4A%@@>^h&7Llcnmy$St*3v*gQ2a%zGja#4&&X_r#&7b%-s;# zqx$Vl!8&sqs`d1bif4a&t@812d>2|9_3@4Ayta1NK(mufyvz7}pd>{k+ZBkJ#dUi*bwk zuzzH!uan|%rKT74BIdh8qOIBpcpl6j;iww&9fkZt@uvd0;fvY>?%?0L%Cr8@zdN(!ZSXiOJ|d?#;?DFo7q{f~%$b8h`JKun=dD9`gDslItl2e-;W@;NsxcR; zB+h-hz8oi^9GH#?VJBi_M7~-GfOG% z*VBBVe^u)_#(Eb16EE%+EiuOW8R7Rt>%UON-hqFj0k3`aYo-0{z&|4V_Q?J##mAfc zUlD#Z!mGTkCB|4kxYfDc5q@qJ|L0|YSA-w8WHqBfzwvtK9=fg)u%1@Gm4CG2KHIJ2 zjPS=Qe=1ypxeX zqd@}Iz#7~#=G>I#Si}CUx(jR^_s{Hd?gKjvr3C-NTxT9LkNcsi-Usl9L+hY66ViE} zafm?h94gR&X8UGUNo-yxrUQW9pp!DqQm$TpB z1ieAdAYc_LJM1!+gEh2iJicMN_kVc0D=Qox=6(JPuRf_Nf{ z$jBsMHAsHbpvs@_sFTX{(r8Q(tk!lZW|{`(k4}DaEe1(8uc!yuOaFR3AToX8@7}gf z{5^Q<$15*_Q9n6^bfK?MDErfTC(!%|TkQgNNEW|3$=tqfTCkb1NSkkU2n^0kEI#mC z6*z(cBRerm_$oC^kEQlvs?lpF@-gRZBi1k6Z8V9a__pYuRj0H*3Mx~U)Yc*KBk2Zz zkD#q^HCyxjoyNi;>%+{nAfx+WV@YJ;!#?yl7OGPDanx&o1W-L2W~+T5grZ4Owa_i4 zmz53!o!Rktdjh;n?ABpN8GPoa#w=suxa!Dp)M@oC!?!-cf2HlwIGhQlZ~4*Vp*eBs z|ECu6Sx%6j;g+QK%YaGJ9Uz&Z;dLv4#)xlazbG{n;W-~tUKTLS*Kpdik-)ZGhhtPD z_H`{M^B9?vjLt9J!^h&Jbv4&8=h)8$bSOL^tx?k(Y4lQVxgt{9Li)+}EhqYI=+4Ks zH&QdW#^{Gw8H4MTCVi!D{Nrmi;@xhSNjjb4r=9kmrsI#>ovAUIw`T``Dl9JL5^iRh z7F&E=_lVfSzq#2?`C?8yocn})gv3iIajjcX%E z3(>e5$luc3?Y^1{*2}7#gV>=c^5&u}63bia%m2U2`dliJRa=aw!f z=y{VpnRiefH7MWo)Sr3fY%mdfoLo5GgGL?&2xZ7>1Uo?!q^Y36xOxC`djdrWJ(FE&i7t2;o3UEihPy5)iraSaeZwW<3; z#8!`Qg-tgK5o)S9(Dn_?bVVtjzJ}>OJ_M9awpSBT`nzA2t&s8n@XzQ4Y#jf^&s#Z z^^Sajm!@b0h={#Z0E@1}TrK;!93KZz1r0Koso}Kibh7z7!DEIVW3NG6+gH1j6=3Il zldC*+F{A66!+ve;^-4-J5+HZ^Xo<#G-@AL|Uf0z6;?d+ZbY-VDX91u@%A^&nX~UwG z?DZfASTu5LE57wtB457xp?y0S62rawtK0cEcQc9Z)vwshxtKT19h5(xnkE#Uax;(cax({RUoPpafT*U@W1qMGPW`FPFaF{+AoCJM6 zE$ebR^ZS(B4IO|mWa|@2NA7=t*i4maswI56hxCc!Q+hl9!75scfYV=abE&@eDWRBlpMiS;;D=7P=-`_$}t+ea-33%3!|xLTol~8+81H)A%%2fO-sUA7o!)Sb+S$n$Xl`DsJHGWYEs2Kb#erjd)G?eu2-MlLnm z->NO`U0&7nQ(QoTBKM03`mT zuI&qtx8_NSCve#6bfN53(GF->EBO&h8LDa#?r*)E)=9)f$!_5ZKB**x$uwKyN8RQk zs8Z#5+4~{FGDqgw;GQ4pEutILVI93f2BFBgtDrDXBNqlt0l`tFEyq4nZVw0D0@)MZ z``|0{1C}z`#x8aUZvWNc3^x|(ElQcn(&MEMsLorRt(NOT{7eZ{)phyz2xzy1&+Z}? zXejuYRDIu@+PpEzRWI3G8Ucx@FKuaBhv*pbmbIYThIToW6HmgHPO6=oKgLHDqjUVR z$Pu(FHSt#w&GZmVj)@Q;eKT+04Xd`lqi|9-bYrJ|JDfS2PgQFnOUO0hNY0)@x~zpl zQPIoAu-qB+6X{enB@sbt-t+rN$MN){?p9;^>N*3Pwje5SduF@5cR=CZQ)k&h)-t8` z#+dv$2i3;bM>XVcuqanszBJ7SVW}2xG1^%kb%?DD8^g zle4S^+wxmUE#5+oafg54h!)s{X5K{~|IqWxgyIxMa&BxL=KeB(HmmY>Z#i__!%YMM zOId<9!?YSlo2BjCx>^ZT^HP5#474jn0FTc(ty?t{XxKYSgP)z~%hDpS&i4Y)_vcI)5x{e|Nk!B;R;e5gIS= z+^2#wrX2HA!X!qh1F`v7S_z;o6*FU|1y2oyets z6`5gnV;9Lh1C2GKtQ#{Ur25TqdB*ukqdZ zD=9{94OhL}WZBcD!4z}8f(!Y#HKgTIz`B9=>lw;|?MG4EOj?T5f@GxSm|uTBPv0c3 zq{OZN>X7)B_<`blE`b^@m zs%dr0O+U`n!v~d*&xHKkY)%{L&A^mCM&@mGLNJoDvt?}Y;Ch>Az0hKJDK)!fzIX8O z=3{ljVU)77ajEP#f41Fd7ngM$N%=Z6d-_5dVuV8o(|1lEMrTIYbKpC0ns8#ZBhh|!cRv1?3 z|JZ_pA;VZ_@9(~U%qo#DY1hO|W2S(*6){ujz0Y!R`hUzdaY2EbgA?$N)uk6r(gF$e zwVTRDPx?yG`CQFz|2t=Pk!#{_l;5QP*f)Ax9)(kQd^|R07w@|AU=^}opMDAcWBLrX z|7U5L3Mr%^+-kcrA*Ud#y-eaYff9OWdtwr`(~GW%w&fqK?7BsOv8{0=Q2XsNLZG zG;rXH@B7pCUI@u2xce_|`30U;G@29pUZQ#*OHtyF%Td&PvOlkK-3##-SPSu8tJr_=m(MOZ+st+&&-C9n9n7G&+HU4zZX_& zV7hJ-)1h~jO7eXKsW0!1_@r%U|I8Xg`oAUpFG~O0OaIHb|0i`R&@oP!l@Eq~RYXoZ z3;ndmHW2@j)ZIhfKL)aQD#8S?6Caxy?;IO2GNm-rT|oz}=p)}`!l_u6IW>yM7ZPS2}bYVI|y zr(QTAD9F%jt*?8Fhv#vf7sS&P93N#60C#J2s>Y_~CI;Nw zQ^#Leu%y^b%M?AoT}cYYV4o=X&7S6TxBAI0T=lPx5l1pF|JY=YWXiiF;;TH~qiXte z=5C?HcRZMSCuNX4Rw{Sq-O}<{gfrgIj})-}hfSwaOr+?xP+ixPIhj{3z@5C}#O2y` zTi4$%oo}j~?Fzv|i~%htzjmnBE5GITL~el`)vhXpsKIL7b<9?6Vcq}uP%~!nLqz9z z>9>{Umi1Jrab}#bcNK)Q?BZPovGXXYi^&@nl86a{^{=6Lv2wW2@~_Dzo@Z1$`B!xv z*9A>E{;O{u=^TOih_B#2DhBrL>tWcjN;H3t>Sp(Qi&-iElcnClS(ebc?X3;0d=+fI z{X4dpYO^aookk+kWbeQqiSVNo8T1yK2KSc%z0#fr5V7*+n%`Ib#PSv<+HsoYrCyOS{L`3*Mw#&MB{;)qc`ts zwAhk1x@dkaR?@oN!{nuVGwZv=fy{=$-2}7i=al~l@^5Rs?d_H9{ZzENQ?$QFG5OhX zc`J1#V*BTt0SS0Cw&y;0C4REDy!yFvdlgEPUCv(b&dXtYTG)QT(SfW-a41GiA(&po z$+}0c_Uy->pqs2X?rxRR(YMVNqr;oNQZ{{tZV@(xjlp04RTNWMrn)h6TztLek4D(0 zTvTWb+~*cw`4iN6Pee1Wxz0N5({%f&r6tQ>l6**8%iwl?m{g4a4%a1A!{QqVA z6XA$U^Y%!WHA&OT+C~pwjyp+*6eveOaw8kb+sl+(FuTBh?23AbJ7HfmkimlAoSpw7 z`uhzQEQD<<#!ONGkLd!+t*gVxSCK!Jr3JmyOTYCoSk0Y@q*%oDv109TQTbT2U#WD} zP8%r0cdDEFLpAO3Bitb}jGgMNXseb`UXupr%tTpB+T3EXR*l2M;W&>WK9abn>Z9LD zgqnSAt<#s2Ar(bK=cZG>t3^Gplkt^h2*GF?>6_>G>PI1akcR(OSfVZ|8mX7-$wBVA zG09ItbUM_Drgatj1=CK^$|Mv>GnwM~KBV+`uG;VB<@2G%l&7s8_TPu{|LVw%LPUxn z5#>F}i7Q}D1n|FlvZbZ>Uc+T=3CCg;N4=&lwP{Yq^F;RzImDXoa<3eb{;s;`$5$=e zuDx>D(^DhU!}4)0f1grE-{03IkKs!udgk6KCD4fc*=ymVj-Fs8XG}HM9ch13m$fLG zaOe+?_G6bPMCK_%BQ#o~l7Wc-kXh2mzu1O?PKx{=-s*Muhw{Gd{>xqeUexz5w)F?W zhWGym0i8(xp*#}7e^GEV@IUzWFSgZf_U8YKfU5}qP@e36QPATbw!{A~wy_F0G5!Yu zVOyd9nKj{G6oe=L4^jS?B>%Uh|3&G4d+C1}_kUBDE_y+&ih7})u2%Tc>ZOxB}O(d^Wd&}te2Bln4WC7tK=6H zke;e|A}Po<@Qu~=LUOrjp1w$Tfj2n7DS_i*%asl=U^RimHS_k%q^io^I!(w_!_QDp z^U;1GWifO7!`szm1y;`IV!x1_s{|S`8Oz5$zmSVCpvfx=iFxPU#^rO*rR>#$0RMgl z$!P7w)!9oO?z!Opo5#ei61|0^LG9-C4CgVrzoq3XzzwC-t!qj6LD&S!%tHw1imva zuT@pHN$jV?>nLrRT?%_dpDI@pnMT-2<+sox z9M}}hqB$-iofbGhhZX-`^vv(P7895{w}g#XWorrKI5)<|FncA0xf-ofz;Fk!n^l9U z$+t4bw@C+|FBYUCRVsAntk)xIEzEunQ5sJ3ryX&tfcam(!@Q1Mb$4FB0JSsTu~$^i z##iiLhxr@@t&VeO+{vYgHe_QC+|i%aElMJkW>R3Rzav>XXaBmDz58fgU6#ojjNJQ-!e34|G2|UUqOZ^mF?Psh+o8E3iEjn2DKvii)p;NbWZbW#N8`jp`*B4&rMBDxrb5F?F`FAEn*Hc^DJ z{;)kJBUASGo_Jz|0`?g@`jw3zds+azJr!3Ud#c`j(bY$>9ssXc?YnP$_g(M49Kf49 z@0mgI2be*z_U=VlGOkRrANv!ylQox;l_&W6=ewdJi^^?O3L`6Qx|JYX_Bly$GR0YU1Jv10jj3 zp}&~+vPUmHFhj0R9X&+%z!?eBK?#0ROv2m#I{lsUsHoRUo9fN-GLIB++HQq0RyD`w z3V4a#mMMmv!J-9G5-X#lvcH6u;>o2Z&IqNvI?wYBcxeaga;43s@v!CXbE!TBW~5Uo z{1je_XFuVTdVJzvh-c@QlB77ckg_YXe=_LkXc4itc69(c9j!2b+s#~Q=CzX7`D{cJ zHh)@E{Y9#wCPo%Mn>69^hyG&A3V(~e(Lj3(h`-2F_;>vN(=hQY%!aGf_FVfo5uJ5e z7O&>0CXW}juF7B{o^gf;4o&eeft3_{&62;?l}|#yUP0$3PUSVMNWaddM6gw-Ecqp* z-)pnS!}(j75;-)=A-7FTfNW-ys(K`1N&^49i}9<;SJ^Y5`&pMWS139%+Z{3Ru68E_ zd2h!-;f-m};Xz6yqP>L++kxt|McZMn#Dy`)qkVP}6Wan0I+CamQ=NY11U4`YY{ly` zcwmV1nd#dHdr74dQu_wFWNw;dsc!i5%|2cJ9Q>cBeWoiFL3&+}7ol{X$^-&eN|j#> zD%)&|Y9&wWIeQk~lQ2vXaE!Yss>qwYg9&!Ir>l^ z%%Y+F(8)~YyEka0}qHXOKiT{5tZPQn5=k*!th6$ z=Z;_&m&7Z$8iCibWyNZeWIv67AEhJq+(Ma~GeHg8E5xE0CYc9=ZPG(MnagH$bJ7cg zn7gW>Xj;_x@@Mne7TsChRxi~{>)MyzR3lW|BK%)W^idFP6uV!1Xi05|n+!dx(Y#xG z4s8$TR-N1(%f0ynPmY2fOVj(tY5UM5cN8MYm!XPdK`T}ImLn=L3U<0MWU4Jp4OwTpeZ+ z%kI~zg&Bz7gw00l@?o7b`$p?MT)mIW)|f^{>z^3bhz}O6ltPmnBopHNy7^!qzRa;rkmfn9=sA@v+geWDJq>N5^fl zpU7`EQfBzGs*YRbJ1mZ+;IQ#rd>dsFTOJrwWuLz+tS-^!P8s#@4-T(HBL1Z%zracH zQ#c&fNy+;zRmq&pL*eW;5**(cT9yPvX77dH~LKWV&82O%-Cz%eNMAsxT@M zy)N$cZMNyQkld5~oH~eT#cNNYA~r*2=0p2<`(U$@(&nqSrm&kz0ooi z22D4{1f3hxP-@#5BlV-8h71F?d?&El-@Gsjo(LrEf5absUb~>Kl}MO}*=V5r@iqU} z{nLk;6Ja#?{7e*aA;u4DQQIqyomAGv5YRbodI7RzD=%{YNlbuxIc`ejtgV9t2=du>6e#VWodxxA6~3UfFBQi0g#!egZ1Txp@3o={JcrkxX#0u3Irqxy4>`=7niUmRXulDb25@A?&7(dHB@K>Ja8^6~<7G%>1Dm%=p2cA4YO#Yj@(m0J~gOd z3-S=NiI%8kU0fcmpJ9bfSUR%;vLw`V%3Q<|$~;3hnB0>HKmB5cw9P|!Zwj}qM0!=! z@Z8l8UR0AK2PDWwKNK-_*p$CmEB%Q*=z`>YZ;k&i1(jH%* za>?g>U-?|<`$*XVwcQk2PJR;ZB2V?VEu&L$M7&n-mI=iX{L@+S{JvbL*SIq;DC;YjmWNpU};qf0C?3^-DUB%}7g zCiwj!^=pmPM$D0?X0rvrxjkQJCQHEmh+x&`uVN5RmpLTz z)ejPRy5L#R;g<84?tPwW&V-4w8RtDD(MB6q#Ic<0f|V>L&?5}LN{D&JXWlc@kIj+< zrOz+&B(B>lEvlPBO0Ka^k}BEqe&G4?`enLs#`MpZI1V88#gT>`A;hVK-}(1Qp>S*? zT6l>#JiqHDbkMP#Uw+pqjpvbW=%|ZT2H7k~WPgbrhmyzx{SEnUH$GF6>BFP;Th%?6 z%w)C1s0=52(`zFUc^$0#&2~kvA&Q3F0Zz7=TFD6_$I!I8AwhGwXu^bxM?c{P2tEP-#0hV&|{_wLxDwNuci#O zfqOyyfk)^f`)`qTX@`H~W1S*PKA&UHk*^Z7Ky&rd?0$T5j*R3q(@xO0PD` zO1!p2kiABdu%cgRh(-PNbkX=r_m4!`@RUrqgI&l8NWuJ%p4Afo6lci}sSMd*)zFdu zmWk0m*jm)2_2&&Q#O8L*%6oQ9k)(i6e)vb9Nx2N8Ugo3>150M5O2UcoOr%Cv!*-En zrcr^g)8|VP9S-CLE_fWu{zZzCrbtVMsPB!x`9^Fv8b}=~o_fGXvPnb17N+oG8p}u6 zQeX9*HFRZT5il^xJP2fF$w_ZP%sU;Lam#_=W5%71S@Mk(FCV>?=l3&RjE2$S$E&lb z6Hu(z?^y2NZb*B>-`w5Ic!kG*k-5De?`zC%*lOf5m3TKAaK=bWCvP_y5(OE=5(csT z%-xbN*n+!Y!P#VgL9yIcWQoH~+t7mbpZ%!cU;X2oHoGG+Uxpg#j_5?VX;1pp^oa!h zND~c4>=r)REdXd=X968S_M(3#HbWU&CRlzoA&SlXI&qUEqD>U7-5dda)nHH^%gZgO zicRB^x&%J=+$}-`p}qXJ=nxN}tj&>_F!ttg*~!fEJqP@um}{TqM-+SUIxyI$}_2OqB|=C6b8AMRBQzha!W=5 z(8|5h16i|&POZNEb8v|7zrY+^K$7=DHPsV3!y-h^;t@#LIs=d?hkl_(i%9IV=-XN4 zk>nP={z+c1Ps?G=)!C7>2(!tREQM=MLk_v^YYoJVL- z+J_d1lr!w83cb`Upr*ZT>-zOvn9ZL28(B_eK*8w2k?WREISBNr?AWQm#u5^HmbgE@ z*{NoEaE4;!ddf8DY4w;@e*G{pLPp~mmFP37Ob2T$zxbCcv}JS?TccLj{Z|An(J`e* zmavi82PaN*LhNQ4gdRqch@qG!6|!|-aiCSv)LF=x1t;DSd7`8HW16A~>B~p;F3}!> zW*b+ACZ)@9Gr0Q!U(A+wz9PSw}7I;b?5Oq=&!~m`sbEfdr%4DXOjo4ob zcdOl5$&1TsC>aMcFQKNTSUuSTa?RuLu@#0V%?jiu%>0J{ZJT}768)JOX6`z=jNyL1 z25UM;KB2@;XgmT2*Y`GEikE`FVjwU}#_Cxex*m!MN63O82R241lxVLl{enP&4Y|L^ ztFna4nrPPRXHY-;J_IoDImkwZ?p1aTSPdw=y^mF(}~z84GZ1h`_wR4SS(%r}8m zWW00IK@Ak&TxsfCGYo26wGG_))KgE1;_y-fs*TNz3m$=gXSmxWeASed(`V&XH0$>eMZRq!ZzE$j{Vrw9(lri1mGHX2!hD?xScY7 z(a_=bHBEUPBo!1vrKsk)sk2qVPzU~q6HBw1LtWPZ4zu{smp8;}0Dl zOk@NAeNLoy#l-)@PAkdGq~T3%M?r{&=E_K8d3uQ?Rl!wgCBTLDPE?##8M$ba4ij&6@_M#Qs4VJ|MHFLUjYC3S^ z$dNYKTm)NtBE+ByL$gpp1h|kIO|C75C~G5$v4FhIW~c8{1t}9P(>v#{%!>}xX+6A= zN}x@b&@Cq_#7)LPgkB1~IMOHRPF5GobB>uzdsJvu?8Izu?JsQ=+Yi7zi}RE`fjh)N zS@0+zVBEE16kc2n3?YQMR?ktY+-I5+g+U8I!<(|%BJe8i2hvEJruR9*i-J@%MO=mo zu>_$gB1F-lgbM}{vyEhvYaFVOMD6nyGikj(1>g;lQf!V?)cQ#7wJTkxd~gZmdC_dL zbuFF#k`R#yjZaswfD?5YRWbC$NaL{AZC*{XkxKc_oOuz0N{9l{C7Lpq7fx`o37U#> z7sl0!rHh_LmX`hK&=w+aOJsmzS4T}2t6XN}l%#zj&Ag1h7{$wRbG%CM3-DJ@l1SXr z+K{n;x=&__<>#ov**nGyx7xbLAJc=Af~MyJF#}C zv5kG4X=~~2u_5RNK@gN(V;Ekep@l3$l%<=8#klt%?)=@cz9yxQPv!`-b@6-yImRH8 zFTV=4y*z#G2yOo5!#pQ#oU9%(GQpVEO6gREVy-`b-V~8yuAq>7u6k(zVJ~b2n@Qh# z(mjkCLME~>>l7k6Wawvvny*grIkZF+uBGvFvd2joF7Y_$X?&9M18pYd!ijTsZRB zUK!=zIXHr-wv|IrpD}GpV(o!X#YX;T{G5TSP2-Y}iy{QEs_>#v9LT<%a3=28WJAGu z^!HF%!9;V$w2@le@p=nRbeJ45PUHb+(e1G6xtW*7%)>}fh11+p)ILt8fi@gf9plY0 zZtxLwmd`_g~D>!?fk1i_Fo)RUWb^IjH;CT&qAbX_c8B z=>yOSGp)7L&pI^7Io3C>2QLTu8Z#JW+{PZ%@0f{3S9hEU60{7#f1-Mz>rvP7$RVqt zqhkPQ(jb39bI>RD@$pN(V&mdn&!HS-NUUs+JYBf|^^v{MXX69FcU~-iZm$iyobiSl z)*tA}mp*yFZ9?!poLb`~3llW-qa``W(UL` zZ*aR_t8Q#9c0JqdYEwc#?V!qQ&_{fL;>_Jnm%Ewok;;iL!zU79mW79EEywp!mH+M< zXTGit(iz&A9@pvi2^@u|EUX7y9$Mh|)Q;lO?yTeyHbOre8}zq?o`o1Y#K;`@Y?c#i zaFie7b!Mu1*yq!*mv+(Eed6%Yn8&S^sQg8#R9hkQv=3j(N}s1l*==z}e}powHV-lhw+J4mzMb{XRzRSmh&hPqr1DgJ z+GS;=w%D=Hu|2T|00nNyydKz}XP!~Mfw`4Y~2#I3W?OC6hlu-?&1P0i=^QCFLcOKj&%KHH_duGLSDQ1I05 zNI6VP^o+MSttohtUcW5_#XPw8H=9{tr4O120^K{Em>k=Y4+jtRMb!gJw7L@k*Y50y z+)X{%RZIf>`P3QSrs>qy;13Jhfk>?_f(t`f?hK;ntYEP6@187@P^e-Tw*eLHtnF33 z@YX0lrvK;Bf1l0GMjtXjz0X6=@25|V}T6V=? z&t=R$BH+nsGk*$ZDu@HbF3@S_u>5sQU`zw}B9l`;8g7Q={Sw*Z!qS7zi6y04)KUaJ zF%z7Gei%~{HQlS&-*TPWl;;X^J^hlLbonhD-dPbm9=QtM%=+0yq|Q?6Lj3vj7Lfa% zuAq;}E4&8~Ua8UAq_fIKf6b&P^I^H53yxT)u?HUu+Heh;Hc$RNPg|oFsJcGE=ay&a zmjK$~-v~oCYZoC}BHONx-z+0_je{9YA76$xG;!l%V+&-SAyUGXk7Fk ze6l(uc46Z0Qu0hAjG^ANf!9_3@K_LNFBU;I$$?=#1dlGL-OsLV4C*O(vMSJ!lIIn; zw7H7ox~v(T)Oi!o!Ds7+YgB}Y*^6#IY<1d=Q>qp?-)CR9tPw%aG>YDoKxqFiGSz+> zlW69i=}PJ!YNnWbH^Az9qeC8@<*i9-g3}V5V(SM*%Ei*-vEW_$Hr=hp+B(LcYE4bt#i}fWMZ=9g`r0DW?ZX5b6)U5RHATPi0}trX_D5NTw!H&P2{WC_k$7 zlMDBfWOA(Dr(}><_6e_s`zi_jbJOu>DQ{kEe(pB?W`fVH+xMHnnHOH}ihcb!sBn)wtYV3*b%cGya%WoJx-`Yz969k=0Z>SaJO+b-b9IsyE7=x;)0Vjiu1e+P= z;<_zE(t&Y+7kFZ*4l^R-T+|v(pkH8eUgBbDEIxT+saev#ON1gW1fSU>NL1wkS&*X= z%tj~64JBNWz^Skc)Sr8C&7SLk$D7DiZLlgwN?FK@9WI*AEq#jG3#!<3 zF}MZvs*`VD<;^3aF=2ETM&Us$ZtaGgoOMEH zvk1d9)V-2fujv#IQK2)X*LcJ^|0Snnf_>aJGhX%uP!zio6XXy+dc`-S5m>R<6*LR? z)X*>A01#3fCEoyggL!S*8(AYRiEA{B+mHpU>xeUD9~(;im;h|#A%htvQTD=tfB(5z z7-@Q}U6`nfj4*kOM3o80dczkZxtSMqg%!eKfIbchi6dZCwBPBv=H?xWK6ect;%PJ6 zuXy6d>LXaApk^DX4Tbo^i4w6!~@x_POhOkOz8tbXGIJrnGb=1GVm2ACu(;V`3rxh zR3s^&JV&5=T`Er1%)N`*%AV%nwf!Gc4%PVaO#&8WXWXsm4esXe$~5M9V7MT4*a{+p zFY-YJdY0Kn*hZm$5QsbTJcRc}K9p~J8mG{CLVftDjUF~2Z4Ck8iqO(c7BiVps9})b zan~oA(q^3wB|g>NFAT(JJEn#KaP>J>B-Eh~`5BLV7qO zjGp?R#h0pc{)M0qo$yLwW^6?7#3Bzuv!*|x&S(4YfGvFgq%Ge3mpg`xrW` zQGq`hWeuQ%)WS%0GAP{;2(t)YG3C^Z;v~v_RdD95Gv;O1NV$8U9xXV%7r~V1yE9|l zJvdNiGDj)*y3xC5WuvtYIHz6MUR4KU_~i{>HSMsoy}(P@epB}Dp2l2U7q(IEU`BAR z$p>s`HUI5Qcwi`C<6csYspsgmk4RShqpvUoo?KM=L>GMIsCWcE%+X$?PV2+UNFyuQ z(6+3cf=771S?DOKgM-@MBD8Yqu(8Z)MiTz^bW-tHC^+XY%(b!urNPYI=oO(L#Vf893aLl>zuy^jgQF- zKkfd?$1?Uowg7<8RLfm0b1&Be#_F((<&#OFyDRqLf!K7qRvfzaROmhJ=Y&@h%DDR= zR(%4EFrK|8oPURU&=%*QsfIuKxre(yDwCNyg{5dD2xfTZ$!RDSq3`a(*Yi`fR|)YE zud3$N=NNiQ1BeaWZYMv-bezOX-EowR9}dA2M0d!osF96|Ijc z>t@T5W^VNih|Sm$)2}*Avs??m1H1Ucb$TJ zV`io^JTM}KR?B~ocmMED3_sn3fKHNjNZAC>+n)%Bn8?4XemM;TcF}#K-`yOq?#DDp zY!LE(1-TACNk|`A)OAlIdWL{J7BQGU)0)oJdB;TLV1u+grEM$p8Gv{U^@9W+6*+G> zAjBNhcIKmEh}1j(%pLBsx81gEB80k0aElJf=Se&k7rVQmJYvIwc7a(i=g)py{t;q} zWSL%;Un@U$M1X&yg0zL_Y*grzWvfxou>f#t53Phq{JLKHG}H&Qh`Cm)W(TX-PIBQ9 zS2`?`;gu;_DuYW1G?{f=KHa&*d)=kGO^%l324e@c*Fc>EK;^95Vzh3VfGFq%w)H0< z%5gGz79}z($o{^7;>UM1@HlNGWLAyw(#0pTFNZ>0Fe_;BF-3;0=G*H+JiLDw_*1RN z^`{E=a(n1nvsZ0MLPe{W&X!MNL6hSPM0;M4%~x(_{Qfsf@sx+oV_<_B;>(2GYwRpN)$n^~716`m2e~I0Iub7) zW^EDCi}TbF3Zwi3XAPaEgHwctD*++Wb} zY!J;fg*=X48r5L@s*IY0qSt~K*GWMOOB{7+OjwvKy2vO<5n)WUNuNCE_pJJvT@cnw zm%-|H(izGjyr?vF6aXwt;ge(L3fVMGG~R!NqrrysJ%y%Jch|bOS{QVe5JF3PZz)6H zsCZiVBR@3eYvx3Tf~0REUX;f2qe_7BbWA9BS!EF_HW$V*o{A&a&H}=^ei9^Hn!X^d z=6Jm*u2fua)iS0)nrb&!ub@an}=VxT#9+OlN;vBdM%$lc=U! zRk65TVd7}sC3#sk8*8dso{`B9tOw2A!`t8*7i@e7hi^x&}@=YTCrlg3OQ33 zj&{J7!jPp3hbC{aaLTNkzdHE(Tk#Y_MM+v&1|OT`-sA4hmTo}_RL4KJ0+A*J?8MS% z_v?)7ZWYR{`DL~-?b&a=94#a)i`}1OuEcGVE9#a7*#fQMg zz5ii^P;S35b~IgfeTfI!yZjh^9_RO`fOYcM%C-TH9z^m|1gtkW+in_6vz08#t%-aR zqQ(R<18YVN68$l}Af;cx$lUyJ9N9(+x$Wm1XM(c#;n?eA=!oi|JvZ315f@1VAnufm zC0`cI%9&K#1l^^G0$6<6^&X#9&No-!PhZd@SNegzGx~x<6aX~mser#Xb*G9DJ3hlNV871PmL9VX)5iCFO#|Uj4+g9GYJ9E!tW#6FpIF^kNxU`J7$*R_@7CBN@JK5= zOtUXSEz!(H)2V?jvt~A!(r;CN{9Wl%U1j$e(#99)2x4|(zj+V?k6#jTyaEB`VY%iE zBTS&Nm-u@tlRbj8GOU@CA@)8^3a>D6`S~DFCu zZcn+OAwO5p5CcNQGNU+!vo)eOwSKwW~+Jef^ zIwxu=Ao%WTk0hvat_Kbtt+cu~G22=rGA^-lSkq=ZRd0E$kI<-A75&tPR1)tZIaceQ#5k54Wqiy*{@AeFObg{@E>^7B5F4NAk^1~+0tqWo zUfLO5cSfBcjie+y zMm;HEWWbgryL(tXDiVXC#52|b7WImfPsqCy8GH><&52R*dLQ2U`MJtJJiK3mp^N1b zA3=NnDuIP_?tq?K!WMC+gR6m(+gMaU0gf+Je5+*4A@2+*d10~~dKV13Ld7ES@gj0& zJw?`t_3aO9WOZ#SB&EE_{p3xoEwDt^kP#$fJkHm5&EexzF^7Os={(+oZ$yfd~U?u+p$_d;xL@-onM-g|33iL zKq|j8pNK6P{9Jf}bGM--aLM?@B+;8!_4vQWp*hd>-(CCiM)=Lq$GPs<%3L3aKhPJMNTItIZdy z)#gT4zkjFnIY{Z#zSZwtl|HX3e6~{f^i=h_A1}kCs6MIi`I*o;gFlKVpWv=4rB5TP z@h>TSz9-7U9b8JEMponDS!tQ_nYsXnDeG;7=OJai4;K`|k-Pw(xGs2{JEn-Mbi#W| zBV{$h)2KeYm7UwV7@3zkPZHLGJ0!(86JSlTZiD@fm~A;n^BrCmzSUYu-bbvi0Nk!H z{O_*D-(J{8iBE4;i+-x6-PP5B-Nbs7w~!Q{7A5%+h0h5Jpa1o%11W|psP>wq;^9(= zVVdh7Vr-+|e2veVR;_%YlDxI@l>3SiT4_JU;45!OiU9alHT)FKTEv8INJ%x^Md^G= z$b!EK-{*tY~kJb1_2>C zgU8i4bBK_GSGz8K=HC#9laxrQ}AsLVx1QEkBPFJqcMM)>n{qQ|MlxKDL&uFu^*?jI=K&| z362#p0k5Q^8>PAAu8$1x;#(qF`Z>k!%C-B5HNobBqDKy(o&$qfls?!ICH2DR8VO33J`X8; zdWc-k-6=H0y~KhCfbI%Mwmf-1#m-aUGf3p}`V^Up7rCb+>ou4!J$Pf(;08!BRlBI zNVtonl+3-*mxU?||2m`a%3wb{PwGi&)bH6R`AFmB=Y=FS+5*<`X_f$#^M-rcpB%|oCNS=c3m3S_|@~orrJR62A`0FWKG!Si0C?uB}>S*(Cw|mFGU9a)mnuD5KYKO(7=(Ek~~a z%egtgUWFX02}#y+aQB+hXIiL2p%1{txH1`%uUA*r8Fr0 zp-SIK)E%GpqcD72b--#4LDSqA+nWn8X2X`ZaFDFG)hS+ zLq#v++y+=7&eK%!z5pEBBy#lqumF;$>Z%Y8v3Xg9rKF(Ai}u2c6(Sc_OX$M}@3|M0 zZ*r#+ykE$blML|2mqao?V@go)em&9WX7B^-J$WrKlDCV8t_E^B__xz2y@cc{ovZc9 zmBKIY?;%DJ)l;Y~QXah66_e-rLHr+bJ=?Ba&!}9~rXl&Vs7*ui6b#`)Lkesu^5-bZ zdzdTbA*r)YSybifD9P>9XXd%s;1HP!L%iD^Ka`V_N41eXLYn6aB}eXA!uhE$hLuG; zDe?ykkHh=B5openfqXh8z#rAFvni~yzFx!B-5=Wsf5#P=A{UkCbDf5b%1d`nzb)>P zMWlx3V%SP^{iaew&VyHTl!g}yIRM?z!FVp`u2%S*rgF8Y(nC$DF?>xgRi(>!X=QMY z!l$P2xn7`(Z*)=`UMe)idw7K$+^47H>@9G{H#!M9I1U&10GzLE><~q{O;Ko%2gezA z-7CrsilS$uw9hJ)^PZxRU!**mGFkDQqbT&~bS?+y=7Qqf-eUe#Y;|1wVOhj?+ z3L4xLi6~jcd(8$3Z_3oYw*bR2FGmC4wW=uc9mMz~F)W$qYJ%HvZpA6BZa=ZZ1ZUZ* zUeDqnfY$cu<-3f}W5_R`=Ry;a?h zQWX8p#9yLZUiT*Wu|nfkg~p)@jY-8m2U64P{3@27D;+6|VMGea^K{3*DH`Vqo(%33 zwE&=}zfvmExT%=q2MR#FI3Fm^9WUfKw?CFe=K~Mq@0jD1CZH8^PHA;#i?Z;20YYa0 zdhbcDB7XUr>TA9`2ZqR__v+K#${~3<3o6Q9$_IZNvf!`JwX|vr#!~Q{%Sb-g)+!e> z)go88=bAE8F6X|hD^&X`H294`9?M| z$+^6cQ~J(tF>X)_l*U&19`u>=Z;#=eYK3YcwL;b7qcrjZEUQLnbhmP<&ku1*#(f!J z^wX&ve6~EkP(0=!Y3OPZP{vM7B^CJ~uqLggFJ*P6Z`{ zJFo}gJgttNvdbwMY=}K?sh%XQA+V^Mmm`-x~B+<7Hxk->9Ct`eWgqF$LA zHQ~Ctvaz2DeVo%z7Md>f0oXv{6P|}pb@LWZS6FyW@tIembli(BC;+PpeemrYqO4XY z@8Q+Y_bdIP#3!uS2MrNbRr(dG^qojRk-QK73&%*D0&ooGN-4h%fAQC&(MXTKs8>7B z>vQ!$41*|7xfTDWsQ#lUy{y;vLLcYQb%Y!iXbTP3EZU38^EJIa6kSj=rO?OD*jw5W^EblQS?>;!!rH^3hrOUODw#s41O$1 zcW#7=nJZvaPciKJuB5!9Y-3jyN$3fLA$jEWZn5i>oSQ-x{PnrsP!VYn#j~C&Ybzz0 zdZLiL0;cS#kw(DOU4N!M>7(N`I#;y-`LBmUP zeI}w7-VxRSkK8rneThr%I0|+SGu63TAFo63_xn{G!|*I(0-O95QNped`l#e%CU(L} zjOw^nCP`o4etcZz?e5%JI0m3Z(y10zv6pu4h2(4cl(G#R9*VlJk6B16<)mEBNjvoS zqtW@Y^#2rnWuW-?auN?EFDLBsDtVUlry~!Jk+3{EA9$SGL5yu6e^Ot_=I7_#k0APtIPU@$=*)D!ZnauJQU*X-n}iW`>-eemufG0LsF);~&J%Rb)=&#U9TQa=l~ zk!$^9#4HKlwW_>n8V>q7h8Y~M{Jpo*aI%t96LRpbc_9a%D*3F8QEMrDE>OA7SEcK{ z5NoKFd!CW@bD%0+@1WRR z;iLD8oS^XemCz9H7A#OL?PncPFX!}Lk!5D8;n&$Kl81=c%H5xn{Z2SfzlF`Kb(@E< zUk-epXJ1^A4#`taD@GPXC5m%T3pp9kb5nV{{i zWeH1))@g#d#kvjNulGr+4_<#qIU)Ia9ir;qdAlQCEs72`&4Auc!fX29-W_q78vC7& zt&<}5yuy%ps20TMZDT)W8xJVkn5NLUPFB4Kx-((Y-J&#;2aLs<65Y9xc3y_&m};xPA(-QXx6ya&D54gDXNJX8QMtac^p>xI*`+C=1_yD0Bw+ImWRRjnBhh{Pne1 zS>eOB3=}-$6%P|r@La-3Uh=ythVP8_-Zc@9Uo`m)?`Jq~iI5 zrYL>riESZyG@ezt!c|4$^E^9;ERjOjG`S_k&8Qc`^$EQFNx`aM!oo~IBoPH_%9nOxs8mt0N7bsLqd zhmwrJM)(&FmIWG;2k%)cbfzDV233F?cj6gEb`%>yE)@>s6!Cegk zjSLPHJkFgg%ED(%DSU1h(YOiDDGWH&0^m_KBI>+-J4>Pgahx+)tQb*~#duV^DIWP2 zA$&fhs8`j#o&OVZoI69bAb{Kh+yp0*BA7~izOV3EPql@9X3=Jaj35W!b1h15bqlCk z{8RX82J04WN;-LbK2Y{^na~GdZ&kW}Pw~3Se)PVk2ZV(;!79prY+sYNX=$jN*b95c{dLNIEM3-$GRN8X z1#~`?j89N-y=fU+IG(N{j*6 zWWA{A0L3#{QI1nO(-<-&kJtj?FaCPUaY|10{<^eY1BD%yb{cAm#*B&Q(mWng&XZ@F zNiNMZBMP0Pn(EShuJBDftci?4CAIKRJM^4h zLw}s+l5+HVf^+))jBgdTLAib1e~3q1GVW3q`cRLW^5{Ep@I7>xi=3q()3Mp4!e4EXDLzNdIbDW3N2ncQAj3+~-jlILfk!|S8> zg3_2RWb`~MhrjsiDUIv}WknUa4pMTiR%rZG@f@OfNOIcl^ejrsNL13$m!0y2^MR+V zWuP>YOF!#_8gAN_hBdd6m; zdWxP~=`rmk1wN%58n>i!kRT}Ge3@$?b)QA@r5{zqRU&%I*$v1EGRj<!OT#P(B&ZLDfBoK?fuYfDAZJkkyU^vsu57(()rcME^<*Hg|^ zHT}Kf*-268Ng5$}IfKGq{Ph%CnGDHOb_{><*HgwQirx`@tm65dqG+8*D4v5AMVBtu zcJRqLD%SyuqUGqj@3&LA_ED73f@Q4RSMWGD6ek9O=rIic=+{%|X_p$(Med@`6*9obY_eQqI*F+EO|Cj>0Rj8Y#~-Rrm1J#8UG0 zO0s^^<5NoV%}VF(6y;n+3EGvVH$rJ79^%C=QTIk9Yo6b!*c+bRSL!@fl|`kKodzD~ zwr8TL-7~mOKcM&*D1IG)as)~Si;G-U`_<9t#Hfj6P%7oHp-t{P z&IP|Hc~DGV%Rm8O243U}JS5%QJoVI+qs&E;>0jWXJZTGN@nI4nR|c}juexJb)}qS0 zH4huM6grb;TxVZ8r{IoXv=&ameYq+kosE55%0n@eC}rJ;<5Cyr!JW*rQO?1r3ojk# zi5NFHML+E@gBJu3zMopuv=KR-$K{?A>@_$+Nb~^$(S64TLop zf?r3w)~N)6(OvR5iUz z)nbyegmqP}dsMEc)P3|XhiXRT?^P6C_a3y=RU}{c7s6ls^%T0Nk5XCp*E}KrCLZTj zS8Y+PPm1a=}Krw-o}0({^GBv=()L`)&5-N`i-KD zQj{=pl0C~{>0_sJ-paf-6DqUiSOsd$c6l>g-wm}a$_aXedI@vS^BUcwBZ zdCJdJTzvu~JJ~7m5s!24;D;!s^)6osDJgWtkmqW3FRf%%$kpn)ix$j)R(mK1<@Bv{0v#5~%NbsrC!((fMF3tpJ}Xb5ag0J^ zd4=KaD%TIx?0A?$<7mtklGkSim1_#SRlxH!W0th0^j<1niwuZ|5>b8KZ!36+N|aW& zwP;g(-cFGt@HqD%a?(FaUe1#KPox0Q^&MV1z|snhMU@XuL`s@#d(oC=XT{gebxO|XBKFc z`p~}IkVwM}F2!$70k~h~I$clzMi!K^7T1f`$sj!MEARlEiMe=L&dsH~`|bk6GS~X5 zl|K_U=G3IfKJgv>77mh4-7Qwm3rZ+@(C_uu#pSbbuha)Q9dg z3CXv`O+p{UP?Y7|WTnrcI4U5tO2qEKe_V3;?sB41S@`VqB1fR$8z@4Sf+vFsO3qCx z*EB%^*u09Zzh2I=ctmxl6TTL!3psx83dbjdB}D}AJBvsL z@p;KoXXlP7B$qr0vp}A0iYYW)zK}}Ny@uWBut-EHcg7OY^Muw3Wo2-RvW?}Co7W5A zb1_!;?@>*8)>L!Y(W(W{Ewl^z;4Vr_M~6!&WtPn`<-I44IAGa4g>T)0C(VfZ^{^uF#3Vp%B~FZ2li zgBLV9jv`A4r8_5|L*4}R%=6S*s9uzVs9vA&FUVvk!G=jJ3i4e9X?vm3 z<*gUmo${o)z6rY#f^|~8s&+M4yMH&^o!ctD2LW53Q=FSJpNv0x_sD^2c5@bjl}4mS zcA<2hoa0r!X8ycfeCCxHnLBlNIX)>u@HF<^UHLSL_R4ABE)yG%VM91P<@-~5Mq)_5C#r|P`0FW*P*6gop);Nbol^A6 zI3N-fdQwD4KG(n0NDl}2BG=L(3;z0Ck13vBcrI=CUnt4A+#+~ZRdT|;wK@hqB`JS0Mv**ly_nq6`dl&ZoeD>XE|JJNovu4fev)3lAvEIMQ|0d^0 zu9sjvzjydt;?cYW7tE*atl;6arb_b;v1iH)qoD+46@6$tKbkk@VG=W!`-1b;rk}2T z?%HQwZ62F6ZC--)JlOA-cJ)y4M{4qsGlGOBBh^cAjj}6!r3%^querFW zAU71`UP;~mG}cZ2D0f9JHUybK+Pnn+zQ}V`;d7xNIbVEPH6v@3-N5Q8w|CLuFN$wi z)rRK+u{2*d776z(+Q17gOGxSP7utCBP%h-;+v{_S)a#u+MAPOkx=OKxolC5%EOjK% zT6-$Z0@%OB;gIYpja zzwpA%$T3Bp9SY6MOTE~?Xyfvd`b7n~z983@dhzQbGo`~{XydPjBpe?{{Ty$?ygGIDL%q5F(10cV;!ZC(JXIb|;! zS@=`r14A0ou{^C_?;B;O@0CS| ztBQ>^WtOu9ORV?Vi4!h!ueYD90Q;Y&-g%I!9#tEi2We9qv*$tj&!twLTx$2l#d;4Z zo;=kDMush^UX1nDHG}v1Rr`yF$G=qi3;b<}L1b)0{mhM()n(qN_CK|sH_KzLl{=T- zVUN-~>?M6uj#b~%TB-D~o-%h$eN-gpYdBUz6*IuOnXljsip+{M<pz2%*H~VHw@LLvEJ50 zeacvmoRYGqE^E}K&mF~A_S?mJPcg0`532|MraP&z$Vh`;X~e( zS$5=y#&vR5xzNDX^VRDOe;q*VQ{-v#kuNordiwsN=jtmvd?v-w{r1x`c{$WyRJaFYrFBn!spr&>tvyBmPN1k zk4ED%kM%xN+KpSSyqu<<3o74PGtNPC#t>&&SrX3vr{zUo(3D5~v84rQ(v0<1E;J_% zaUSbEr`X2JhG^tnk5U%@QE2We??4???D?1?&(wVEA1dv}GXy~!p~KF{zS(YcKK4!9 zIM}O++_g4!KK7~-Z4WOi_4FAM+^k=->0^J?&^WX0%+K@7*zba&7HO>Is|QDwcH`+o zJyF|vm3$@H4Mwenw<*yED2TkFW>1c2>OAqMv9^_8Io9qHtBLe_YZ?uxmc@lbqe}6t zhNb*DO_h0koK+*jgN9QVGV*B&?=zBrDj_E-Km)4zI&p|*tj8IxndVT7Lt~P$-jbzW z$Z)ll^abl>vpv zdcPo9=C>A_x+X)*=6{;jzVev)TqP3byz>?$u{@_s^xo!7tb&^a&VbI?%TkyEgoV35{Yro-TKqal#0 zeh#--PP0Uh9?k!h#=pu3s>s?-V2D~>)~d>>g!;R#`!%Ltrk_`pvS=hV2_4p0!y}nq zZ)&zGuR!%J(={f2Zqe$wmJ6q8v(=xJSk+dU;%BY0OXYb}t>RIi5r5*YH0-rG+AJ5v zrh`y|S;9j+A-OB(QEc`5l8emerlOx;8RyVywbf&d52U0nE6Enik+Gf}7%-HUY^@LJ zXLud5o}1e1?N(wnlA4yS9yMjo=*Dvzd2*!DXb3d9a<815$N=}P@IETTxE9a^oEW!Vj=8BSwO@$!meQNJJ)bZ*+H4X^S)YmI-q&Ak8 zO+Qr|cNLi{O~Vn)_{dPbML({6iJ(G)>K2i%TuQ(>v3A*B=L*echM<<@3ps*2+vC z>`!Ux*@o?B4(C`W`lH;H52qPBs7Uo8-`NQUv3#YA0F6~!NZG_2;(Sm+jxC&jUdp0+ zo_}D-x818dQR8di-a$dX?9M%p0mB&2zkG!%tp5=Wl>KF`@2$e>xs21 zmVAAz__N(7=1UJuPSEQ3_*WX+T_E!1bXm&DIX$D;>U#>!uJ;ee`gD=Uxq9loUfp^8 zc3D|^K8x@6reEk4>gSi7ZdGXNsjc-S=WlqXX#SM*PfL0I zUK(*1Kxw{OY~I7UE6wvuPTx|HdhX>BLX$1wum)>5i=TO;+0wKwxOZ5>_m{LTEyy*c zbpEg8{dGlSr-<9V?t)xa^3_m=>G}RrmwzYqH0$uTp;C@F)S|qe zQu6-7Mq;YxSCkre!;p`p{ehl99}fvh-CS?e9OR88?}c$ ztn?r^tvnp-F|tJNnDY5#v5oVr7sk$@8SC9N)St@Qm&D3;IDGQtSUFq1?X=7*hB%AA zIt~-|rxM;I=8}=xn}4g+l$x)9EPY%(H?^L+$!V+P)V0y;G1|#_ujgnXc+Jho!dd@Y?(##)^7?|)kEmCx*rzMfv@r;S0J z)j=`&Av^5$o3zBVyc&jJ8e7W?RPIXS^@adNIz6kBR2wt3uXn64bzQ@GeEc{~rvD#g ztXIG6d~g{F*WI_>hxkZ)Sdgjhxy&x--1c&QRMyWZ`+D_6&Es16xI$FVv$wA|syxlS zx9#gKj(nOr?DdAnhrPacdZrm=?-(0vYNOX%*p`6INT9YcZ1ZhixSmVy#A-e}QrF^| zRP&4VXr}4*^_pW^EFss6_C2ypgVklE@>!TjyUa+ypVoq|rZn3h)r|f}KlS~lUT=84 zuGgz0y}Ba(a$$;Xz*{-v`QU#boo7+j&nP31Q9XIGp6dvN_oQ;oovAZy+x=UbX|;_& zM$Om4x0bR!V0JEK_3Ba4Q1T*m;z`5Mi$sGh%CJ%Z|~ z$afXro~d~jeWm!E?AI6oX_+2bRunfa9xrz^GOw|ijryM=r~UmRy|Y*_6Aces4jpC^>zkdT?D{@_tc4t}n>5ibYM$gX<+l z&u15VUa{EoS4!&D$LtqH=ISYTYuS@}T*JLaZR4`Sr=F91^mW7bd~=C)TS0zWkeZ9z ziapnpiYuS{3g>?=dY+4(au><#rk*t|ouAk|4^)vi732YrGN9pPGY2)+*Lc0k{uJqd zXe}Y%DLZ2A=l5nlb*xqQe7C53kk%^*nmtOn*YkTh_0Ee!wei-%=fZ-V<531BxMQI?t?cYKB3ZeAt?Z4~btKuw zACmB(f*jjmt-0vDA5|r)jm6FT@yNzfmrB^Elx7>Oborms)E23}m3f>;k-NsK=iGjy zv`CGCp2gx^S^rZ$?cQzp~KO zUFoYzc^wj2Hyz67jt76rc@)3gJ&Tv=KMq+-ulL46b8WGNMeCRQ>C)@nQ6#)iR+3#o zsvk}x$;cZ^ZQ8SF{%;GiZt+BYu=q?aOuyWhmVEJEztqhjvpNYwJV*zv`#938Q#u54Zfi z-f7a#=XUj@Lv#GG-nWabu2uTe;kP8D6_Tl@i>)&6HbU>PcS-BZ&P49Y=N+YQ;;p)z zi{UxGocLGT!}H5H;h>V%(gpd~BH^0~O)Z_PO5SfSW=#gAr!}2sTEq{&5N&5w^$2IrYTj%*~SoL^MRYY$II?n?8dLer>% zM$?p2f7iL^VZx`Wjq&lDhSHMnK$WyEE3r5Qw8=-l95EDYtS41x$PN!0N~_oVL7}N9 zWqCS8)_m2L|LDT`!v(2t{?^{S*5z7vzFuUm^SDO$ZZiL@w1@v&B&>e;^$f0IJ@S%P%kTG^RwBR$WJ`?MCe{8bf2_Q&$VuYGgxe<;6vw z#`c%-X-q2(X>8CL@(+biBPpYisnwA6R+_sTP_u1RSdqGxGK%;0afNf;ml=ig#-$go z?N4J+V0j;fbA8`orey9oH|=NkwDH+88})D6lK%YcS!HWVID1I7`BBoU`%R<7dNk9_ zo}M4uXz10VE-LNnmkLtL;+(QJSZOY5H2s>^Pa6XTMMgRAV%tVnq_M7DHBwWA_gEcM zq@HeZLXnv_Tm4UC`4e|V)-0=q^?lEM%lpf#N*C+A=Er%I9<8wuINugv6h5=pGw$x$ z9B8bLKt}1m>X$KJP&m)zyHw6xOJ`~_*S=t;w9#?a^3l@idd8+U+;X|kuWfmx(#&4Z z_=bkc=BL$B+Ob-5k1L#OE=J+p%^g&cS~|0*=efxF*=6qV#l}*_{Oq}5n6uuJRGS|q z^=|IawE0mqHhX&hzs6F9T2$RPe`Z5OrFn0eP1JpmMkKStro}dYH?=YRy~rjP?-~E9 zq~4@b&KH**pGs4ahGX@7>&|l}8mn(iU|6?gwGN4v=utVjzAhJD3QDu3+%*Qs2jw64 zC&>!q*nrPQg23+@xilP_c|xOnc+x+9)u;aux`;OsWe$5ue;JW~@VrCjQrw>_QVoI&Xt)L7`@%0Wz?&XO3e zok;V|eGT?{y4vn}SYLiH0sO!IywD}#JX4hfK3hplPgC=`pfsJ&HX@1g0oh9ac}VE% za0yXu5cVaZ4=MrV&*Sh#pRkOR1V-||?rYd-ej`Yv_-mutq)$Vh$p^a>%qexw=qSj- zLy?2jL@>*fBUJ{h1XL#B4O&oSk6iEs9hbH*AY4zD3k`__Rztf73KF{dj?uice|LRe zFFKFXks$elBhsEAAugBN8R#f-IrrBI{o>CZD06w{aup>4HG>YN1gbLnBFzgYGC-#H3Y8|87lAi-50U`@@goHh;VX|D% zzkA7TEfmm$25m)=XHB6&p4=lnPY}3WG2p-xf6(S032hR3pj?sKcjY%SsHGB4YMZyF zHcCGO2d>HYf1dV7O!GOXv!6Cv_=@D4`+pAfi8eNvmNwvkHfrgOny1Bq16tWw{&Bx@ zpdFqzk!uu`TrAhB$;H8nX)`DxZEF^;70+bal*E@r%iRBaP)?Jz;wsT(ZLs5@?O@ge zrNVW97+CbN?Xz0Ei53>kL^EiY`85NXsU3NiJySGr#?rW`jf@FZkB&e=*RCi}(p~g> zMq~(D7LBIIf&Ls0QyUv1@ANp3pKawI_Y(&>=4n^Cxa&#X=zynv>>fQi=xzmt{y^bJ zXkL|hyaa{Cv8D#iM59f3kyHNoO-mjnBd=@qOGe*nA)E`o+~FOxJk6v;yi-N#El(nS z&Tzj@{zVQcS?cF1VnQ(vyk`O$mm8ZS=8kd&_ZStE)`CXPGf8u{FhiaLq-w-i_;?Z5 zQPnhMwS!z}hS7SUqmI_$0PWN`5St56Kse+vyoVkuxfl)&N%5B?l##iXCGl|0a5QMY zBLxK-D>l%wmIjJ_1}#XXZAalkNVG(=$+tbV$w)RmS}b*4`Nw@ha}{Xn3}$9f*0tfZ zpcW2&Z7O9;uJbHndLvEveqk2)k|$Q8N68yB&obJnjlX?1Ow2#)Zz!tbxFngda zL0h-VrQawfbe)z^jW^X$dLZI%DV+JupZ@YEtUhKj(E7|DT5azZE-iZTorpY=;maa~ zX7p8tj$&IIn;xb%@<2Ph5sf~k&Vf-BTGz1#yx_|oaz^QQerTbGN~o3v=$8yVxR0U{ zt}n}_R>jj1{OjYy;5^cJQkO?kCG0fe!ks-TBK=mLm*~p`%K9yLT1Z0AluPM&`k3&2 zxR3Mna)SCic*>*21&5&t;{C!>+FXDbom|!*tH}Bzx)#d&&yTv1E5vlz+`&RneAK>MJCMk&&UQw9qv zTeN?PT$(0N(=R`l?9)IDHnI-(0ubGS?Fs6Ni`hwEV+W_7@SUDp2-`z1)-oDD!Ag+Oa4_>R?#pE95C`_*?Ru7}#mFI7do+(09uf ze2&CO`Z!^uwQA(+n-YsMLkF4$=+t#U>RfPm7mSKh~< z{{sDu=sj#?VOkEdA@$*6Ic@=w7q@_!%ps|{4@G;Oo7<_;GoZ=XMQ!Fd&)%u7lz_g^ z=Vig2i_owNMiUs?I?%`qPqc|15>iV* zpPqZ50iCgnax)zB~@ABPD27mw(*zY65L9==UX6 z{l(K#@-Ny$q=_&sZK$|3jQ?DFNFeA*{ro!Wa|>E;rGsW03GUNMoIv*#2z1Xx`!azT z8Ehbh7qoW@2hf%JD6zQilz$=ndj*LY?-Q=X(A7ueBgVIk&wh!!koIXe7K-3-m7xds zQBU)u@)V;RdS6l@X7q#$ib*N2E-|r9EkWc1{i9rSl`2R#OF^M=JKo}ya~Cse|L0y&`+M z!gu1)*3|Sl*Z89C_2nP;+*7|)5YW>HWx>-k4V_6^bhhe*Wt>O8i*FlLM;_#D;x;Jf zt(zrz&8O8{Jm!Je{lAHQa@Vspk&UORv{y4(-V1JRy3}+GvY_>aN3tfd)LqPCS)Y&o zHm}dSRsT%pCB#`2w4}>5-8};5^9sq7<_4sn`Tl=?jk4FUxbZ=5Y*mV=Kdz0jHR$nj zG1{H|)e2H$&6d;_r3}b{P8PI!0Ud3?D72LMO7f5UJc$$arOq*+*-t^*9NNIuR>8%`{KyudKKvQ(q;41G;~jT>Rcm zF8u}iLo1PO2PibWQG#7vGSEHfv*iNKbIdIS@ig?8KXK;@lr@FLSx4lKE88+w3(5|^ zmLGYzT>eEF=2fS|BnE37tEMvaxAvYYXz=;XH2I)m-rqDuNR%cccOJPGzo3Hk6&JMb6WTOqc02@e4hbtoj~D@44Jka zZRao>D7Lt&{Np~8t9tNTU#_{tmo-G>oi`{qo+j>b7eq_>PG`C5xzUxeL=Kcz0jTkz41qVi&+fl z%3%eYzGEoeQQ~?p{}zeMZ+rpt7dZjplE6kU&j`k8qwimMv}F28@{jwxfn+>QuOEZM zj&gC&_B6eA7ER*6jD{A~%nTWL7k5$1@C}iHnlsVt3mK93t`TV&GoEX4-Y9Xj1VJy8 zOY0y{@QpB17=8kS@>Jo%-Ti(UQ-|O0ZWfB2aGfoeN=%%6Ec9CWP3R5s9HHbJs!atY z^grc_diGJNXrR@U%H^ngF2tCO5cfx8y&~j6W>OK*P_+6ZxhTU&pB1P_ zr)!cg$Dy6D0-oF-`C93iRFj|^NF>Vo*9m>9p${gM_Zp#HTI@7R`kjJ?6}&^jl{+PJ zlEn8C(KiD@=Tf~yM-N!Ne2hG3y#zf%F79ggqJ;jFWVl~b$aQF$9t6#OF6r|Prq9nO z^cX|`rWNJPsNnmBR@%!A-E2UMG*|_)X1}ub`sHYQ>q2XwGDt!LuVSS|BV2F@tKgim zkoqU4Pi!T8sXk(wdmE(vb^<>CVrY2EgMP@+_dwdGC5J}{-<(5G)@nvYSviI_R+$le zc|&;2u))N_k1a_iNqTMQt%oKU(ac zP`qK$CGTEnJmP-BLg{Y^C2rW#XDyU5zSeo-2-qJ(tqkeF?%caG`d4V-2}|OK)wm~Z z&_Xl2eKBpW%7aY2K^C%q-s;S2M9T2UMSkQ8FIy1!faX;{#sr|zDnPr8q3B-2q2Z!L zvQE7876+Xm;S)q4lr)p$6Ldm<4F6a?=7~Q~Ei^T~(GdKWm4Dpxn&-1DwfxTO4u*bz zP+G*?%h1yXDDu8ao(MfTq4fa8_FqUkt1p)e3=;p%B%r&tAt)?=$;8>9TD2UOXz22fiL;P ze$WoHov{0fXFCfT=fZbcxn`0tHJ6JvnzqYp=E0I!w3tg+=)cpL^=mmYxYzVdjk(Dm zeD9Ngl+;Y}C67A^J$(mIQ_UCerznUt1(7OPXi8H$Lg)g5bfgy*kkDJ`O}a>vE}c*W zsnVq@ozOyuKnPL;7(z*bguu)Hy_t7s?wOt4J3IHzJ!jAFv|ZbifVeGXqsJ|xW6W8} zw0G5O&H;NPx9F{Cl6TuJ%?VvIgG<8Yy$SFk z>&IY@Tn)W|%{wny&Pqm^EwOTo7I`n}%W3Hw8)f|T-BGo4$V&00<@&a=Pnd*V_TQ_M zib13dGYWhHrO6+r?J2;*r+|~J{m%HTwry(C+KPsUL zFLKP)i7mTkI=!PvTs-P|ga;)y@z zjzI0;$w^8u7Xbb27SfzT=cKt8{4f8REi{T2AO#LMV|)o2ap5loNP7jefM1+M76l`> zQWK}TKDb{)(GNXJi3w5jx6pF4E-iT710=ppyWRM+ulOZJ?Gp;9fl1~1U`v_W=XTQl z*h9D;oefkd?BK1Yx-eMeBri-r2-9VPaf~$_Qcf_v!C5G$6nNsBs?96WoHU`&U+NL# z=b~!2Lk(H|4_3rSKR!my%X8M)bsfSikW2OcJF7ri1S7jZljhgSmt9>yldqU-i}#qf z46P#$MZa91Ut_BEYAF2ilAJLiSXBM`(NGUUG=|#6ye7^W;z`N`3*rs#)X8lj`gE32 z8d(;*U$DG$Dm zv9QLnKAEH!y~%w_%D=v8*!j0Xn0r13oH2c2p(NMX+lJrJSj9txVxd&R7(0?a_e3{b z<+UEkf#nKw*5S5=CaIp!injv7FV`TT6X_hx8rf|sWfMf830>pwxM^)s_tUQN+Ls*) zQs%Vo#8k@MeMzd5mgbAi!j*-+dhyn_+S%Q)4#txmLYL<-XK8Y(z$SKFi)%vA8Tkhx z6x4k12jQLzq?=e#3$G7*Y_Wg6+Qo5J1{=&=xL%Bn=Eu}u1zKn!e{p}5EW$n$vA!i7Df5ot7p7U4CKE$Q%L2y`~<6tD$&+A35dGSps8UmB*t z;MKJu5kWCTZ56Y4~3iKU9ad`vv%bJt$bkR2+?*>G~$aB3*ME~y32*WSM{`{EHSV}5j z*4OY=#MA7P?Y(}+rVed4*Z2N2QXo^{XUqV8U@B|$8=}zS zfeavRMUj7h$IUW-jVrYbeIlSk)_(PtN|*+X(-36AJikWDFE^ZMF0o!=m`wPU-XWSr zG75Ngn0wNbE(T?V_A%eaun5?(LV>S}ed%Fxa<jZ%`C+RSL;W$pwy6SQnWb>Q>(Za&bo}HAP-*hK(sz%hx)9O?l=_Q$=)fT9VR&4 z1A&S&LWtv@&AVCfY~#EpT!pv|wmD@FsQ zB5sf00(d$tGOl%KsD!_(?4gM58Ci*mbLnjK<}c?y-t#X}GF=wk8$Js)B_|v~{QXo3nnKrr$Q^2jOKRalPvwLZCIMd_edpT(Tz(N^aa5spDpwQGa4qC8Z2`@R zq2HXV1WX~B?p|)Yn8ssUlKiM5#7$&;?o#3!M8B6i!aplR^6@Z$Lc+6h3^E^0g@ z7yp=_uQ}Zm`;voDe$&>xS;qwrM867|jj_!~>VXNq3Ei^eCpp z{*sdN%ubChwL?X^t(&3wR>h5P-nai}J?HXg)$JE)Y(a<7(>@Dr@fZ~R-qZSt5DIWwas zdcC(``#L~FB?>JPJ544a_mC z{koHo`(H<$6@(+B0RU{9#g44zlqT-Z=srGi7v@XQ*}*rG+)!QB2gJT}TB9o!OQQR6 zn4w|`ZyF`%BYdGuUtx1+$7h| zcffOE@#OA&#-PvDJ=su62a%C6fn<`6xBD+dLbdj>AyfEb{KYN9s0y_VVrHyM(WU^XXdakik**=*v-+a}oD4xeK=yy)ykP1z`{UyPh-# zM617Dg|D~4?Ab45z#c82QOEqRGuuq?H+Aw?yqTk&agfuadxQuuloJoQzt1b>6}Z3n zxm%tjpT8_PC3H{%sVGuwO3N_%=Lml)mB^=L=O_D2>n=d|(rYS|gp2(2Q8coE7GP?a zg#GhsQ|HCvR)L^Tf4f9>`9x6c{HLxWII7TvWHr~hn{mhOmYcDuiUnUnN;pM%R0 z|L>tA>B6vZ^It)M@LV@kK{(@O*5gUt`}_RY;E$$G8Av*};nN#4vz{cSy3Yr@Hv*C7 zBz>WHkiXl&TjF)*pGE1s#OA`@Vat4%ZTdFtm}e(=?}Sb8;+T(S!>~RQ^%N8bhISeW zlzuFO86TEa%XKdWRwUr0|88B5yW~LBQb;NrZZSwKRJXB6Hi~q`J9S zp~~VJ_;5B206eOW=r>{%{_!RY-5B5BJ@kGsdZV1i)|>H!koceNn$i`pS?^8dl>8AT zJq*Dne43yX%2m=D@$uIYMwxQ^z~R(b`D<16UF1jigQ-9}SO+P5);T z)$1V%v6qj*E*wEFDvQrC$AL2Q$9+rP8fEHHqe*Qz-ll9v6 zt^ny)bMtJ(hnvM866x;AU1RmA3wSAJpYo=r6|(LT4z-Q3$H+ow1aEY7 zfjic=#VT2>PgfN`cuTS3{YT0<0|=jwHZT2thtcOEJDK)9M7yQI8mw{a37p*fkdpd| zSLXMZgcn!JgjipV)Y>lOr!(6+E2tzE=nq*oWNFIlJ72%=m0)$(@qsdR`S(|x>fFhU zyP+hI)t3~@mkN>XZv~7M1oBH$@-#(N;!IT~=TM=%sSy|ns${<>>a|mK9u|2(*^|$A z3k+@-iGQI`D^)&_f+mg3PyRfHPF4~IRY=|Zlw;Ss=ynlTt6hqv9 z?aqbRI@xUeOrPFievL1FDLsAoq1llirjDbNbmOX%Dp%a%{hkij0Q)I<~gn4<8rL;oZH8j=59H8kfSp7 z%Fglyk6G}cj6rE&A|FOg)tvuUPX&92zlxqzhBP#C;k-u%jPh`9KJ^XM(+pf`lk^Z} z%)o&Jo!C`l6V&92MRk$>bZ`OiB5UrHTIVVh%Y>qfb!eM`}SKFo-am!(u+3dMz?yv)t@+|LLnM7AFQ{Sda^` z>JOYtvKfFsShOLEhiB$$N!vA6`fGO7AS%`HZ_t8d%(~_V2F>MqPa4zz}k5kpQJqvzzX|8~DX*}GLJ$EGzMf;#!1Ap8< z_*4=mz>^^Lg3RpT@R;z0`jn&-v;JX&^l1;Su|Ve>3h{ULztaU>XBM|VkZ5xONm51E+q%JyZQdif zn8NoqC2+V*{#R%=2cbK<107mnE8M0_h7MtDay1VZRT;jAU~GiXKyT_%sP$* zrjZLeFV5X-grpiCGTbGYaTjf`Axzob*?^1|iip3kXm{X3wyEPc7DoP4_&8L)IuBwd zGW96*UWNhpSWC*(Nx+PTTVN|vkgma&{PT=chd=k+2f>u&ntKr${4fLsV^G&awit9S z**lU|6Uz7RWT#=8o{@t-Fs!Qyyi05UX=vC=)}x2Z*RaGFuti32HRqzjE%iL~rLFUt zJKGBiJM0dV>kHZVY5vwG+}uh2pYt7YbhpsSn?C=uQ_V$3M${9y!454kC#<&svto09 zwn*Id!w-kr;DV`^m0jADk5^Rx{5fXLJ6~tC-<6~$V2%5STe(@?Mhp=`aU>`Vp%GSxGz?tXVO)P7tu2eQH^}} zI9Zt@#Jq23yl~uJ2WCZx$ATRO^krQ$p)^TZr}0q>vRhWq8>2h|;=bB-sVcGIZ&2aP zmD;ZlCeZWXXaa-MtO6Vc%qTL9e~CWHzTAjcJjCC=QhJ@#bAXBVQwXjC*Xhi+VvHN^ z)bJ3m`?*y)J9r%}2b=ACAlg*5Ub|(Vl=wx}{kK$4D(;m8VWZdnpQP)XV52DHsE-_M z?g4ixDsa#}Q|wXwM_vzIlM`xHL;;kXujFz6{`_*i^9e#nE{+EUBD_WY^wDrp^LWte zQv$B}dIPfdteLF-Fxbv=+S>KJ?$Tb^m%3+3&rzN>uZ~+5CvA0Gn4VaJT2ic5#XAbY z1$=MiUVPCo?Fq{BC5x)>_5m)?ab?j-PNypC1SWOrCgf7sTr&OLFW0#Cmj<2P4s=re zdy{T@74Hr`_`%{bZ!L18dei{7@Aorps?)nCVWg55^Lr^Kcd(Kdx_o58%ZzZ!>ui5V za`l;J7PMfX15rZq?}3~PM?HTt`F}qz@x=!eUS2|7=fP$gYBq?c3c-NuK7=1#-iE@^P0R>dskr&h?2S(~D01 zQLNfn=7`N1f!W~My{V9wB)x@vd{H`65!J5a-n}J2>uLkbFJIY7)Xg1CJEQz(<=2D zhyhZj{FGuwJ?a$_r|N~)0*kglU6M`#Pw%<#hF;k~mrvwm>Yw%Ifp@<{Gvidkmly`w z5A)CMR+QasP8LbmFG>#~U&~A{(i@7oppXK`G!dyg~iBMtqI+M0QC&sI$AV1&S|U z$Zw;4HQlYqmm$T9!BdBpfOGn1VrRlMTts3P(7a-(Hx;pOJ*SBhrVw}3*cSM}q7ttj z9b2aN;`QFt2Z>*v1aU~}fixYPN@EN^g#$_Ld>DX!aF$0S9UuLSP2vDDNIHoQ^2iSj z4dKe%{h=pKe;&<-7wY()>9O+@?8WL}^6!VkD6CuNVl}5G4wtUjD$RB&H#$Zf2lCg) zb^V4mF`?>WcXH0@V?UbJ@lG0`4nDfO zx66c(W<^ZV>ARBj16AZIctE11Nt~)gme|&CDxQU)Vk~p95tFT=TS);r>()$tgy%0c zcHvJ)vp2Eb9e#nm*@iyoVMm^xY<2{cC&W7aSn7IZ_Nz|&hJ;%v?XAb)2_xrhOJ`Kj z(&K5HkIkq+m)&4*NJG(agZV~q%(0s&SceIfsm|eu_}WGi@<9D?%aB>M=LEH-ELl;p z$H_3Wg2Gi7wK?4-=)F`PH20u;ai6ldgU1xr-<;JopljG5*)0bi(#^`x+F^7}rD#=K&WckON<( z(}!vLS0!3Ryk(<3`7uc*s;fz@ti|5_lRh<~UHbc$=*t;s3lpI?RzVW%&khq&y<8Y` zQzvE&{FRP%PuIVy((beudh)hiJ)5-W^rt|xi2Wops87`oktre zISRnB?cEeERXY1Px+?0BG0#>3kUoYFo();t{BcImRS!KZGWF(6%A}O(f}C-s8~S*h z*9kRCbT;Y(dIGj)^4klFInwP9a_j@AhR0;G<*NajOFc{(P6hq;LpRzb04-J& zRt(FAp~k7Q0o*GJVc*8<3|bixEKcYqT|Gi*!=4cOP$q7PSE;_oa>hMWR*nCU6o5{v$jm8JLJ)drmGMxXM zG#6{CyU+_KC&zHL4&+cT3qR`2?C^VZogF}zm{VZNXThz!OW1ib^CKlQls0`>og>Fy zwuO#%0x`V20F}4MY4`H&to63W_fko-t*f{>rCQxgUKyrJ!^}y9;rYzf1-Cv^iN?Qj~T_5oNmpU__XfYqj(X^=9UcYQ7w)rly2esV2(`F8)PJOYB+JiCDvwua=AVE z2(9qXC8Rzz7?W2pT)E#DTrp{9OgtwO0OQLPgL&267ga%K#$2T-sZ!*F9NTgjfYmo4 zHJ{kzgSR5Ybg3K??;%t8mB&7F(kIqOQ5=L6|Lu>b9e=3wKkoN2)c@EXAx1&zFvloh zQ+!%6@dNO#d0Zp1Ukpc7rltd#tD@#2pY;#^M*yp$sEM?hAZU+Erz%Hi zJy?(rBcf`mgA}Dhk%x6=KF0AmO-+jmT1GVP+=hHHFNSm6bxtq9{^07sdl@rux4}*( zjV+TUFaT;mpT4@9K@*o0=ts1lThV^@GHvZNd8^9;tnWgcvVJ-%(@*J`%mJZ$8Ri1O zaUtbS|GRG;izHE;&t6jNaJeD#7+;e7@AisZK*M&g)d!9A7$5R79WWpvczUt@(?hex zPYcsbWfvt9aMkT^(H#}`ruVJQ-^ul86F*(huk5YO7#y&scSL20X#0-fJ5MKZ^eR*+ z=J*BwasJH*@XQ$JMwikKsVbM!lDCCR3}x`}ll?jNmSBmFA~W}GsWzNYFg9Ri@sQ@1 z=SolDQxCb^uI1Spc<{JS{%LE27gFK}Y7!$EX!H#1tJAxj2MwKv31f!_-UBwjcEh_; zCKh%>n*3*DhaSzoj2p$wpxS}>5eF%D?9#ARPE^Wxr~kJ(!iVfEg?Ao;ZU5eH2PXt3 zi+jl}lf|^HX*B*{O>n(#%WMl-SZ9rB)(*4x6rTLOQX1QKGj?ysHRFWpZ=M6oyHN+O zjZLqGAf~i(dB(vbn*!W;-_6(ay3&QlfabOz?Qe0d9UOYP#!Vfv$B++StSR-9oZJ;!!v1xhFVd;-49@zQjy5+s=OI$>iX zW_z%QOAsGN^rP&HL4Pi7jzKd$?0G|MP}~(Y?tO3)Cci-+PLcKN?!H&dtMJ_;&n1S9 z%cjrD9bAOzFag^2f3}{N-2+LY#)<|ipDAw3BF5;=72h{1*n`_{y(()GP_m_%f3Ae7LjDV(*PjF#F=^o-ON+PV&g^<||$Mo3FlFZhr}Rslo7e z0xT(#J+F!#LvRuN#^U4l@deho(iWAg>@#=1NEB<%n%}ZO3i15&{cEmy+0hc-3tPEE zQtz@n?Htc_tfw0l&eh(m9Z3B_cU%t-t_*Vu`DGI%=#^k*)@*IF3BcHePWGRd>1yP> zsiPZ}Jw~Sn3#R&PxRsL+q4jiHYyQ0QbyDSd^5DG6S2|Ix!$c%TN3*cwf#ZYSLxBz@ z?pcJ_A?{FPmdEXAc7m}j6Y-Ld5-=li{4nBJ4N=z?yE zeINa?m%Xs@_&hG<>h=tgoPd$Hy|K2&XkXN)sQm_bDj)2#3GKn>nC4!T{gmfNyjP)L zq!aqmEd3mYGwOihrRsDzL#z*0qX`h5opnK><@(ib!NYszD(QkWiad#E%<-0BN_#CX zy>If3SabbT)MKl4%=|UsmMwj4)K+wdeFg8FDNRqdzT)OK6}2zhN%o~kYuc{dM6R9v zuMwzO%zs#>>wHgC6�p1D3*am4cJ)SlHM?93SHZl}N%77w+BRR4;ay9DsUm_j)dw zRo58l3XUnCYPl*J>?EokbgrEX#$NIc)`(BNGUJxT)vFa2o)N-Ab`ESc62&S0{wx~h z@X-E5*n5p~SS3Fv<3LYeFVTa7If+i_q+zWc7Vr}p()AvQ*GNI37C&vc%yBpDY)DJ- zgg^)n7J(VLh;GsuIWL+yn^Rt$*wvke*d>ji#A?#dF8j{7@-UuXz0R~$u4bTqI(3<6 zYpx+rBqQ-@;TYlb>IBm-8%n3rT%zKbKr!Bwh0}0aw=2Td$M}U_#&&C!DRKJq&LmR~ z*roNj8&ljV*>lyhj;ME?TJd3uD`JhKW8ANhY~Dr5#^Wl6{_as@(QjIJYf1MC{piip zFGHbNL+8tK2+pcGe6xWxmWvW|EimkadUuiCr(zP}s)v}#Q+k;+lgVg% z73AE?xJWpO$NBTA5*Lkp=sby`-W#56Ucf2K;Pw`uk=!mln2!Z4%m@&$X!=_L^6#02 z#ofvj1rcx!N8kIs=$E#BZzCzH%b_AgPy}0tp$b|8)DpesF5nn&6Jbeb%@3AlV|VmV z)gGE1`EAB0^L+B!wpz8)UYs&p%MHk9%8Ul(V$4=C6%Qsrl|c*H5ZfaO=9a`*QRC)Ny7cuR!5big;y=58lz(Nm#6~T&{E)T=DPKxhu9D1M8 zzBijFwIf`HI{n1z7PZjMR5?{}k~r^}_2M#SL1X2`rCUjnUSMz(l!?$DefSPoAwI85 zzWd*--rSvU#m)6D!P)@M;gmxNA;HCOhVr-vQi0^S6 zwJ*%<(c|wfq#cY!EZ3Vw6wW-%^9??XwKa_YhDEVLtjFJnQjTKiqrV8g69<698HWo2^jXjtFbJK zNnM&U3jZ7Wp7>1=%|c+KXKD5Zq7i(vr(&H6h5in&W7xkEg%Fuzp(aGl@!%1^Q5f+Y z*CS_%(0$CD){-&+BW^A^KHRBJQk~38Ir3iXdNnStgh+xsxmo1V8jkWcNFE=qk!UJ+ ze;+im>DzVRd8O^U*9cj@1G#T*8ypYV9o3BDWIIv@KA>r2;e0EoM`P=D1ESjQeG3eY zoZNZ(+=6W>NifSuD?|t#k4vbLwwsvUksp0~DDr}O&YhoY)^B@ZAt>m9Gn(Q=ehgo} zVtKTx5O5$XIxpnG{UM7dkGg>SGx9$`fcbz0UnO36S~oICZuvZ-@~wZ^!>YJ{QPbxU zz=@Nr>QjoGk>T>tUOD0|P}oC@sa4aGQwoEG{R<^y2J#g9@14UQ1_b_epStDvw4I!{ zax0sb-|dpJqXr!Q=6|s@(to@=6ej~U4R8)AlNm4;@E6TX-?KX#$WhHF=V3=ilWsLZ z$gU(`S7?%0rvV=zDJ7HK-x4REesmW^9)vj+g*tFAPp+6*n&Ha|VFKwLfklb`vNGg) zeeFj@uMq|8#+3dy6O-ICWX-9R37{sUW=nT=(wQ37H8Af-FO33Wb=)dW4^08jO;fV6 z*yM!APpDCA5a>&c!R%ybnjZ`Skud;Px}d_|ET0bgSFezqnjCiORLbPi*#0-cOX7?5k<4FtVV_E+K>as@xK)Q_`@5+_%sC4y-H zlR@31nD3=shz&r0moY_1(IfnK20#q}y}`d+^rq1-y!}w;e#;!0%&WRjT@++4h1-Gl zkOH-<12*CR7hApx+Lo7sL2=-f7#;&_c?Z5PPY9kDWc-J7lZbdMA8z}1E@&o@E9{9_~DIv zMYLKu^ObLgq46=LVO7{aV;KXuf%2ayk|fU_Bwfk> zqfV`zT)iT?3iBvd_AGw z8AvTDbY*nwSGU9|u{um4XtH7K&L+USp~wnY0T@P=w;MDvhFePQE=|4gQ1r+*dfPuK zMshWsqn?$b>=|1;ZiZU6xmUJLeZ#YwtP5W$l*0AQJQRI9 z04yl}g!(M|UvPV%B7CG$l>9FuTc~eK6yCO>E5iunjwe7TQ9fL zi36UntbPH0;6I-8#~#zTV{&@#^!LaPQybhok){;j0##Q&*gaQ6-`MXql0yKKim?j< zYdJTtHd{*>uvSJD(a-SwdAnc^p}OKQ4Aaoe1&S`sI5eSN|_&UwBV8Cj+Rp( zSMA7Ad0PTDD6nw5X$2=G8Dt{^^06sMA(MGk>D{-0v$M9mQ{B0lot)gM)lS*^{hGYf zeKU81$#Q;ijUtGZ=t6PDOG{(=E8G9b*VR?PHBbfscu)D>u&s!!&N#25_qo{d=1CoUw*d9RrI5>5Aqpb4X0>9RR)Fx4DRrJc%Rd!#VAPk%uh;+^066=rq%Du!?F?L z)MTMQ3m`yxY!Xe{?Y`o`Sr(oxPQ91}+ZQu|0e|IuEO=Fr&rb$OQ?o8B5!1@2dd(vT zJj03s;E+Id>&h!a^Tcm$Dc<3OM4cZrCMh7!0;c$^_rfy~Ob4nv&ryZW(b(aG@YNoP zQ@d>Q)ZSizqHvQqc5*9WdrbnXocrbeG`Kd4NvpZapX2-2v>TLD@2>NMbideR_ow=U z$Nf@b^eL*Y&*{$;O$qCvB!iiUPzXE$Xq*%a)*I7Z3w z;z~_BUvf3xsnxw4ZxRMkYSoD<5fS+CZctS5nXJXuAwbYCG42IqN|jSs*qLph2htt9 z^6KaQ(}dsm?_A|f#~su^3#6bJKTM3Vr1XB+d)yNDj#cK{4Fms4Y^lEHk5JRI9>3CJ z=X@)qWgtQixqEAP>AsaZr6sZF*puq7h{A@FDyr(owrJ5*{-KoQhmxZvC*O$_&hqzX z$EP&XL$t;pizk3=-!+~5I-aZO1$<`}6pGWeiuY|v)%@!~BNR^B!Ii)6xD`tgLd7AO zkwf>}8nhhw{5gfg(o>n~twugi8K2q@o1nojbj_c^- zEdw1LJHDc^gNwPLF}|F>p(Q>8zM=%as<8pSu#vgb_h}8tFy9zJK!1AvIRXJaf&E7l z^#4b@0iSC_W~mO|o^u=2EL&R1w|-1@c(>bbj<@gGZ|0}1OdVZ5`80gLwzAWyZMWXokF;ik zzBVs`(tcZs`11Bs761lyMUw#d;UUBy6}P!c;uyT;VM!b!JF`yJj?MwR{^`p(whi63 z*T}!NN$+VTbxj+uz4bfrH%_j))0S|3p_wDW_Czyic+NXRantdD#H(FH*ErT=#Pn#I zYLk*zQ6dg=iz}59Www$Z?p);cbgIY{B%D9Y*(pnq{&?3Og+ERU`b|1useFQbkc^bu_?Nb#f#xUWK6)`u zGx1T_lEjp-0a~}56}Z4Yl^k?`K7FLF(8uECaogM$_0{;A^L{;@e_EGt`^s-MxHf_W zsJtEy_Z;E2(P93b zp&*ne9I)X393v-xRKC%E+*LE_9HThHsmn&KG@s>gzJ^G{4csf%eVRm;w9&}xLF=MW zifeQ2qwx@(HI>NNU@+{RhXj-2tXSvjva|BW5Zmhi-HeNISNdIldGqoMPV&NCryuqX zcQZPKcLOf|p*;CFvlYkoc!;1cOBYt%gi;+_y$#F7K!~vlN8wI?Fy6)MC(2P5ze;^2 zm+&GhUiSKQ^O!o^5l^Di2ZXdVWdAUx6OQYqpN;5wLJSI>EDcf(q2=_$pKR9)k!TwV zB^hJFv*Ubp)*qYOqam47Z|=?HYd}9aPcln}+YG;~v6{HLE&G@EuWjzWvJYW_guRim z$4%J1x@voF;22pj)@uhwklWynB|$%^EZ?nKr`lq=C)qK3g`?+D_Y2xD9&|36gnuuU z;^5tj5dJY)wI2h?ozMB@<}w=5xp!ZRl-Z#Wx@7tHo|oJhCkBa^0$&|LP|BX|$A+La4c(E9Zwp7}~DpAS80ivgb48YbXx`=2hTcgdfHIVecTWZOq zU(P8V|FHShp_A#-QwFe%W$#+kJIA$9VbuF5IimzjUddL+`_0*cKL0>fV^2o=R6ZSH z4fWDGa~~~QHSYe>ZcdhQwjrSUuma9U@q@-Eu0HvV^6 z1-J(zr9S)3+;C6av^%qYCXJKUP>{RZ<oMO8QKMvG#3jB=kBukc@yUir#f_tzgkQr#?~e(C`FTAmjN1E ze`U*Y8b9hbOx|Zb{p9@kUMf{fR`;^s=FMWR2UTp|(bZnWSm}Pe_2M?;wYluwBBR?B z5uy`rqm*zs<4ZHH-FWl-Tr|(B3pXVaZZW58z+J1gab>#d zC7d8Lu6f$3*Vr#NMHbIOq1bGUTYWu_ctn@r?yP9k{$*6v>9)TiZ!pTNU3-nj>YOFi zOn&}4U;L`>^d6f45V5fTStAi=*fC@}v1hE2mVR}&ot@vLeswO-ZgSdcO#OUxo_mf( ze5QA&T`-p4qcS>ci7}<5Hx{A=uNB;q0Zfj)^3c*7I_T{ZrLNu2$y$WsJ*KYi5W!zI z&3e=Mn_wkw*Od9Vh41N5*guD4TPQ-vs1-J9W?8Clmo)YgtRqU%AA{X0u-P)h+#i4J?Eer-jC=rSui1PteNeptcLeJK;ds z!?6{y9g}m1E%0_$nGShI2gD#@w>Z-0Lt0$@VI z-_LeoX&$ekr}(xv=^nLP7CvcIX>Qo!13CpwWTfBFL}_qk@G$HYtP$AQqd61nV$I@o zaO}qw&s$JW@hTs@_IFn+uwu?w-1HjkkH!X7tD{dktt{wEsw;Nk)upB#{WZ6$;5@x8 zEMVZW6Xzk)$-TzN;rV>(MXHH)iVxdX94MFm)ErZO9Y6oMVcJ?| zaV>$DQ;n^h2Mm0o_I0xOB=81Z^?ICeRv~e^_(L}%I*e`ORf>GMynN&Xc$zDf3Q;E< zJHrFaLmcZ>QOemRzVS$$wFn+_-r&*`4ERH2TEzXe zBJ-;bRymU162xlGQR*U6wnn`Dy2(e~1yfdmyXJjF7~spzLUa+E4&{)snP2Ie#V}T^458d=sdrg^WGyN0#AfnM+)udPuk=r4>bSd8{7?=xhYcX)t^OUk|JIViI)%X~tpY7ene7cMb8ZRMG7mzdmo z`YpeT_19x`0L_6GW}nXT5ivzoTr zowUxsCFRjvWH;YwlMx7&|5t*gDo2(6#p@WBS?EFZNEif3#>j6Y%gb9~Tr0QTS%f)& z8?RPIaU?_EdR4@i-p=cuMeh2As!f^h&zoGZW$F9ade^kwhCK;K;Brsx^0)V{Jqt*# zSCeu~I4X02tH6EzbM&W^jXjH}iCo&wSt}|y8^yMcTR%aIG3p&jWY3K3)fe>p==v*l zNu!ctb5xjYS>B>Wci8xB7JmuSq>or`uSWn;0`y71DT~Ei_jN98>5R!7NlReTV)o}+ z1m0K?~}0a z$hgyS(yzMgd{fv#yyI%OxeW$9{CPVMb3Zs&rERTkx-FSVIb1o@&ni-FD_%Q}1C! zlhLk@p8QM!VKbyA$MUTIN?`i`2wVb-7z6G9y%%Nno?uw@I`M5e3O&jqu$~F zNMI3M!hb!nA~B~FzXV4^rKWK3tSt_t#!2H&WB*5%@y!E???4zK{}D~`Rfz;oe_`Rs zh;>}66Fo^t6NLY`#v-88aNUcw5lt1cHq{LL;l@5Ag*y675lKJe{Fo48mLj+O|OeI>%B)$Yez{E(Y# zdvTE^4?T}>Nw<3K*jx@9o!p=ACylr#=%WL_fvIAAM;h9<)(V%D=REK;Yfh+VuLTth zFGMdd=%@Oc57i?Tn4unnicWsTKPV!JF>{W3SdstPStGv2d0pD6d3t<4shIQdigXsH zF2nDMcimVv%w8%v94(ojR9eaov^~GMZ>6$yFZknJW%m-z&C+3Su}NN&xETgP%$enD z82k4!H)An*RKq_>l+v!PdP%Hxs`S{D^Q}#+zftMcR=B+O3RFjM{6>9wADS=fG9=SW z1+qL%!b==vtH?JS!K+fV=4&(6G7U!R>)~_HkXwY-)wfzd2UVhYBPsFZG=m8D?B*Th z=_q?PeqJr=6WqTpwVObB&X(A2hC>BL+LG&?)J#mB#5q{O4DM1f1hSldFM}ZFGh(!O ziz+hEzzhTPkbDMW9ET9aP5=7?8g>C(8mAB$6`LK|4>-a80MKS&!T#ymbiqbo$51G6 z9SqEn5b#hl&_j4c7+830n177hJ=Q(bbWB!~|GM;VFZ~-! z|Bkr-Pv)hb^*wl;_MV_Mj=bG$;NLx`_gDFv=oWe1M;@jZ={xIgX)<3dnJxzX43yb3 zbOv2imN*oCy1#pteYbSh4uicd{$6Gnd+%pSW?bW0Iej&*a^m5sU}YWjNRo|t3xcqI z+$XN?gnkse%%bd5{`p^$Dfc32rd^KtZm-)Y_!ZKU8?Uv+MYEd<)|g!ZRPVoxk@exF z`XZLn1gX-_fB7OnY>X`?@3rQ*j=#}IK#@(;`Y7Opl14MLjY{g7GXDk>^*K(X)wOJUK*vs1O*I(`J;oHDWspfg6qq=C|M zY)-<_qaPQq)doV~VeNDon$EPKg@oHG0wJbbDbOuhHJ5yOui#OE<%oc@6LuRd9o68YRXhc$ImGz$i3}17V)_#}9n-qIE{a-$I zJafGM)ur57r%CoAI&a7#=$&$YKX0hkvEem!U~1p=o+R%^MQ%@=f)ArVtf6FyCfnBF zB68*)ZZ6>dig7$d8r?JBWn5U%fw@b-BEiD#$UfWR4E|Knle5&{n|G62_8Nj20-RYa zM;17m8bqG}!~oZ#xAV)rljXus(K0l&GWrr;^?zx>i;O(4)Ji6ygRP6?_)B8^Q?c#LSQ(q+ zwS&r#8FW+i+(b;X&OQB;Ay|t<+_j=dpB}o=z{nV%vZlU9MaLg^l{;82<B+-aSNQ#;QdSI$QLwAyiqMDAS}fUUI8z<~h1~TPv%lJe~2M+(@c z)K}Agne!;Vhdc$g2q{1RTA95lX*8QCK5hwcn8_{N_voF(~pb92TWP+0;aR^iC z7leOXieJIb05zg>uPvulHZXJY{U^{@E71I>c_G z9Qo#6v|#1rrfHsk)LJKRMw4RylVUCJ(*K)>{hO`+o74Zh%=rK1V(gtZzYd?p1^E4~ zk6ra3)^Ki?d8C+$_k(lCUzu0*7weS;cMI)`PJ*K z)Q}~Ait$O?m(V*QjN(M{XsG0&cpUmO7xD{DQ8@7JW$wS6DXsuo)OrB7ct9zIE#&Q>G zOY!oFF^G3?`M#`BENp*bNA6ZFjn!EEi(t(SkKo2KW$O#8$bc~9_qeSDk!gy6=8^93t-SfYRYZ^b=XS2g$~_*O>-&+M z)A?`nvA90d{6ry(Pb)~mgF+woh!t5$uRp(hqVEN46`>7(qX=YBGocMBtOG&qAzag9 z0AGPliUxL_LL#SdWa+c8rbDz!)>_s3)O(%w&Whb7BAGa#Q`Cy4OU5}F1U>w&u@hMUTpw{D8?zEVSL-QD}AgsB-JCo7ETZ3#`lXz94_k0 z7Z_S)!_FZO z6csV7-DW70WB5MO#-Q_5r~E}>5t?0eE+R4f5IDD?cCvu5eDla_snI+XhLL?GZKIVU zsE7Xa1_QQ=C}8tia^uSIuZTb8holqg6y}I|E9pi%xe{kYBTz*g zhxH!}L(hX7(%B$k20z0a*lC*PpV-oop_wvWN_uFrs@T~*B_4>D#{kHFa>woM;k8@tT=Vp_l z&X};F!*UY(iVQPDdIXy(^i^s@LQxbNb5;dZ8nz-xZQHzA#?~9%M`#a)p_iOqKWJ*~ z7doGgqcoQwkZAvGgz7AwA3LcMJ z-HOw(wy02Evm}Fl$i6u6{kF5pF=_%vez{{IShF^#6KvdmH`SboTjeFpUNi||I)Stl zOY}Vt8E~bNWR+wWJP9F#Be7`62s8GK3eHSyERl*zD&KPaQQTs%RdSe_|H#Jlijq`l zYo3@g2-^YNv7kb|_4!Z?te{W1)9iLxIJogHhXePk@k5%^p%Gjs0&*eVWqwralfN(| z7JJQ`YLhfCQfe$PBx-|plP1rhgZ6~8xRJJfTX-IjP9hCz&st*9z>+M0I50<$A|V#1 z3`VRWLXam_pa}_5Q?X)F6gEc>!~v!VZ7Cr62gS0UBxx3DG=E*dt&VYsrVYKG+h^DQ z7e`bZd$%cJ&B?iphXvVs-N>Y1DUm4g`>xcpJ8H12Y2RE`%wf=6U83F7Z!!1F1R_WrcNRAs(m|}e3qs1cQH{%lQ-`tv zl0$XIQA!C#No~5rR%jFRx?+mw$GY(A+e@Ha|+W%#tcgS3;8E z*XPrVOvtAR8r0*HN#VH5;)|Gz^VqegasuVU8-HnT4^9e-#18ZyV=Y}BBNShC;%O{x zx-DVbW+QBQN_~{-V;BsP+B2kS@QTQ-#l zm^8>0DT2?&BH8MbpAXE3{3?Tsmy$@PpruB{T&bl0$yE#$hp3Q56k;+V&H!j2?lZ7{ zrv$X)as{#pYCzH&g!2_mIgc?IB}&96e(wwksQ@c^fJ%@%vjy{q1Zr?Jv2-&3qz0;M z83HnIH=B1!5bJ!5v1HnvdDh1C7Bo3&5ne?-=&N|`Yk8XU-Hr)-wIX#vxgVM5zQHv;(^M=oifq!!5TB5*Aj{xSRaafTQz9hR zAe;keNKA8e2uGJGVYOSA)-XvmriqU>GC~zgOdtglPk9;hpJ)tS)SU*Ix2MB^@Pv#GzmPHiRCzj zO{d(f?AX(|k6;L&RzCab*fW?OI{xYQGe9zu#FV#z>2?|Wr@p!7&vHlw+;$DsQ%{8M zzdodrv^Yth(~pGxL|Kk2*w@5DgaY?OIiNXF7nMwqTqK}H(T9G%?=mT9eNJUZO=;?w z;j$GleMJ=bNffBo;WPpTrd_6GRT`xqc$5#Fkojln4Ae1^3-T|XYmptm!br!C>OV75 z$~M}9kJlNI3z`#^U<8_t{Tk(ewt)_Ue`R{_!I4N(w+~7&2m^k0Hc&vhDg);$k(a9m zC;HNTf6W5bogfyUEB;beMU%(3I+SGVRh|7NvV_x&u>iR*sf$JM{8m#*A5SaOV*Exy z2r(@1d>nbL>!KCbO}YAcN6m3!MJ0YSJ>{P+Jd=q*rSwt3W;B-RzD(@qHBT7?)!q3w*;Ms4ZISP8g z;5n8pnfhrfwMhy@zHxcLbSunv?8tXo{BFbs&G#rfP%pdUhVl-I#RBg!?Z%Uoe`&c8 z_6Z;?t8TUWeJ}jy@!PlW%~zEuL0zLj=7sq8%n_EIHz5hdIco;L(+e`7y%kY|Z2yTA zl!b}_Ipl9laxV%Rfv4}A^EaKr8YL*l%rjoLKaZlws#Jh4_^v}Bc3-0a1zQx@fuMo z&oZ*;BnBzb@WP*rgDIH=jpvb+&33dt8NQ(cko-|^dTSRaG={zSEg%+LlJh)rBhcf>{_xDm;>3-DWVyE)0z`Pr=}h zl4=+@Ml{L*#h9tDMRQV8H_Rr#Be4Gs1SD)G5wchl;-+5-;jR7`8Y>0;Z_f!&pZa zaM!7%^4TP@nnt6g!YFD6Ym06sb70a-HSQ+x701(gO^XRPC59!g_jol|;!}|W>VhqV zPGY--k!T@OPd1ZwQ1kA~FbiZAnfHfpno%qG^_gIE9TrAy7&BuqZ{^RQUt`9~Z?2Ks zXLup8m0On2%dhl;e`5yG1*7s0!MujcO6i%LEf*zbA>{A#A@UXH&R9L3C_fNG7+R@W z3%whQmyn9Dzv`)zNE!%}IQC4L=sRQ-Bhv?FLiW54MwBu6I(*zs=bo-;@>dR(&^9Vx zSg;U$p$aI^r{OR&4tIfoQiO?&dL;U{?mMqb3W<{x5hj)oVaYo-W)<# zU5S#v{-~(bL^YzJyH^@EKkZOy%+o}rXnK(3>#!(#w56`~$GRywEh5AGZ1{dEb#{3b z4ZBeA0?Cw_i*xI*MVu#gx9~p92vag*GtQh0xnZ-q0opN%xUfvqVC{T(iW@`lhYE%; z6TEpe$s`CU5J2&djRmCwb=DVslW}p^Tx6Z~O3l(J7rV~ZBL635bj=LrnUr#;cDfCH0LNIz&cxHzQ5Hhwl#MX;mR819W z7$#N|ChxUTy=Z#ng&6=BEx#41-&;9_X=aN&sV$BbO<3cr6RRU*j=ZdvPj1^^CZXY1 z%>6257F^BB=8dls_wNwh!oxhqzHq7eX~J) z_=E@eHtOfzrA*y$xE+A69l%lDLr#^Nv|q?Uh8K0S-b}SB%9F~7>p2RmL)sdK>`T7b z;@J*Kf;hdcO2W?q)AV3Qa4FS`L2unENT%FAa{!Bh`X&2dc0o#fb{b2RPK~|XN4_f; z<~X#^y0d$^+Skp0?RbmGh;Q4=kG6Ws_Y+QCT}M}B?XIITMkZu)9?cOJ!w=!V4k{oW zfx*jR#=MHxq4a=t6ptS;v2)!h$7w-!D?2+6@W2gwcx|e1;9+1~C%Pf^-6wB;WRd8;O1nY#RSD|8RQBeVkDhPOgLNTk{w$K=F(gsvJ}m*YFKxqJnU(zz>_Zs4<>q!?eU(3?q)K$zQG zdJOF!niLPpP_jtpFEncK7KP_B$BJ-7YX4v*%2E{_^qzMN;4q1iGsgfkbUxnV9rxjh z12)#XEi!@-tkDlaE~-M9=I@*_(IOo49J?Kpt_K&4BMVPD6=HfbzVb zwsojL_Y#hqG=A3hUI-&g%sNq5(Aa<6e#78$>5v#m;zY?g2YVdPEddHnvu*1DTK!;? zLIZ3hABd3rtx%Du?oH?@M>Z%q2|ZK6A(IzWWI&M-E<5ApeQLEq(<`m{&obc!Aq}{} zz#==#LT2*f0#vS9eC8Kn-C@@?V3%maoJ(OGQ{|7i1;x^rGgiN`nW*N}r(hOj_nrL8ELziVhWHjYTwOpiH;%bu)`6x$v+9Y!7MhEsQk#jF;h%7K`C(k--=bye-Q52tLs4hJC|D!8UIfTFK zy$d8rRxBum{1|?ahAH4W$9So)FAJ^gaHF2bndlO{*dOuGAA(eti4?F3b%Rosx#=iz zDIeHH-a0zVkgq}ZjKUI$fdu`eJaq#Cp;4O z`_Unmt~;Q7q=V>8f^V7(lqhdX11Vb==?>c?2#fBX^`><%45ACYU$M{?T1$3B^Dbzg z0$clV71^NWml>16Jk?AALRBI%MA2|iVxJ-qPFKJ)n2k(gy2xk&3-1~;%UT19JbHIUY>mm3cfAY z3wmvtFAMS!&Mm2vOCS*A_+<2w|H#q8u?LOf*FG2pMZ}v@@SbH_N#UHkJ3|@sCQN<= zk4z7v*Akc5a}cn*{y<^#E^u>BK?eOZs{?g1TB*UfbMvH3VuM`b}xZ0s5K(=5a97SQ7MpckImCD+M z$o&q$5jI`rHlVVQuM^$BE8)A!=@?|th=_VDEOeUdFlBR7t_?`? zsjXSA7Fy==i|Pa-s#QWf;sC(D78l5I4=Rv`;Y#UcBy@xylJkPhd`+VUp;8d3BhXHR5gcbBB?e{c}%LfzWc zVJ!)={5m1QqjJ%^{!Bf^;b`Y4uQ|*55zRMp2RhhVC*T0mZqzBbuG3$Fg4uw)BA^Xj zS)`Idt8B*UZX<>p9ZW9~v`=0&cl5h>Y7zB*MRViLQ)B&2(of|h>9#4Cglg`I{Qwg8 z-C1`5J+-W>MdV=+ig{GZ#)TNhnWVPS;%yCe)u-b$QpS27eTvMxO?moA>^w5AgQPMn zo|;(J9EXQrgzv{L5Ai{q(Gks{I(iO9J*IMj1~{ zLx<|DMs|OU0gbysg_Dlt!j#%{D2|48=sZF`Z5F58c*@!A*32Q78z#kB=d?=) zYrK3w7TBabCBrVwXguRDznfCn8~NKsPYIK$)_vQ-_mx(7179kC>13SERDzVT7tUKd z@2qx>su*#E@2-YgwTO362*HyVKLUd&TUmN3=tgQGui$P(xv~!TF5+NQ5t}r%&#&fq zj>Le>To+c0C{nn6rOG>mcDfvM1j{nFWhah(w*bNOTMFXI=?;UaVv9flQJK>nGtSajk(0Rn6I|)Dhp!58>?0T=}D7 z79}$!b?_ewDc5OXK;o~L*s~P#!9?=l*|tAjZ;;Z+b~_}my6;kcUmG_O!a4(M5fWB8 zIyKP+(hKr<70Pa*GcIrkD=$Qqj!Nu2!Q=vhKY*s+)0;tLr(j}1)%b44zbEDXcv4~u zVG!8}d5mqD15R4l5$Q|u9dKx^+;F(kl$S}=0u;X}pJVWXY7R94^}dx~w@M@c5Lx9N zjgV$atvA^%T~%nyULCYIBxt8`n8PZlF2P@2G;I`*!grK;_>kMWqpszZtFL9sYwgyJ z7M!Aa@jWAbt{GW8jC|H5D67dmE2FN*jpau$IY{5#aTWQcbe&B>*-pRmgL>)6wvu5> zmsYhl8nXT)T5D2ZG_|7gT*Qd7U2Af`@{tdiO-x*eqanIyZgoML%@N7Y<&qH4_L5!S z52U8kUIY~6NZz`iyeeke8PQQ5?F4_M>5z?XPL%!ddOyxG%bt}u;{2&0ZnI&bUE>ui zpHQ(pgqZ&K0CN`F=v8FIv$^~6i(7b0bIH&+8TDRBo|7-ITzm2iXjzGJ?mEy)YQ$N` zpZvnvCOa#55K8kSM?kJ;$%i0jLwK~kl<~#vTG7uN)mR>od+nE?_<$=~_t-RRO1Q~f zh@uhCmzc5Bop;zMs66i>ChW8N>$<*QtjhIVvl;dVL3v3@c`0S(HIgY0*U1KW9!k*fWr2Tc){gGi|?Tc{cKgxTYudhV{aCs#g3 z+2IdC@Y#=P<(rOJq)0AF6E30RHl!ArD0?5gaHUcA>iin@0)@$k9$pz>HjQCmH;Dmd zF7saz+at?r33#>$zTh%A1&iAei^`qz^d?( z1Ckrr8|FUob!DyK(dEx#yDgCgpQ2M(B&~B=E(*=O?QAD`7(SjF$m;+yaJw3cV%HQE zR6}4sSCSHbGd1t0@Mc&(p7!XYAZ2ZR6I8ou$tzZScIi?0J8h!Agvvp74&z?QlU3wn z5(`_0LNUISjs@;mhp?ab;@e$O0!q56UMbky8l&S#H{0pf?<(^pqm!AH6;?|gZT0`Q z+@nvj3i+P2#P{eb`_6QV#UONL16{eIldHM=L*}X@qW}@3xB*$TXdF4Lx;)BsijKT3 zbyJLQhG(7$Zr$x49J}|`uhw;r%(Z%ymG-gg=V#N__I7Qy_QCk(<4cqv#^xc&Fez&D}nhe#aH-9bab*u>IM! zTT=58x)_+46vC)PJI9SK5UIw^lYL#jj7|%sJCyq-mjpKmF9?EOfpc=XQtkYm=}1f9 zEsp#uuK>jBz6-nz>Xa8Z8<~N<^?so7-&{%NqsiTVRJ*=3-L6C(8T&)@{E9eYh#K-g zCP9GLy_r+QASlF6+i)+!p1O-#F6$wS7{22fT&cHM0u4}r+*30qUSY=}*$=x~2R+s5 zwP!m*DCh_|E9b-QH{SWurrY1`Q!|f!p%;b zT;&6rt6XD78(h4>n)j!?Aba=O(SQ0LB^E;Zf2X_4C8GFDFW~|UU)pJ?T+9s z72OG*Cws5L3~ollg{;MTJ*gxVd4kw`GfA3br#myXAKIc zBiDiBh#RDI!$m|~LIpko1STR6AA*-Z1s+f$1wRwvz?J%SWqt0r4t~J(%#ukI(cb=Z zOF;0zt1PhovmimJEU=dl) zy;>j_%tpx}gq)oy2#2GY=@UwoZ^CnQZh4cCgiAhcPq3jTu2c!6BIJ7#I@m@M8Ya&j z3=Ytlgx;}Cy5rHgX#zdZm%E^z22vBq^Do&3i*@`$bj<_up>c~V*gyWYx5{P;Yw^~k zi}4+DB|r0*BYKc3N&Lx=Q~V{0v#_?csYp~(iDZd6nx6>kAN9#POQ$YJJ$U8KvPw1N zYuuVt9VXE+b`vu#I+jI8)cJ0l1ajiIFmVRGhrDXYMIX5G83(%z)^0AO0s^|vi{f%+ zqtPQvT^>-JfvHG|N&T+FbZnINwheZj(15Z#sUDXq0u-!&d$msgbUj})``rNrVP8!! zDYKyQ=i~5BH>^u+d&xq^6MQqfC~0lzl%m?E+k8e5spVzSpgm*V@s#H1*23n!t$rc3 zA>(cp4~0AIj7{Hgk~(kIxxRJJfWd=db%|@DFi)iIkV^rv^T)~ zR_6sh3lEKa$yoQUNjC)L6^-l_u9VFX1ps=ltn;GIA_(gMbbODQ`Xev*qee9WF)CmZ z+N-F;!P0nYiJbkmXf#XvuQuf?O0K-JqhDy!WR<3;jFNre=7QY$lVZ|eYho^VzAoX# zv2E^S>}<_LltV4Gd_2k$#)4+S+m<-41Du&LGwRWTn4txaI;CfkT%8zsE)ZTYCYv!U zsw;0=!;_~K{qqtG;){94E+=)xheP`~lJF;qoBrdGy?@^8`A?P8{UCPMQ zN2yVF(j<(FJz-0EOH3ydHUAug4=C7D#@}i~&g(W% zKKXoP-5=Iu7naM|mKyfOpVVJw)Z=8LCkf9jBa3TnX%+X~_>Xp6)YA<(W|3~)Yf-bbWp?px5a+I#M_&Q!`7xkedeLhXj)OtZ5{(Oe z-iV?^Y_AI6!PQ9TPU>B+mCHFHX2W%n@fEsyXsrI`;d1pSQ3;tdm%7vBtQ?74&LkdJ zGH<7LGuEiRy>;H}ZO)SCO)7;&A;J=3i`1!BXJy(%VjYQY#)+{TW(ZFoumd}o91%G* zKvDe*rvT#ny~?u@L+R>>u2NBBV`2ZDUz!jIs)T$EyGPifP_$-&hpq|P7Lz7n}N z<&Rt8>M%W7n++6AyH>_nuoW)e1dE(Nf=ZMs$d;Y&ORS|lTUUGFAl_#c9$n6C!~&FiaXqs~YPk%FOOSdYYXB{4dGgilnf*^fSZe7UCV)`kMUN zQ$w)u&W8Aobk#4tA$@ty+0= z1dwDz%C5N`$&na8@WB|g7V&*=bNDwYq5Rm@m$_DFcPQ-vX6DTtDU-*l0A(rRLuEF84V`o-qh2IzP_|9(UPentXrEJL)cj&-gztT;a6rn@ zf*q!lo=Q;@*^ENucTB5A4#jjmL6^>>G+SsNv&$}X!&T`vTo4H$isbVFN3AR4?oeWu zHP57nSN%Imdps>FpDU?Exvy;=MY8gOW&x+4rpeC-umbQ1RxKW6DveDq%jkvK`v0uz zF zuXyWTx6#8s*Xzx;RpmF0=+=HYs%AXD=pbyrt@2@)fLi*GA1FOn^SBQ&7N!o&@}3}? zrcD^jZUO$8Ww zp4N0@!*Rjbv;e!7JIT*O)H|&}loXck2v|DjHM|r#d#DHY!tRQ4*H&B#0=J!a{%wEd z!H+7Ebca2yTOO6F;-)Hh8b(HG@6*3ZP$xslvcA5b8?+X(5|>A~RErGH*i0U*e<8Px zi+9Qe7(yzH7{1i&%l*?6ZZE;)8(uLaR2yZV{v>7bNH~bY5jk%4`S!IMR}l z7Siy$Q)b$fyxv{|(&!C5(i0wcvVlg8K3IpCn%dhW2ZSfsF1akbd~wTN$~&}CGNJu+ z+{5qn^%!y5WhIt{-a4LBEbS%(|AFkr7v1+}>YUrB-Zh2n zha)~YJ#BbEPw_exo+lPplaPE+@X}%kA+!)0uD48 zNGb(3mhkaM7cI{;EP$MnS?($`ewdb?~; zDdOws;)P^C|0+L8WTCa8;k{>XY_9pSgf4Z!Lfq7fd=nIAq?ypGaO#k3jQ-D|+hYGl zYaEyC3r?NEnr%hiOZ*>&Ss=BS zNW!>YxwUSDpuRIeaADsYOZ2vZCkPLii(@-9gIn%m^KzjDJOA*lZZdPv>FY_u82VX9{}n=6~9pm z-*BZUCn(CPiqg6{cAbjP0a5z&Hm`rbpbyp_QggnukC>A{`djl{3O*H`fD4oB=L5}O}oIJw`u%q&e@TNY}!1w80s9{kb`Fj)i##*Jb z+!MyLA8P%tB0yzlxlBWvE{<#t^*}1i{x~L?Ta_+W_lDB`vD;MXgtVZd5 zDZE_?gm#5iw01R0&nkxGQN2kae`z-74|UT(XXk+jy4rzn2ptB$0^X91gHC5hZ~g1wMG!RiR2niq`vPg$Vhc zijcIwQIvy|!GdJx*3T$Zr`K7|jT30!y`7?l%c=-jWfx2SiXuK?ty;QLhJ4=AD!W*# zs|b0G@GpQ1RfJqtMaUymgxpH_7yg2PhzS5Ed|B*4{54n+weU?)D*90iIjZ!%jN<9R z3jp_;ij>vDSN!xA{v29VAbLvs@hn|ouW7|>|A%z)`k*rj4e3`HLh?MwB6yb07zj1u z!RMf}9X!e)igU*@XZCWw&%H0_URRN%ql$;}jb!)+cx(x^GH=&^MX5SCU7&&SFe?@C z@#Tv)b`JjNCa35TAK;Gc{%I~}0TKNY*iCqWb9bU8aLM>iCo!6rjrjZF)SQ?4e)qn- z5&m%Wac)KIWv-9b(3=70(Z3j5#zVAXDcTV#Qr-|2g*~V!|Lc2kMZ_);<3&^Y9)^H5 zMWi}-QD9i+r*b`*uac-fAK8M`KuKWREJ{#L7X8>JwWm;Qp?pfY9Qu~ap>H7(k8}SN zkrvM_2L}A~a)>VwJ>?!XLks!z=_Pm0Mu)uiw-tsfh)7b+3-nGh)k^h*y6C&4*p76| z_(;UK9fUq@za43cNNK0xKW}5<8+|rMS%xv?JVi@bQ{BaT2b)&p*J?0z4v^!dH0tF^n|`zw8BD0~Jge7dQ2J%qPmil{!P z@YzY|oWL>SEh~7cO6gN&H~w{n&k~|8Ji(>(sj?dnFG zJ%fK)w`MV6X`~c29Hw->Dipy_A4zsprHG{{=T6i)P^lE2S`@QW+*|f6>3=Ud?9PQ& z_#a>|N?uo$dZ<*Nz~&`CjXXpNlJj?-6P0+J`&p)8&T}48dF}X(*t6s%KY~0(3JxGK zd7h86@44g`MJar;KBMG3t-F^*E#*4nX;on@ITE2RZ!5f%=J6d$Jk%I!mwu~u&J!g2 zhI7dPlY~9{Jy_0D2lI$lI47Tg$oCI(edIZigf`3s8ZQY7T7uZSXmVSL9lSbN8t)Wy z4e>Xlg&ce?TX;AA#(|KWz%y!|*+tt*7+4PVDwHxp~!nke$T11Mqhtr^(5CwfK7zVxJbzkBPdRqd9+3=Q9*O|LgZ< ziuf#pb3aaLa`GHV9h@p+0^UhSH!7Brr#=$En{Nfl+P|E;UGM<(LK`C=CFvA5vY~-U zn21s~HZ6lxDv|Th*c6hN^J@`F@b^zuyQl(ZqUst#A z6+b=Y=2 z&#aZ9cC<`oWB(L73HgO z2>p&hRMdXDplF<%+yzP>TGjGaI5$MqzN_HD^%qi#`ruF?d}kL?s!X3IQK}BM7Zg2n z0QDXiETZ(mfvC_fe6Nw9l%DH=lhIp1(@PPUqma;b;Z6!*1eNcFsyyxD) zmI2=18v_G=dI~)+6Notv?^6IeYgD9!J8MLxQaG0oJkH_9ZHggDCm!c09@4i^sK@yCSaE!P=xArl29dzZZ4pZO>bf^UV4eO#Zj$*sqGYaTBf{avaGv>e64u znibCXtG>{2BlKSAodk}}dYN*(g%4??J0syKl3X(PLSGlEEd1-d%qw#ZseDM@Q?|!h z98q!}d5#D`{_2X|Zz>`d5T#F#D!n(O$n(C4kobEOYOP1JZod*=XYwB5+YkJr^+m4PRl9cL$j13rJopOockpPvnwXg zvuykxO1;#oQZK4f)Tbf&x~NY>@)Qi=LPH8{MdUA1l#j4fk%y$tK4o!Ls;wlqPM=wq zVv|E;CJgaucl@K0lsu|c&IoCqYm^*$W(n7)z8Y2+@f49iLU>~UcsECB z_!l7uU}T1q6guOb+p-%#61cvGUDy#*M6 zWjPx7D_x2reEET@ur*C_B3V<>^ zh3@?o(O62MF*2LI6Q3`IKl7NTK*47o#aSBs&2iQC6BR}OKI5J!m$$tRHdbidq0l&1 zp)ol-=Rj(Doo8U}snU`1GmJF3Jx)kp7+>r_=%MkaJ3t`?IJEe=k7j3_!nolB$URd`tB`-+cl@1pMVyqtv<d=}RrA`hu}!JQp*PFSWBO#Y{CT6`r}K!c@w+AL|a)IE4m( z5Xd9ex*e*UGmFYGd_wd^Yj^qRSNVb{ui+<1A$!j2s3^@`JZgt(5w%0L(r3lU53quoq0!UIMSZ&9l8pN@!0;D}a`4^qOG=(wa4E%0 z;TyL^gv7UDt7y!cm3az1B^r`%Y4}E;o}!-{rMzP8|H}4_1J2HEAoe$Wh~TBXR~9L^ zi&kLt!-AZr4i?NJTc``)o1t2|xUdB0fq_)rp z;Fk)Y@IHKMo40Vf!@^sN@4OPV<5_e;0a#1ugTKBZ>S}WG8QvuRo?WOt_lq2#uw$R| zY^rL%M%BJI3CI$)%ejYfj>IVdry#XR*)M#>Pme~G5r1*7c3Re#S_v@>qCDje{8~iy zA6e~ryY>+JIESty0P1fjFLd3lB4fH$-5N*ZFTqL9#y-%`&RAl zi5}m-5-n}*-IEv8_+V3^p2-RJgYV~8lG;g@8Xn*^8j3_ zc30NM0954BbAbP<_%lVU+7dW08?6F{dHe|!Jim&!Sa@9tY%FSbZm^1(t723yV%X_J zN%=t8#{Mdj&>ILt^2qDcVmB!{w}vA4=}Wz%BGO`tXH!+zKqZ+*qL94gYfB|_#PIET zVh_!~sfOePoblIog#9?z_a*5mvft}qscdFNvPhpMC!gHJUv|bB5#>|zI{Ry0yL?NS zFHvj-m1>!yOKFSJ6z8_X)hL&2_rEwApq}h7d^kSU?hnq7hF2{0m55sSL|6qLd1}b} z5|`X|6dW98sdKqLUWefC52-kY;aS84w)o4Ugxw$XQOUtB|E2eF4u>n3NRJ>p-8dVl3Qk3f8k`XfIO%- zBq8t<<;$x{|LrU-rT=^-)8ExXr0RNc$I+zU^e{!wC;guk__yy+91 z^m7aoI8FI`cctNFN={A4!Kdbh9DJ+fOEN}npzygumAYKjuFpcOt9Fw25*jA(_Y75P ztw;58g^xZ9ak*$$0#ZZg+UhLCVhW$z6h8Va#G(qHOR|=d0r{3btMI9E7NUc~=R$!h zz`jaDdJ{OeDCe#aa`2trO3v*9XMl5s9DsklDDCHWs&;*XVta*;J}WXw;j^#M5T6#z zP|fXU6VWc`^jVSRXQ|^5qm{pNIcXE;`6p~ zsIrYmlx=*X(6~w6rP6PR9fhShVt9{3*~W%~rw%%byJk&pny^DWv7p*D5p~X#%zVer zig-M{@BA;H6`?oY6m0{IMNsr8Oq=mVPgzS?T>?*L-b8#J=jBxty+_howOByZP|NGb9>kBD)Ke;S1f=B4CrD(>5;QY$IR7;J=p;bd8$A$jnb zHL5yG9u2y0UZiLqz0);67UAtee@7Ch;Je_&2#e1hW0c@LW#>}P6lW9x=p7xrl)vB2 zDSGEWfz7iM6vRg@ZE|ml+VOt3n1Ljq&!se~ShtPP2TwH!H1NrB!QQC8ihG5hCh+sDPe~__&!@_M zrV4!k#;V%&?-c)B*^fTg^oX$VI_RqG$Idl*o2K5WgCFy`Chob;$@ekhj=ivFJYT2m z=SsA2vgP0xcs5?xJV1l6UgzZfIQ%VjVe<*RC2DVSxZP419TFI*C`d?o=+pbxxk~Z@ z8BebB$%^MN5|Aa5s`J<9ke!i~Qt}TTf=5`udipGqIm(n~QQqGeWnnfwi(-MX9sHM7gUn=}3 z9@fQKDWBW2hGjh0A(5AI?ruS;gUJfRdcl*xQGx<63iT{Xrgbi-_}`T-QdU%Q@Mrqc zQkaq9Lo1hJDf&kFlsrD#RznH;^cICfw~&L!e+nAvw_G|$Z>SE*%Lyk@iAogby5Uu* z&+GH5kn9}IpE-|yx*UILT6iw2I;#smFcszC6sgb(fYXuUIlYGdx>!oe(fbL`>ECC3 zFS89Q?c3f3uefA9r7ZNJ5w*yppTxo6p%XeMK<%P>D0$@Rir0njepHlQDwL9P5_nkY zto!}SjE745w(A-G3rdb02LbTm2GL(nwyNLB=8I@dLkej}sX4?bkC#dmhGqSJlIr(W zlpNi5{az#7OcgrQ>1)!766uV;eI_VAkL%pr8zVhu%WW_6yzGaAl;{zAFEHSzr_jnS z5IyAvMcFJc#8OKro}r4Tb!R5`5Y~ccca`J?S?KUO>$9LVXA1>A&l=$?etJrkv!JZ1 ztkmz7oWCnHwpBbwDISts?00$>B^4wpY3S=N@`U`rleaQZs+7|2`XIS^D%MVPqU3c2 z3P&fPnoF5oO0lJLZm+4yt%OP!YnSmkfjPa`6maym`dsGA&G&NJLBi%~)nAk&R~!H| zJ0+>a=X<#yb}L7t?7Y_gnSTX+{Ak7Nat@alA|C3Kgpvo}8!uMATb+4(Fsmo83lF)A+TT(bu1V@;$@hgk&xY^I4Gj3{ZA0=n zx2M7oZ@ThdfGOTP9Kv$#q`Px*5NIYCBVN>c`_=Rv~zwenK;upsGc*K*nlv4>jKE{)is z3bCzKD+aJ;_;&56D4IvwA%I@_(hfsNUh)CqD}H*)1*)Y-DxSR+h2EqQl9#hp_==yN zLOYWodCFelD}H*)C`HjHqEA&khbxNKd9dO+LQ!<>a&HIUoTEzpR#CJZ{q+5>RjGp& zCG=n(>&6Kl=lbEoAP_x<;TwH=3cW2eP(6iKKp}aG9G5Z9D@%A>QIH@c2dVVwCDYxL zkUZs&$_vg`a>Dx|siZIUcMNSsIr@phYp@wbo=;TU!&?(`$u}#>`c03|E6KMhoqw$; z7b{B8F0Z``rAj=+n_Z&qRU~Vk!&U4J@9xWWp04Vm+R07>k8^u4(bR(p+@wl{Rc&5s zB3_c5+~$9qeG3%74?raXC4nVHsj~CxXmn!ML^3Gla@f=+wL0g5U*tR}CT(S)_;sb1 zWZNy5h`E+>gElL(~}kRyKC6T7k%P_iqcdDyfi^ElFl>+Eai z6g=^Z*1{=xE>}gQKjRozt?RkNXD9-&^=`$lRL^*PGjBhzmEqz?+BhOUe zse6USq7`coD*1M4G#nddJkPsWq}YR7WVPpL$fqsvt&%TDkGod2^gh*!$;uLbu1ft& zm3m%1NB>4>W<>r0MbT|viMG13Mp5=r6y0Cl6wj%O^1r+TQ?GV2PGj3EzL$TBw=e@}p0bmQtIy&XPj*Ut#N*rt z_{Za60;^;~a(kvbhP+ghdsXbWBrs0!IJcCj9e?u|*D^&7X(yT2j`yHZa*?tKE@F$N z=zc+wr=Kb{Se2sFydimfZkacH51#U|8ugx^U-CSlTDm^6aDDI>b&-e45tZsnU_Ab( zZ$u?ZlUq^rU;?z;!+D&WMC@7eat35Fi0E5E5rEf@@5)nXoTAWJMPYcCDz&Uy9dD=5 zI0;LIuqmAZ~SD&TqQu}HC{^t)8N6$uazC8GMa-zo5EayyGY#rN%GC8#8oa_&+5 zqi>YFoR0oWqyW(U9bP-Yate*bl@A_=lwzqpP@f`YG4+17{Z;L)+lPEr?I>O$S_%-} zjY(B{w8bph7STiTSq(~_zRJaw?LuwLf|2&)5- z@8ANs485CV1UUeGe;V2l`r=`{fy!(5Z{^apb2-k@JDq|gkH+g6@_AjGtFd61vX=X@ zoh6dxaULkVJAn}yK0$HELGHgkZ&kggtE=bEd(+&xGyOhKzuo;kb?VfqQzwTu&P5*9<@yf^hpN-% zzm8PZFO#qTXdqQJ#}Jo!sQO_eb%rWG@5^bbYOeUIhc!IBV#?i@nGTDu-BK$5xnX0& z!_7J5}V=OPa~P5h^6 zaZy7a(P1O3;o;MgJRg%*0op1W`-!~OIhSvjgA$gUoo+rHkuOlV7o!=fKAiYmlzctV zK&t8>LtLJr>d<5xzLwZ&s;W6RAuAt5ju@}~8l2^8<_#TI)%=tPZ%DTBUy0@e4bDT= zT8*@j(`*_v^5wpi7R1OBfQGh)C2MrD!dpJqblW?nUm(=h^Z^+;PxY?9||+Ygg*}8LFPu zC;@b35j>z%&P|zzhd*tc3yr9`q50aP7?W^Q;|h0`a9tkl2U1qX0xFHCj)yf=os?{2 zmQdH@RaK8P+UixstbL?;e(J*>nNsi+1hJoL<7|JGyCU;a`IBc$2Wh3;n`*SPL?<4c zhUI66f+{k$dDu-;sovc6ENdNCZE9>*qdt}OT6XG`%QHOOY&ne7Msi=WLLlkz{WYW4 zn64&a;e($dcg)ncf(+V@!V=uq@Nn}S@)au1Jdf5wyzl|4q5GTjd1Ba4CU=?@(vFqk zVgGuj)3lwa`BPcc9z(x6m9*$5(){+`=&Q(E!g84%;l0U+_95=L5L4MN(>O_WJgw!( zdA4jqa0v)&g=%3V=ME3&*7A9>X}0cbsA3l+2tSqC+s2Ma3pTYO4^CxodrP>-);P;| zYOK{=IJESy447pj%q*H zy{9Wk)mudn(@Tu5mwKM=_=&!jhSdl$7RVftF5Oih%UZhEZB@R5An9I5hKJ8xrCI*u z)g$jqy_=IoS+PVKWEINf@%e0u*W%C1l{2pzE%V{DyIf9+XwVFH?r!rmiLvq-+bWc- z=ZVr!w+f|RQ&VEpa})22N7hI*GCUu0Wsl8L#d(l;HEC*1IC0W)l`|6kr}gO${wjAx zYJeeL(%_;rk|`VYmo$hP2q#7OPkmjUT6)sJZ}{5Ky~tgC%}+F2i(5?hHzdw7+|r=g zCh_sTx9}pYq3Yk|zs`BtT_u>$?`@t-JlHD1#q()9E4UffSZUrZ_Dp(VG^C)6qBq*} zgRRE=p!kgGzW8{x>8C57yYg8}EiAHbQM^Y)i^n=m+bY3)9_$ZNxq7s$N2>FYGlGOB zB6*eIhG|xMfeP9C*H~PckXsY-poH!}^>w?y%3YDG3_&K4wpD`vFUfO#;&X){FvlHYFta)AM}9aPFTak-$?S@ z-Jq$eUkDPly8Nsc&ASr(1aH)&>Gl0&2{{+!^`bvXxnO?M{N)L`IU$FnJa|WjOeI1dxEq|4}#uRy?C9n!NA}1wzc26{~PWj@{q>bxR=vOA>mW14t^2KkH z%%l##qK&_4NH{!vR4NnlsoHtv@Da%p*tr??b8CwGeJSqDMfy)Q|8swpyCONiuaY!> zfAaM+LrUBqZqN)5@0={5U7i@PWp(B7iE_lSt4KBf89F@nQ{>5Mq!DZGaT2eH z+>~bMJ}<+mk>+0O7l3L^naf5Neu{jwA#+tNtE(TIUwNyl|0fz#UAQ*Jw)*iqX*}VH zq}AIWXohukn!CBs(%n;Mc=%)TSWnZT{uf9+O^5m?j=HZW(#nvbYLCQuo=05nYR~Tw z8VA)jt}tI7R#km7&GfxC>2N)aRQ0IZ=vI){ zwJ~`sNI#r%OpgQGhN}IIPeB-wuFLbpuq;LI#lmS;sASPch}ZCNZIO<} zbVge3bH3SFk>@Rq+%#0})TmR2Dq>2=9=eQCr#g3#wX)wy)_b;bE%GpW;6K&8uV{6e zsv=G6`WYVHOC0M+Rk4P=F0;(YH`;Y_R=Lo?)#FuF&94K9eTqDFKJul8lutjB^qkkq zHqXQus!g)V-%j#;&lM=Hx^oYIZaj3n{WXLUB0tsoD0qQg7Q|7`NG+M znqJfexPvO6g;E04X@;tG63v;0IOn121<5vE)u55R9w{yUGtu0a_CU=^_Iy&3XKZWi zA5Z1R_JR;6k5^T7YwYW`(XFws+r|-|O)T$JRky}oRifqLwJD!&FV6M+HJNMdPiV9= z+s^#FEVcbEZ4hZ!}(z0h##LPS2-kh!WjQ2`pbYrM{A z&pixE*4<9PBMw%OvJPQ*dlV**uJTsNB zY7M=fHin1uJ~BqJV(5egf-2F*@URTU_1+vAsy5?Meje6PC7qi<(>5+aO{D%jb!5v? z|EHR7j&I0USNat0>m!0hnctCU@|X-IoBuSdgXJ~yxn3lUac37KzC5NYRPS^qa##I$ zIgf)P%@RrX1;ntfOWJrim29=3dYl*a1q!Frc=;Rm!4YpKcuaKzsd)y$i_}!h+19Ls>-Q^`kcr8YTYl>&+AfJ z)Pfp?4zsUjOQx#EW~;IVs%M$5F{yKtRxhzwI8B?a{w(>*wn`U2YL!_kkDF?h74;GE zH||Q)>>bxBoTiS|(@yb4A*5iG@TeLi^)-mC{y<_8`P`oL^Bdz_w3=;ouJM7C&}AgK zAn8(M^eaQ=VF(Ax?`*)21(d&z$S& zwJ6TnmvbldMfs}JRMm8zQy?a)=jNQkaDPt0X@=6ALRHlUdyLOz-n{5@t_^-0ji|O^ z&oYSBKqR9ykX+**SxX>|#o?*6X|^}=Hz4#oP{fj-@*!Sz`;k>2i8HOH22GOro`ife z>1PLmwV#IWZ`>7eJ1t1oPqQ~FF-`j690upEoU?wg1pg_`{1l6=g&@X#Z2KK@d-b1d z2Lx#7v(k>#+R(D;Cu`%rBy*;zEd(<@(o}EJk82-!d*;caJ4ZwdqZX;`u;ydg6+NTjI^u9$=~oIvi%=cn=%W7<5oP`*@^I3JMK zihNwU0nS`GpX{%4SA<@_{}f?_AtJZd3J#@N(wt)o9xLKS{fupA2U^X1>Tw^ch-nOc zHPKH*#PgE;GFNY2H~HQ&8n|3H`0eJkdxgf0~@->m;cpxwA&3I=nj}zeq?PmA&Tag-H4Q zB(-U;DkPR(3lnmI41+qTeD-2G$9{@jnN|hgolH0!1PAo=9~>yocuC*;j3rr%9^etpvOYg6di z68@0F`ccyJ`YH6glb(N;`20iSbAX*b1gWOQ(^IeQENNsrE2X(At9O<$i& z@;Fxyy{hue<9E`?(u)~#`Kn9TQDHwKbpQ{7sLP+*M`&Qi!_%N^?rGd3WcoG%rpueS1RkxtGTZO|*m$)>y+?)|uCeEe-4P2b&Up zB!zWNLT*f{^M5JsZ%P_FCxw1P($9%0tdmk$mnE9_CFI%^ubMJU&kv`({0GUWQHOUm zQn~Cc%s*x$p+6@V-Dz75gw&822+NCzl zpnNV(rB+SxCeN-7K2?=xcwd!h&PlO&ehU4FG{QME@tKukx^be(dryu@ny-1XcwM(c z!BiW$hW%iwL2h5C>FY@{MDCdK`Ao8nORW~h&Y&5pZg145GWI3Dq8&C*o*YVN%eS4D zIlsYK)~mB%!hR~@?P4ww$+h{nQ%=e8`lnRK<#SW>shga(N=#iFRYhwj#yy|IiM-Jl zCxu4Din{Qi%>#^jHull!MQ!*ScP%Bla#eMr+Q|CJNY?`CS|Ed4OSYfsj8Kki8=x7B z!B!UK^H=kVpnNLweQ9ma*j5&OJ*_!8q}Bk^G(A3zC~loryxdcZy!xUy>OV!!OQY6D zrqJJ6Yoe*Itc`ru^jfzxTY3|37l)71G{>NCDIA&!7wJsouA#4<%CU)B0r<%@cf3JuYD7by z+7*BwOzD+pD=tYid3N9niDti)?)m&)PQCNwP;I;;@wp-)7rU2!3ht3;&P_A>HBXlA z-$-+#xxXXR_zel?BxG)lwZ@`b{irHYZ7gl8ACIpMb*Y54Olh{kNSFVVCYMNgSLVs? zMegb=pL6@oR3g1UavylWwuLT@m!^1Z;Y-6Z8C6!Twtj63it!tWH0=Ib8 z07KqXgESV;HR*6rgAzG`8uzOGFrN};b_ zTMDK!zc$h2S?TLjdL31wZaS3D9ru6Ad60Fv`=?c=|J1OSsyZ^!+>|U~YS-m{F4cPX zBncmqkz`kp>W9-vB64KPP5UR!|9wJcrj@9VrZtmGa$W8#Q@q&M@A-$g4-bE#k;+5W znyC!GDzzbV&i%YB6O1~1H0jXYxvS=9)gVQ_l4QOtl?hPBSg=0RS>ZZVeJQQZdhh>5 znySjJ!Q3AELdvJdHNuiJ?vf>ZBZa=BG{5Q+R@L_spRcB{^0_p5HRjn;kVVe^#$B~~ zd&(Ef&kF5pQthx^(%45*T1?am?Ss+^?Ojq%IWdLatFRX@2* z7-WTZv*fR;bETY*1w*zD)T-A)D8!xu)gL@X{fOX;JTtwld?P8skXlx@n0`5>a!p}IGd3jW!e5jG{dEf?h4^%2cj?H6b}IE>2^EndZt`(XV0syw*@qWRUYNcBxfG>g%TUN@$9( zkJT|n^63_*Cz;vV>Ob}6Z`>8xD2*28{hkM>{mbh~73;hf#d(k#tu_$2$P!=>K9g57 z?(5O)Yb>`w2C2XDmoZN|X;HdL`Fd#)Mgq?aVw z<_8JA>pRqKeh`gKo}T}&HdLV&mFLZ$TN6=fK9G77c^;(Z$?ULhvGwP=Hk#jytaI_2 z@o!S-bt>h2Rhse1G#RNatX^c^d7*e?^oA{&*VQ+kXm=!gFvgx{lDpd!!dA@#f(k>2+~` zo&fs2DBkWyT+xHZ_6J78Xt>4{7g^}n^QoG~7uRKS6`wCP8ksh$>4?)RUDxUhjV?#F=<7pF!_a zdzX%aEIE_`1VWQzy(~^h)-D59f{S$5YqX%qUSh$UbI_6qgzFh{p&?nSRnzX_f)rhS z*J$3;ySqMb5uKOR5hwY<5ou2s7ne)z40I5=oco)Ee&V?=pRt~3oszdmT`e!1JKKvE zm158gY7ZUviOVTpw|pptoYfTMLsq4e6ZGeKg13^YjxMe~g5UEk6q)9!g#s_Q5Vk(? zMxlWV7Ew?E$587Lwp*6Y!V?0hhpk)QJTBtU5<^zo!-d*ZCF1P`^2hyx9?BEfn)26X zXY^?C#oM3c538Ros!{}$?+X{7=LrJM>-+T;G!oP> z=pJ$vpOn|d=QC?GMXo}FzFsFZ=!}&DrM%uw5M;bj(2`aH^t8y@h=L*o5|uUtK3%tp z5)u~{L1?57eqJS{8Y*x9Bd&0x-BEP?X`v}``f!b=#Hr8_%H^VCLXm^wYw2;SAcd>E z$d6FDbOE!!;Zp2jLzCt5-krEXx0BsL3vEV`XCt9Oo>*7END#Qq?{nadAGEQqMw_@E zE>{Wdd-9AdYN@yr+P1Bs4N?Qcfot?F0}uP-rui7t$qySXP~vg_uf9If#%ANv1{}~v zPQ5|nghX4)ANK`)$#~mJu0c>_SiLnCodvb!m9bebDe+a&GWVbM(`jk2pz+(_lIK7v zPM*=y-%E$ltXNtrTP^vwfx~lO!@Il&0bPQwjcIV zMt5o9&IMoY@Gi8xO{7HZ5hV52AdntsxZf;)C5EId<)9f zOAm#x7T1fJNScd;8S)$^S)=qzP8D$-RZWvtyUT@U=s6X1(4G?m~6%MgK z<*suj7ERY8DeHf6rI(~>i9ePaIa+AHD+vW!#ucFj86WCgN=f9%`1p=rvZ>~PRnL?^ z?u+YVLX&%*6N56R1EK;CH@;p9e7`&ie2EitD}%%hn&%nq*!pmA7_`5) zc-rMPEwBZyHO(HV7tz*2x%B(oxNg$os{Y36N^OPT9fUK_{PfA+C@o@N$46{>#JzfY z$>Oxup>=JSzze>tpNJ^4l0P!n zqs3M0G|;aadPEOJBV1pTORb8xWBKdh_~0zw^2ThPhKk#{!i77tu6X*rysyxc36ybn z?v#+YULcp!@%BmK`$P}t?bSH-c<>fmmQ&+~c9G>*CjGVol-AL;y|$9f1whu9TYFvj z;_5l|bHVq`2Rq@E5AN)xfwt5hpuB;`@qFeiLG`!+p16J@m*TuVRW1z~KD(L^IJN6arQ!rUM-h~$=mpC!X^8h*aVWHqf*wmj&w&8w9srU542DJSM;i} z0DZQhEA*`v^n-#H9qCEm@Im^s#J|T|(*-o=lgWxV@*OW1cjVA`^CnT~X#+{s9rQxE z3e8DEgBDKeSr?Shd`TWa|G%J{c67ecZr!Iv3hx06XxatkqNIr^=?_}$X<}&GLu*Gz zBZJ3HmebYZ=HqntNlet92#NjzS}if=%|k1-A1JFSJl&K7DE)X)%Epq4vNdh}XBo7ioqLGz`$O>wwU?;IK5vLp-}Q zS-R3>a&6>gu&{)b1}vT{&NI?Z0sWoig~GwtMzxm`U1$@f$-L0ed874*Y@=_r5q7b>ZA?B!7)#lFs!(91hxNlm z5|^e(0sb#iefibL}UNpl9~t>!|lHscn@G znw`bDM=O2`dayvC`v=-r2}Db7GfBKcd#`W+UAu?ki|bzbE3$t;kmBP*!WADnT8(`8 z__pymBybnf9_^MwQ8-+0=n*~C!~BH2mDVV&B|^bxX}K)UCuzTd_{26f1(6T*&vJo2 zRa{jPP|_R2}=>Dc|F3-Bc8<(4U zcSmx{VC0wrY z&ha^)*GQx^HXyyo_x^brWUg>&)SxHtI@{xe;g%4Mrb!5kQVyah@AK- zJt@%Gp2nSPMR6*5qKx>}!#q>^o@H!l?4xE#f$pCz7tj01rB9$gvJ}~+k3z%lK!psf1Q^dk<}$zMssI12ee@xhqa zdLa#cZ~C(YEqs1APCjTDd4y?yOj0!tdcC1X$a4vEB1SXeKDKet@%iM-h3NK;y*kok z&o@9o4-F%{rx*%t(MhHyCwSU3GOM(pgO1EX%P1>c#?FB=df~9RF<3&YuMRHq=J8r+ z-z++V??~gSXcF4?HNtTW)@gm^Fm+*(fssl=V+CJ9;SCyT+tGFo?PxoP@uN93>~=l* z<35oi!tmQvuIa>=@n__n*H2^KMy{O~M@yQGJkk{VM^inDhP?c+>h=-MrAuB?$Vc*H z>*ru`!S(!*np+z>cG@L30*myMF|s>WzR}iWE0EF?zI)hxakO2&&{_n&@gu*L9uKIG zpWN5CUq`vWNv_BNw0(vv+WOdg1*91lGrC^uk>-3qqpW8CpfuWW^|kINK+^Mv-+wk& zYE1WATu0~kxWM^Cjc`8^T;JUYcM!j56q(;HetBjci9UgXaEW8BML=uEY1Mtn(h}*X z%OCf7eaUzmUp)qgJ>=pZ?P+}FEZSlJ13H_kEq!M+oZa{KgCKfBqL)M$LG%_3qC_V_ zj20~h(OZ;JgD69EQAdkT#4x%<4T8}bJ=!Rv&tSB7e*g7;y1$%tud~+KXYcD=yIfYR zVjd(tZ-YI|8KYl!;dI^lOIF()$ zE#FVyBktGT^qMm5NRwg`?hFa5hj7w}(Zz*D7fo{vt1%0Qnn0`ImZ`zMkI|0=S4Ym- z7r7s&I@9`yAHM?LNkPZN&c?%j#xNs|d-b%nx-<~B#E10$n|g7%0=EikJ`7?gpP@_? z>fvyvehR}#CUjt^&k}8wh6qZ%f9^$IFAV%nqU>!=i3^V3In^dm7({frsL86;AKiEf zqJ5*?Cd=?N8KK#Fb}#mN6BM+g;sh1b1l+LQ(~4JbUNxwWNR^JCQW6K-3OZDut$%Ov zS3wH$t)A9JXIP3t2bgdnnnAGMqjzg8cK3&x^W<5m)k-0|Zo65Y{AN2EG z_ALigoDOC*iPxIuGoK=VkxC?rPE`IUv`G%DVvakQW@8pM;@<6j>CpLFUiA9+JrH) zEI?rB(W$R`{pMyz*F;yipa1%U1-IqOm(ri5CP92DBFK=u&k@fPyvj!b-r6KSUk$}R zdDd{V4_$QoMYGRQP+1|KgY7|1+VTv2_5^k+|Bj>x29bV%!n3sFsRa?dPpmPktk z1zV~(2~)D466}ry6S=fD<}ZdS|53aLL=mgybC87|Oxh$omMxpiI`T=I)tI%D_ih5^ z&n38)Fcyf2Qqv7Gn6J#73`|IFCEB;RleJ1DY&IT_IdQmR>T|J8m-9t8Mt5$`POJ-C z+8KvG<>YkOv-OJSQw0v6H#8^@r7T9O{*>*var?0{o@N+%f*vZL5N8}oa0p_O%s7q| zS7mnpAiyzPetgUWDR^3l&?_FPt9fDYKo~bZx1`cC6ex`qSRK-OV4*Z>T}K?VLZc}{ zGOICyNmVd)AL$sjAk#O!5x!YF24B&3B}x1%vt~ZJxID-??sb<~>(D59`q6}Z7q8B# z=|j;PXVI4!OTwQmf^tvr`{+VN=2|KHpw4(BDd@TRfYRukRa0Vt$hy`amebP|S8qzB zIw!>OTl(`cE@#y5a}A-xz#|197o;%Y8;XxC;gz=4dml6>d6`vBHw&vo!BO-rrU0HE zm3T!ev@Nb^fVDbHPJ%j(hF`Qtxoo-RaE)+$Z_HbEc z_?eD@dM5d9TVvLMAc_N$@s(oNH;~m5n~nyRAOiQoB zY&`Qs&V$(ZmzK)L6lp0iQN4ok{+oYTV12HaO?2vy-j;zrMVFJfyn8|h4J2F|LM#x< zO(NQeT00B7Xi(YFijNS+lweM%AS3Qk{RU@GG3Wghg^n1`#{vQI7M87Xw1H9(lMSLb z9l7G%B0QE!bPN`1jo7itA1F47gXkxr5h>Qwq_B<6zXS{jF=68FtOxuJI#EP?Y2k5U zl4)=6btpl{UcfxUJ`i87-?F!)iMZc!DvAfT7dl8YNN|XsS-wE+{q>IpLz8GJfCm>l zuws0FWMOY`6^JwkF?DavOPfH=pMw^9c27wF8_iM2;p3iq<$4g`UFQ|meM274z8xil z4N7LAAa|@Qy}%iJVib(6h?ZjQ^i53`Rtux2*b$=U}7B(*E{#20EjN_; z?hD7WJpm|z>blezwUH;luG7zY?FZacaks1F#5h5IS4M|T`y&pWzJNDT`#=t5p zoN07_akp6r@?2pIq{t4+4u0qr zV_+cQbXWJHa|YDK2Ex-4mjn)`QYm?h8Ud%4_ON+LA;6d@(Dn^NoC2q7Z$NVFS+!FHP)Ak-1Y za_@{?brx0lx%aWY-Xq!`&*CYAXUoe>%dl6U+2QB0AHJ-hOl@Rhu8BP_LVD~IPY+kb z&U~MSB%U~&6a@@va|?p`E{wz?M$@Vg%O6lp1f_RmLV|1LLN2+0K`vsRvap}swa~LQ zD-JCL&}W(M84YzzySp6gosvqo{5k5vqwH zYA&8R>^?e&({27`Rh`77SN5YERM|^EoJ-&K`zxim{v5aW0yo5yO z)5^3DBI?czOID1QSG6rmjtS8H58g5?F-8C_*=>G*P_%2J8(u;85zqeI`Ubb85^8zi zqpcb`0ar9AsK8kX2G$vXb^*hG2+n_6$HRN@K;C=Un-bDdVirBo{VW*l2uPcq#q@dQ zO-_*sZCx%=K_nvw&=C)^XE`13!BR~za!k0=d)68-T_o%DIm`ISZCfupML~#t-YZVDpo|mw!@?u(bBj= zL*Pfd6ERhDpblCbrY}kTMonAbJnT>GViIPP*wRqgfa&{>cVT*%cQ3>|bQuEb^O*3+ zaOSp+jF5Q@IyZ?BJ0KewrWCR(fhsCq)`XCUC)V}RkXpw}WScBpKT!?38(9FC9=-yJ zq{IhJMZzQcmz{HLf{X3nC^YHnNJ|Xb3VQFPWd_ec`l_OY5ABZU2pvTBQa^=+Tj1_# zK`4ea)s-vEmCvd8!8tm6>o3l#$zuc|Qqk%w52^PHgkV;V3Y@iZDymfK9meX800A<9 z2o3Cv8!%67A=BQA5IZL(?O$nW$S6bvx(*#QM~HP(3JLO&4`q?_Kc#;ZpgiuZT=2pt zJ&b&=7$O?-&Oj^MDE^mwlLeF^-es*Vv(RCR7U_o7@tq#YuVDX?lXSKp%_m%4(p*u~ zx}fRpQ9yTU^*VU>KBvWzn%;kT0+}ZyrLHu{5g(x(aqp62pX}GKmh*b;>j#@f7W`cX z%q$BS61U57(mP1Uc$(yEL$9!c7Nla#%P*9C`r-kz3_Qi#*yWJ@)Q>zq2qV2md9H4t zeMcYhfXXVjEkg`qzUK@^8pyG6DU@#jZ-yo&$NGX-;L89Z@ve3@p|_)kH_XednyYKansHN^KRFW z!t=@B(_KG@sh_bP^tvPo<~n|Rdr%qT6uFM0rpWx1L1)zZsVHZ>bh|5BBEXtUA&IP( z!?jtx>rmQMzdm{LO;^SK&d&Clj#Z$#S=qDv5ZV!Bik^ewo>je#1OYHGGqQ+#Jnnyn zjrpHpn?BrkLh;Z#GjZf*Pd}Q}UEZ0H&ea2(4OOFsNP92$-LMv?+i%D6Q&rNQrWsn2 zJ7qst*kjRToB4Er314cY*Y*LG3V24z`0 zGuLtq8DHaMl@9`K#vW^PE_S$tOm_l^_xtQi*=q(41-7<%TYAvUh0l(I8ZSL(%{MwU z>#ch*2dk|?J($~7ttzI9rVRNtXG)7o3~@!zrZ*LGMh7VjM|;DojEv(}XdkkRBj`L%MKq?Ca#&pnKJu zLZ|`=)`e>??cVoIwcER;R%Pt2nJ}rtVmKUl*4= z^HT|^%y>dFd1uS#tEOC`0WHlH<~RB8S^qoLdO8CFm-0zx*VmdBuy}eQRg2`<=?_f7 z=cE|prGc|V&ZY}*VhD>-&joGTJxiVpC0YR4F>#g75Q_E;W_u~nx!;Ur>D_i*W~ac36exV zA@z~5T6e7+Bd=b1E;+8pouEfCvFa2x3xHWpQ~93$ct4V95&B*0*0z>9YsARLKgDd{ zgjrI&`c6r8OE`y%Tk`-S*viRj^+(xw|HIeT%D%6mOT!=$a#Uxu)nZ(~uK+1CIx5_c zLv_Jvy)$IxD#WOONGcfC{&4-25a+etMyJV533RmO_Z0-b(b|46qR%i z3CA2`Ii|VYN%2`TB3$9IUz5cm4LRytG&?IooYEl|dZaLbz%vCIh5Gr&7c#(L%h8g58>9ZFm{KDrj z%<3bGm!P8u!9-vQLPZmFEFc(2&x};1Y03-su@Pan-Jn@=)FG9n2((Mo2NQ;4zHDY* z9+xHoDuG?U`W|);b>3(@MOOcD&kXluGwPh8e5WW$1Y(*caA?2pL(~$7UUWcxC+O%y z)DX$7Aht=)v{VIFC|0bQaYbRbQwRm3z}Uhxi6|mL!mpVV#n3c*sQZ1CG$q&@aMyz< zCd9c%cM3rZq$JK?-mjUga|Rb-f@;$pm+nvYCcb#RHd94(czCujNd&30Ql$3XpVK(9 z=sF`6Ft@Avq^)YkW}sC&!1FPTrKCil9G))VNr7Wse_)yv=prAz#b4^Zwpx`|=cZ-{ z67Jay_mfVwu>yFrB+CTsHNrxbJA@b(rb(GrzKn`V^w&(5AWh3&PxquWyjJI#p&RBa zQ(7J)fWkrmPUk2(lwNek%eNYJdy^?5CMik>)eZcz84X^_^8-eRC8`@kfOi390W7U~ zQvDgLj48ue4ngV7J+0vi1iKB<`f=rvg8RDy9gH z>@t&{k&yoHR{9k$S7O|z%xgCEe`}dYE)-h7tqvR<0lbIvY0WLJqcW2km*}~398UUM zgGg2FE`L-NMoyuYLztx?jMH(K*>Z3@9p37nAX4M$P`?6 zMC`l6jvqBIQFfH4t4@{7Ql1i`JNwtp!a9+NL(-|)Wq;hX&)%6lct5kLC);*pvSJz= zI2;w38@JRr2D@iD>@!oNg=iPxU1?13pB|3pSSSks+YZEC>_jc%CBKQl4k7s6?(eiPx=Tdw@#`ig@>4qnGL zwBkO|ZA(;!}=b|Hwe`C?%{m zX3p06Q1Y%Z3XAz=gZRlj_;LxkU=ex7w21rn68Fb%h8?&sF0=A!tD{V9|MdlB=*OKv z{i2RidCh{g2a|{X5g`kcw%6e3n&+@`-?39s|DXb!0IR#On}d&XF7Wa>zP+<5o|*9P z4Ot@Xe2X%|DcbHMC>eat6BbrDt-nTLcUc%DNw+6`EZ;{1@B%_Sc8T6`KKkNW?FMy+b!m!b& z{Sg^#!Na=`Z2#^)FhD9q5uHAVS`a%tX4Cv8vVq?5s()4tlLU}OT~&JR(79hXEAd}b zXo03Iw~1lq4Q0&uf*c|3v4<4Dwf+Hr)#@16Jg0KO=6}6jFkis;(l==bCbuci-rL^{ zL9DQ}DgzG1d?v$|ZTX9FQAFZoN2S(p5pGnuNYygy>7K4{>Fq-A2Fs|x)&Qjs{EcSy z<)b0SsdqL=*=L|K{OykUCa=Ziyk{%#$T)QWwemOfDC`IUTUUtx*YA&m*LP8Gfa92w z2j5u}i}@9?$ePSI=(G&sRyj@KgNJzzApG^zbLuM=TIo`YnZnyjgLBhQ z&0Yb8)M#Lqf6QP&ayh0d6S6)>t<6MV#M3q$rYKWP1nE{lAv zk6+$i77h-nII_ltfQfk1Lap5U%^Wy15`3$-!(?XnT{yewRdTr6*Oa88 zR?a@zZ_&@0bj3(s0b%CWA0w}FkDhF{)=hRiEvb*8mu>%J4}wpDQmmGrCHs2Cww!9Eyn!KAz_V((7A<}7$kLSL7e~kq#FRKExYB3A1&bfK* z6l7d82X`-ZqT7f4Im$XZv)o)L?Lx~tHc{&^2V&8TT`oet7?ba_-`5W>eQ?vXrWkFj zb)YJ2gq8brr-X2YOTb!C)-amH<0iGmE5llrZ)|MN?)twj|9^AqH~>$dNqy4+A~sAe}Y`DNE1Ygrydm-F$vqaJG8>#%<@A~tCkV9g>+|0uiU z`q76mV<0QiUVa4)^{oKS2sEyH$kbD`MheC!n(TxP5Y&T^}p#CRTK(( zMNTiwa=5nX8Ux16M?E)!PYjcbHd5`FFS&;CdULT;DN(xr5oc}d19ef{`$q`#X z#H+PAEcXVbGTfVT>|6&`z&rne*sIhvE1DTXf1b+--9Jfe*C+u$l1j`7bUDuW<-fr+ zh)tf3Cya5U2GrQ$TvBE>u_;Yyrk+BUHc zS?aRex$B0W<#kZEU=sfEAGMTbap=Y)m3 z*eK0C)9Uo2n;>O|LGQKp{Fk$qB4o9D5*DFT-hIr+J?8{1htHmtLr(lU3WF%Vw-jsO z+qH2OUXRZns+#ec8MqHC@<5lBcoJ0hP?ZFjZ); zOQ~{QE9sI6Exk_&04{Zg3v+WqK?s%>5jjLSR#kT@)N@sL8WeBz@TvZP#P{8Qhr%)G08~|V{efB%7_5-Sbu(h&~hSbMFm4ghW z6|G2*cuaMp4Jfcjc>rQ4*;0hT+H;wY;jy9|s2k zKqXy#0=$K2rNyI7vphvv{EhIzEb)}fdh^V{m0^9s|1gGr)^WO;bkhlhRZ5yV@+?jP z#&34@j9ST`cen6nBWXz|x_~5Lek{T7-={y?c=8Hy;0oIRcIXDOsNdK->SU0SVIEM$ zN>ZN^*2MUQ0Ic0$Xx4vou}S5N{2_4)pW@H7!w=094jHcan?hjig?SA`fnnnrjH*|r z(M_SGB?%b79v5KyUS|mMmHZ0ZgOW_5HIK$e!2cZbgv-aK8;7rM9%R>rEGiy#^k2~H zcF#(h`Ei8>0%6)FA08Hhqb%;hT<@dhC~7Qe7Ko89kR}B;bnqCtC{|pti7a@VWd-{P zDTfe#f%L*sdCK?xn_)-NhxefSl^w5+Mtqw+`O63+*_~0svGki)I z*m7(s4ZS7K`V;QQobk+RpQ9>+67m1djNU-+TiE{|dE7*4o?nc_ukrsS9Aff8;FEGS z(MIMB)Y2?NUjmdF7`1YWp)ifrwl@F~X;|)-a)Rs#5z>-K$j%44sY45rO}*#i2kn?M zaGvJuiHbH7VMvo>p-!78E`3Sr0QOYAn=}|Ih%k~;9=^0DR_H@W6Q2qGkCEv5h*}{% zqlji_L(oxyT>$zo&d>xmX@!SmsN?8PC1~(u$~&K#vOrX|KIVsg^&x9jTidvZYmHB_ z^`zZd{6tD13LhY_X9&o0rGif8xH)`9ek8FVLG&E?-%HBZIblJp6epfp*yQA9m2M3$ zkRW|$&Tn(!QCq?Cq>Y!H!o-$+_Fsx$ciI+YSQVB&zQ4l%txlWC-lyM5ge>@6tka=7 z!XP-9uW0!^iAy#yBbh{|ee@##k;>`xi6s!^?B`S%_%8pHcyo&0g!bjsm=Kgs!J9x| z7`L=BK1c&GKn`5&n5_poDD}51%p!6KTU!cE@A+t`u4i2~Mfd*$QdK`1^mUHg%8MC4jW^zS;Vt~}m_4%}y3&D8Gay!}F?w|hWy{ZH2{ zLA}NKgyIb6l{V!UH72f2$T7iz!&!zj2dR?eACGr}HHR+3TxA{}3cXXg&EKF45%vu_ zKV`Ppomk)GkF#@sEFg=6joL`KMr38P1OF1##(*mDFq5@C(bZik;lm6+Fm@~+Hfy#` zeABt;L+|LHtNM)xP^V}<;RY6!fUkhSZhHw4=@v3#u9PDVUuquDh;Z6CrdGy`^5Vm` zZY8_z{5FrNsa}&=?0G{*pV!1y;0opDAyl3nl#QW^KI=J`rHjUHsno+untFE7W`iV+3nef(HF4YcVkrf@pY?6bl zY%>f4CVt|uj=m}O^{loI<6uKg=?@3@g_CXH!L`f$pNf%I$cpq8V%Gr%DjZXr^^>M`S0spMIn+g?i%sS9b^*`ui?)M!+~n&U6(n!q*r|kK zINoxwFTC2gGidU&(S=J7e6}|2H&!YAwS%59Np#W_mgFxc5)o)xP{AeEoq$csrAV0_{xhEX! zyY@?l17y|{OL_JL;I#7?h%14fc~NN5Fs7MkE1MCwf{=_zE_LnD5|4M&`5cdDBk*zU zhM<%|3sfq#i5^oS8k=Y$RR9PhjEW<1&0si}if=zTa9RL)-1+8eG)ioipk_?mN1k(P zw3Y24aH1bfx|DdoG0{!q^X{6BfV)Y`MC6I(FxwD{l*$tC%9vWM0HLlR{BI;*f3uW$ z9grBpH2;j>4*z(}dJJ25SSU4bRz3`9*RUbXU`Al|?4DZ!I zrr3&*oe=}(5o+X4bzOrZWkl|)oUj&w>XI`R<(;UAjC>vtoWal+OFTI`UX)ri_f^r+Kzpl5iSH# zEqg8G-(NSlph`X>annEjxznU($;h38^#fB(Gje2a4g?;Ru608$%De(@zsLr*D$t_^ zy>=iO!TYYYe}0>9EwS@9c8Y0hvw1*E%`I#;z2obX#Jyza=Sz0s4TH)1)eEL&$ekfP z5fD&#&)qt6$3=YzN2(<(Qfxce*p=?+`TUQ$Alkv&F*Q%u)=<%QZoUMm`cWgGt8XnhkUPks}gJ{s*0Nh@GFs6E*gnLUDN%E?>Y3W(WFb`;?#Y+DeH=^dXvI zCk{ycTb__YoaNW^>oxc#)w^qY({sV+f#NX(zd8@kdpJqfTKD(@YhQ#lg#Nxv`Uej# z#5w(o;4t`eY2}xUndIGD-wG@wH*f1+IR^ z<3{@ho_1Eqzm2l-^Gf@qsJ8LS#NeJ8ziDilw~y&GD{$S*Y{|Im8eMz%Gpo5OWM#fo zcEV!QB$dRpgg`BOR0W~p__WU|GdHTY&iv}Us)KUey|+!WYqmPzWa#TV`~)H^Emn@9 z2Y1Mhw%yGBoXZLASoywgt@%yaWlN>`^~D6ffYy>h)X-N(x;yrkOj=Q1E0fl$t$UeU zHE%4Uedr@RpV!bwe5@|o(2LjeS2>v(k#Ujtrx_>4G2SJ3OZzKj@d`v1*kv@wzjVoA z#8YK$H~p1#TGvH+(>ibB7u*wgWzliWMU(CV$8o%-lDdhyU1{{DLmV#GvuQ~DAn4_% z4CmOCC$$3C@fV+^unllYYP5HOXUU*Gc|7=JNn^vqd!^`pka)4HQfU>~ZTd*X5j>4iuw;jN2t!F`qHt#>(g9F zHXtZr__>(a#ot%o>i)x65_-CKgp!K(&pCi-Tpx7aK34UMrO&I6e8kgK!0#Ob#h4vl zJXXC+v7P!hQ1p&N6kGQypWy-*9K5k-t?}HEq__u<8|O|UjdhT}=-l+bZiJjE+d z=rK#uEHjRCCna;@G?dcy4!0o{KGQ|p;sOwnq&{wgNHZdDE&o~)#tbJ&gZ48YSjLC zpewbV7kxl~aqC~zehZnPBCH7=IOQS0xW}sNwzAJqz;2_)u@*912%G>*a*qP9`!(~9 zJC*Ag!`{8lTOI_6C%@l?7{N%|L=GZuF;iJY ziy;LMWft98k?S-0ZfMpbUXGNL+>|EMx98_L@;TKV%k&hJd%J~#Bx#B!=`1AowTPNe0+B5|Gv z=s0{7RK48r9BmEiXtYFj`JQP%b#s3hXwk)pr(DrK-;p~$-vhHi@~0glro?-vmO*Gc zThReGy9{J!2p0cJe^U%8cGjn7_5v(&HCK%*a-JK}zMKZF?>=@`m+3vRQ7wkZ&dmOW zXTB)Sq*3Ci!iyFfj4dVCB^jqZqy%+*j&(>&dfA5ve)V2LumJgn-x<7-?t!-ZW*Xyj zYWQnB!J#SBLR9i*F)Yh1QGGC2aGMBeGV4 zDY>1&E)=d3u19{<^}(nay7HyO#qa2enwqn-ms3`xy&)N6+VITP`rxApZ>v9MR*Gp> zDLTA9dw^G@sNa)C2@Vl~OGZPl4Mh0be4jW^FUdWaR<(#6uOS-G-Q+QaQ`?tF3ZqY# zJRtx$^{dlwb?K;?@NzZZJ-}O<|E=wrn+g9h6zLfRlo1@p*LXj%n8=0QvY?!!Z)KtS z;%7Oif9yTGvSql zz|-5N`<-d(`PPI`ZjJTMWgX{_XaD%&mwd*gPuaORq)2n5WGrcUZJU{Ayd;}bbuy=i znY&g8+Nu-h`Bp)UXmkB z%gMh{*k9fpv6|ngI_lzf(5fJ6U6OF-sm*J?{X4GbSXruUGMuFD*eNAZOAj1(?iio? z9=Y#+oNZ(Ehd^=cfy?AT0V3OfCv{&mwHn-E0Z&yEYFrpB3jDJ9?a)l%)Ey?w##WNX z5-@G;2+qUU7+R6ypQ(+S@Ob@GwX53jpNfwcWG#dbisvO?Xtwogx76;x$w}a%T4Fb9 zY#qhDrRfy3lW5~ZF6>#(&!&UZwiVf@JN?TRlE?)*Z%1mkU~dA^th|9qa~T0#yzsdx5P8s(&%TjQF37RRl2-;aOM_C=?)CdifXkd9i$cjvsC40 zr^eAIBaC;D5R(SbYJ^7udNTJUh?gL9GRLrT&4a%e~D;$T`X2}GvTba63 zq#4SA*o4~dlxeROnOewQ_&2p8`ENTbX{-Gh3+CL$xW*u^H@-Ae%&H370T8(R z8$02V1uDzz>tbT}Vod+2!T!x}glq*Q)EE4)!mDdV7W5imeIs$-=tYHsS3F-oh3RHo36u4qyFLAxTvVz zu|i&csJJBad8;gEj1qeRNP5q=LDEckvJ6Lck;yP^fA8cihN3EvM6fP&6lmM&_pWiBA+IzBEZK0b;( z@gO2~l{4;%&(ZZEesW6%iJsf1ZU5Wz+`E7c@B9n#U!uPY?V)sq3TyJGKpqv;i<4e7 z&16nn__*Pz?pfHF*nrZf!r%ZC%ksP6uHj!*P22<92|^VVCP_Z5c?_``HbN8ObbEGN zV(5Ig&zLLouBA@ciDjm&AwKJ&=-SY57I?V>bO#3V3H5!+Lii06^B@sdly5X&6GpDQ zC*&q#Hfj2*rde0rv- zfMwl$+3M?EW%~oaKiH5lS6uCQ zD$uQR3EAMM>d}8*{T#wl-VMkZ7t=|eSlj952XE)u*8E_VJN{T*1$jj-BLDs}K66$$ zV@HgU`iSl0l)(q-|IR0hh;81J4E=cH@pI5Yb=7MN*vE^Cd(86jp{hP#W~i|`D7N5P zV$lf6gl^FX!AKvXG1(($=;M5=qlFdj{&IV=3o1@YLs?^`o6ujHYIZz6KZB5`J!f;B ziSvK1mAHDcEMto|>LiJGo8S(DHno<{`gFeo#~*ObQ%C!8yEK0I`O4emn&$sZ@BaaY CK*A0H diff --git a/38_decoder/db/38_decoder.cmp2.ddb b/38_decoder/db/38_decoder.cmp2.ddb index 1a4c4badeeb169013c594fdd70124568ed90e186..982346ffbd5cf0ef2ea407de87119cc6c1d396d4 100644 GIT binary patch literal 39954 zcmX7O1yEc~(>3m%K!V#ta7d8gi`y>lP7)k~yDS6=65QS03GRfD;JUawi$j)0cCnxL z`|H+BPu-g7x^<_gr~C9FMnOTT#YaVPdU+&Y#(Gw6?sm>j3?e-58Du=|zFIQy@e4A% z|H%76h?npEdl3e0D>pAY3o8bV&lV00d<@z^27Rk94DyzC9xu!KLNQ)+prD9l{hvT7 zK>z=eEa(4AAJkJ*>~S{q-dq1hW4XlBQLdY%m!WWf+m0%r`1i4IbX)UteR&Mg(|f#c<&ZHMA9ae zyd9?$z`Z*#kO{HO(O!vA{LW-61;g zWj`;qr1i#(B7NZH-Q+5J^=H3=C!{tb4e(J@l2@;NXpIZ@+aF!kG81w6j~>1&t#Ke7 z6;V(gkYV+a?JX#3N&`b;jFbWgH)Da)<1k#_@((GF8HAj3iwJ{6#QQ-(Ly+@mk;iyy zIVioydt=NYl{wCDxj%w4AYuVU)p4|&7G2l*#VLwYVlabhvUpm_y$XxLThZeTW@zsz z8_AQI;2=5JYHqy3RkI5Kx~OzPMLFBD^D{WmwL|ke4i(a;!vNn!1+x&n zxqE!5xx`dp-Ori3tlcRIgWznZhV8pD^>y3ba;NU?@a(wwDa|4YDD6+godWe&IGk`O z)i5=$&|CJ|$Lp7*6YkEN&R?C3Uq-$}!-CyWep~N{ZgSwGtT#ub68}RPp=a7tqF|!_ z!9WiXCjTALN%H#LRDY=Fbv2Q$eLHKkj_r1xFRIT`1f&elF0a5`%(?A3XWeVr+64c6 zZ84T!=vK<{AR-r?^}f@~0@#|{Z_eZ~NYJjEiTf*clxmUiTHCG)AWkPyxp@d(M0O}j zN}0T$;LR7A=o4tlG8b^q3Uaa*?E7>eAn9!_C~5N|-K_2fVlw9+;4e~wzZxNC zqjsfDA$QfNt7t4Nq*q$;ks0#po}qT-JBE{vak0>huCX&NQT0*!O=Di${laP-z58Y1 zRouL5Igui<=t0GGgzCi4Ptjo;M0KHQXn4Z=|Ly8;6{+6ReG>v-{y|07*z0_Y5wMk8 zn4_QU{`z!7L*Q%3?-EAs>dB6METQbvfJTd|FN|$1Ex#rfI%(a^>uU1~U-uWdo~LCL z3wdUW^FiU@Mmp<2s?(4;$Peu(%_N*cAYm>-6gqdn@Zc+z&ieYJ5yN^_)&!Oo{GR|e z#HNfp0noLC1^M0-Tw>)Cj$Wxg+d4I@y86wnEUOjuYPqbIS%G4)tk#^tQ_vBNX17;X z>&-Cn(eaRc+$FYordiODnzvPT^<9(d>Nqwew46JEuJJ`34CK#{I?y>uY85ptVlS5} z&+LcNh4p2gz)2)?Bk0RQCGhV#XaYO=b;FyH)Paj&<@i~8QBd#W%~d`#HURQ%`50-@ zF8pzmo%l_}J9Kx9L&q<91+(;9lEW2(z$Zm|!HePD1xYnl?Mr3{U-;TC5%px{x4LoA z8Z#lxP4Mx_Vj)~0oIhpcY+pMt~IHj zN*mJa$6lj{Mjt}@Dg4d`0vly~Wi=I{;ew!|kFYmH5hUUl_t5MLH4kNN@gM^loO;(6 zR%0$g>PJir>W+~$jKbm+G;nQ}J8ELa(M0po2D8W^PsR|kHPPsN$(*_DP#Bu3U~${B z|NXypp$%}rYCmk}y!S+jo=CeUL*w1HgioV3ss>S2n(Jet)z`i&qOSVB$H^{FAnbu% zWXk-0KKANq)v4`j;r?9Gre4D9+~PYK;j3eJgW#AfUr z>usx^Q?<7%pW94_usdU>d^Z-vPVq#AIPkLO8YaW3GjRpQXwQgQt(LoH8w6v*7L@8l z0(lKk(f|I6al9VFKcI6jak5$s2;g0Xcujw%GQ~cEgw*kliybcgD<+!ZIGDd867>m~ z>~;6ra9lj|$nIaW@bJ9P2qnh;yJlggFX%As49S5-BzJz9*8LW!RQf&F2^%5+c>9OM zhv}MZLwQ?4jf%iUy&Rh)tYZJ$dplwI63PP%^RG4elkKY&0Vpc!OSGaTE(~?q9ukr7 zJpFi>CRR-E1VwE;h(nIP23Efs#ryPeGTLBZY8ggL!)IJiPL$cZVv7`(?#ZlMoZcyX zJg)&iezxhtJge#@M)|a_6DV99_P+I?56H(A)BD20- z^P_szK@T$}lnz>j9AIb7j6kWY=0jh`xd}}$)DCLr(4N3*2gvTQQvRHLJng+TyT9l* z&d}UC6XTutjhm@Gk{8)DxCp>;w|)Jc&O_gW(2eeO-g~)0XDVO;J-|FxT5#g;!oH{8 zt1B>$mGu&CE>QI-Y^GvCqqm_Ac>c|s%>xpV%ea&BnA-8Vkcrk_+jdR(k;_>tEkSVB zv+UkEE63kh&ws0^w4JQe^z2j@cRi6-CN)7cuo@xS#}7Hz@wzI7Mda>(hDT_Ga}?6w zc>Xp8=S)|+mcI%#5iXQW?QIkHSO=Ton(DCetIA(Q&rZR+0S|#zP~DLb?0Rjlv^4}kj!lcjL)V zeOa3Kh~%(2Ye17y9tG!0=rgGMJ0D1BEBLO^XCx2pd(#tUIMWeYJa+?=SvFn_RZf{^ ztnhlJu}%kps{3waE^TCyfiG3hcqqEy6uuCNsT)V(a&X1L)2Wm|Iuozlqr)HCA4Eq1 ztAC%kymJE6#MnU@?O}v+-c$CxXXrD~>f9czB7e&Wm02kdUMnbL-&X%gN^YXWW(1Br zRpj~lBJMrhRi<1k0mQwIkIxD(Uui4oobs1Bp%~@z0eJaY^&HuB^frdou+)&P2v_$s z>&vKXi}~xyWWVUtW@)Z$yjuCvAG*jc209O*XL?;pwo+67DsSfh|B9DsZ`2OeuWLf= z`#B4(bVvy#f96DQe=5IX)+%=+wewJOQR_pZX5>{l5)u7ranF{mJS$oK#SBUD;-z6_ zGhQbAR&JlYej!-?AZ_K1D42E9aIB~_ zkr-H|`uyICyFF{bb&^j)2QIq4QJy$e&Z{KPpGX z5~-`GrfWcY$7&^Qq^+2I7DREC6T);k^O>V;R?)svP-zz_>#gG1E&caCS4yW7op2Zx zuekjWk7I$$LLqasV&>&UG{LH&soieED)or@HVz zYjA+h*qR1Fod?Hlqi;W9pKn@=rpO!ggW~5;-v5E$GECeuzAyWkd$Ha@rNdBWp1s^| z?n9KEHJg21vMpu@ZWnGiua%vDyg@_V)L(Z-5!YRJ#@-RNyY<&nSKSS*D*OHs8ILx! zYczr)6+O6x+JQsdiG2ElbmSjeA+F3ptyRwxsWlgJuQ3;UY5Xru!o3DMLE`{VwW%1U z5p}O2&Jc$deJ9)tCl6pwN1-nirymsAXD?4!dl%631?Tz~3)z3QR)?QeQH%#;QFHKxD;O@5Bmj2*VgSB%C1ovK69uUy>RK4Ym7fU>!-$XP*J21SzG%EAiJB0{t7QoJO_8 zR$10v_?*r8Pv%U1P;`SkV#xLohw{$2A1+%* zj?Iy^n+5he!K6#-ihzPH;u%vTwd)|L-)?S!Y@V@|f_hl|M8VimYWe*>D z4wqc*;a{AT##|R2`cI5Xu{PlSn3Ovxl7i9+*7MO4+w1 zwr)5+6QD6t>tykxg0j5f?9wnKPL4o9!Qb>yJ07F7l&Bn6zPAIt{abRp(Py;&dH6Wq z-dz6W@|(w$ku*=Ov9==U*3=`Jy`zv%r7vBz0S-dG3>96@7bW~`-Wz%uLz18%P~iAV zaOCM?9xwOLF1AyH*L>C%Z_Li$bVdKxkCquMimaArw6Oa(Pxf`FL|YKF6lx#CZx@(C zT=l^QQBVaM#eSJYc5q{-hPHrn@= z)KFGEu+fi?zP+pW7zw$z?+49=XzT1-P+fI52PBTMeb@hKOl7dIh$t{Wo%}}7@CT}o zR6eqtuM87tM3*L-yLu3(OkIEU8cWjJ3-6<}f%3Q&0xMjlMiP>`0E<@I>Iu-kvlV)` zYx5#FE&R0C2+8U3w;@cx&3Ia*RFUhA>qfH*q4E(~(Te;OfmZSwYU@lJx zZ#zD+7My?AKgIsYq(q<0n^aTJmg)})X#bLs3JH!Y(BBe((Id~Kiv5z$5sD#d;TE?y zgi1hXgpFg@u&VHv53IXQdA;j~D=cnJT4-njyH~s%)qm{v7GicG@~wKOS;rQ^@wG7_ z)!MNmyy*EUd8z>bIv2Scz4-Y6mx#Tb>z@e$Gb>6$(HA{}Eeg!k?nhYgL%BU-# zgs`~~8dPC}q{!(@LuyfTbK+Q~2k)ydDu^&Dt-YO3U3K7N>ljhqJo>wm=3Pnq9EJ9C z2{Vv#WntYj_GIkW4T@Nkwg4Gr`=gUEz6?nGHN!YrdE&lotfd=>rWVzWJJ^PTy6uFb zhzg2Y=sB%L0#`noOwRgIm56R8q882ba+>j1sBqNs`>>rOD?Cju?t+=WP)uZJ zX*OtQRZGF}D>khTn83T+J(;7jKt)wu8bsBR>9Rm5+&RK3HZ1uWtV6f_YrD}!L!d(YCdSlgc!4D5~-qUtPJ?2Xyd_E+5eV&|CS2L(Mh}ByNtH! z!^sx=$a&5ndg`?mR;E<&OeOue|4x3BXj;I^e~FY{z->33nT{@IZyY#s`;>H8Ny*#p z#=^uM_mbtVk3apDLsE_)Ni=`fJTq5;qJCJJ*6UdJ#G~EdA|p>hEr;niHbmP2;VwuR zJ2TK>(wEEcwsBD&&sfi!1@nw;n}ENaXg;(LFY zWh6OD>AzDY`O7gq7tI*EQTW<4fGp2pf@(l)ZC)oPpWb{pcL+KAK|gI@R0vl8{l~P%-jK-ef4^$(K)>v; z_z8#vI3!k^s~F`c2^-}$(v^*l+|lIrs)N;moE;23SvCCpHsbFIC8=Gg5K;%TqoVJ3 zh2{2UGu82Z6>TNtn|JC*D~E%~_SQ!!#f<=KuX-6ZN<9>9ui@snX!#0p#3YZ*3{|f# zMWxtHZS>Jf63wxcfEUEq2KtiXH<#L-+!|3|Nrg`$V*=V`f02qv_{i?D{f+`lCO+fp z#MTIu63fTo=4ks_HWVec;6f3okYQf5|4QAXh;PNgL=zUwrK{+v!@FoUj@melbkZBI zx(gk7w#8`)1Ju~q#kCV5t%dX1A~d;E1dch6>+-Zc#$hfnNipRW{u94SeE)kYqb}UqsAh==-Dl{i4$Jsz88cyDBVNL#nc4sTegJN$EeTMckx%wPRpEWCg4IoOIDfbt*%-vG{xMoS` z6N=M>Y$i_q4R&W{wYBu)n+i&Q=w`T%2RU0RszmHt=J1|>zF+URSow`b_@)N%_1c&H z=;|LGQY8UEob?X8CRA5A%pTlSx3mA&ohG*lPt#^53>ueAMzIT0V$PtHa-%W*hubk8 zAh)4913RlJydo7*4@%4NERoXtx;FbGN!@tO$PmzkAs$^}+|sA1ox60DPlfHR#BGzW zh&Cr2)Wd3X=>lMvc;9Sp5n|u_XXg3SC`r2D2cOWkKX#V$R?OrLAR1bJEJG%GLSK&1 zd6x1p(^!+YezxrErTjlwpb7wWz*>&N&X{GFv>lz8fJ^vV#npwNG00FH@^ue9*Snci z8k%qTGuj>pK%{&m(*NTFLT9?+jJ18z0+uyhoug#Hg025w@->Nl6dnBoGpy;O3APTC z`Xp5+S0X(ISViG1mY$5L?ZRC5ch<3Da8+VZcuQ+a+G6cn!TBcHY^D&s_Cdq^olCv% zJ|RJJX0qT@_3wf8!!2^2Ra)}_UJUes*1t9zR4D9gjRyXqe0awaH^R>NoxzG|vU68H zC{>s#o*+k_j>b<<)Ql*t433)X!G;iU^E*F6wby%KhO2q1CR_fcMPq_$%bnGRr!;!# zecTdoGpQKR_ET9GsNZR+(f*4MaVVN?Z)Gk&QKSd+0i zZeXhZyRqb>t3x_u zKuH7u?3Z_oQE#6Y;X|?F@E@#71HD2&%HA}~S4`1WydR=KCu@~y!z)QHtX`52#lhy? zbvLkH^=nZ#?A5H4+SUM&tzo5M>Pj}^`|C4Zd4AhFmK+;_T0 zAME3^hA^l8<7^#G7%5fXZ2$C~Bs128Wb|In&m;2Z$6w;!R8d{b9`7 z8IOa+x%of7Zn>uPiJUU3TF;304>iv!MDQGfkcT?P>bqJq!U!AT`^%2(J9sXpr%dvb zts}Mr&XYiA9ZMYJ@M;V6Xyi^j`EIQZ84znUHRaHo?p5mHX{$}u5m)35vX}S(;c{Gj zTONaqyT_|&osHRoK{Dt zG|SiX1@THWKac5AZ49@peEqQhiF`PnrqI0Ad2wWID5Nu7wJvf*rKFsz=l&c_xAyA? zfHrKV%_1b6DShVcvli?MI^bhiG8OPUO70pn>3Z|O>I*KpBb!9e5Yx_0UMVd<<6+(b zX)^{xZr8eX0!Ah=&Qx^nO?+mz)#iBS!+96jo^(HS{SP#)Al^t?__>_M9`vCPwbik= zul#JolG98@7)l`UlebBS*pbM~@$KD`$&XiArf=?Fb|*x%KN!oGOuE%QjB(y7tm%#N zvuVY=pk8VfT!A?ruhjU~hlHpkUB}d&otRLE{fxXqr6)^$6Ooowl_)z`N0tQfl-2`2 z6IgX58myMUuchWElMh34#PqSddf8;;@`0-A{MP9cT3anBBKX6Z#UNM)0M=YO5IZgP*q@(_h14g(sST zC7gvnAdoilKV?Z>!5UXJrW|at$p~C%_cvzPX-#|)5z}WTFq=l~NHxE1^wr1TGm@?J zwo5xPzZI_pTqu^KJbIH|d{i0FGwdA{r|JRM;dQ&(&ximBl&{KIKu0z(mxdu!(zMFQ?gN2=1W2~;}Y(2^j>ltb5)$%+vHi{9@C z*t9I=sfvRwD%BZ9$HY->;HA}dXf+F)7 zrXb7=*bHA;av^J`qwL3V0|=-te%XXmCXY#`e%Ix z6efag&pptu1Gs4~p8Wa13egA)_5)wte|RlD&77qJXma{Grj$KdfF<>l5e8%Ai`~Nd z`n3{?NhWRFEO&x6KL?euhThTEVTpuT&kuSoYB>Hq{u~r?=FjWu;X%Vin>>L3Iid8T z^LQxu-Qz1iW7y22Wb;n<@h0>9_l}$pvH&W>VBoAx)aW8_L9lX=d;Ge_uR1|-ZYjCm zTG13?85@ly0&*Y5Orbae9pp#6NWa|sa1SULLRoS21X zmbhW#kRYmMZN|Db#HDK{o<=CwE1>kESUk37{ikxfue|o0frZGqgg0S5BuowwiS5JN zb38%s;6Hsmiv6+HL}Z;!ieK@R!c*$zZCEVlTHVC#IX~cQx<8>V2jVQBU(CyRj!8Rl zdY9o0A;=1(8hrvY(rDGOM6}0<;HVyalWTZ=+2Zt@yGpp+fp(SW06dbs(0OfJo%keR zb~nlgQxMHbU`j$tpj0Chy(ubj4s}-XLZZ(v)N~`+#Am`rD&OWp!rqB$A?*u?4xxGe zIzI7R6iWp`4b6*B)C?AG;6wCFle(u1=KV=(_G3V09FN4p@Y=NdbQ4#Fyez(!R;h>c z)*8XW0*J;GmjysvVgN>+A8f1YLC3asj(lLcr_{J2Mk?i@*jWfckaen&=SLxy`^`DH#y6cYIqFW-^V87+x*pxSt ztN@zr`k6peZdzx`#e_3lsOFE|k#1L}`K1hWY5N{3D9yX1_+6u%-4SYH)+x#8m1r?# zXu0*sq~Xv(u)EkU9`|Q?;3l!B4vv88NgjJN~3bb zR~6Jt<&oE$hd}74@s?~Hsm+Pe7W-YV#2yQO-J5%^I?GauU(__VvtuSMr`n=?UA-wC z4z--=D)uW5M=3uDu(-^~E27wWUm6r9Jh%K}FtIeRU9>tQ?;if!5@*2?l?s%v(efSBUWML46 z4UKR4!CpBPLT;p(N|OE8$OQl;$-mdjm;65SbC`$G&r_c+wu@fMlegy5((EzT?Zz@M z9vpQT?IXK z>n==|MnCvpV!^Ag9A+keeJw<05N+@U9vJj_57(>J@4j5^ zTodM+u6toLbD3U7>isz?QN{e|^3o`&d2}j>dBx5t(%>%Tpik;Y!_^=AZpvpc;?vFL znJe?Z(xb`Y<)x+Y$}k4tt@#{s zts1;S1+#j|8=pvTKAvQL+Jh3}@~u1&--s?id=DJ)k4wL*KwSA`Cu}sQnCBr=B}?t! z1=b>wM!f&!bj-O&GV7g>2zYo=AX9B-az^an)5LK%oja!oarxWRtbPyq)t8&1bkuJHG|%+4%;$$?s>qrT7} zDg;1UjnGP@v{pv$=vbMJJ@$b^PKTKoU$}S@=Py#E^ie5aHKaxXpvW(?iGTf2ly3-o zXo&3m4#Rg&Oc7?EAY{?My~m_^WZnB@Csz0M>Tx<@EP%+2nH3u>w?WQOJSq4uW;ysJ zdl<6cico@Xz94a&<<5tiX;UNrofCqa%ot1a%bk0Pihz@kKpyR$tUHs}-7_9FYJ-9c zQLh)HsEnfLZlxpLPczHIbi*Na3g>t_?75T-RMn_O(2uX^&*F4nAOooa`@K<=8UAf_ zU1m`fJS*&SsX5V$a*{i$k)5F_WiKV*x)?pyf3j7?$Gk31l?!+;F?YM}47yD6wM9{u znqg_F-+|d>Q(owsZpPmh_NT^mh;F76f(pan*=Bnh)5dBy4K`l53TxHR?TjS#z9c>g z$DA828RKbBtb3c#(pDFeph#}cN-}FsEdFx z-7}V}W{7*mFw%3l&ZqH9x~c1CSF3rJSl;;5(uA>$oX^)@s2KC)T5;~+*!@$ve9p;x z<&!7!+m4cEyGWajF3v{#EoNAMLTIPk>n~iT+30fWq8uN^vclC1=l58v zFQr9V+^h*8sDQq=rn)XDf}iyrB_c2?NgA?Pd;+bjjMDRJ`&*lM}8d; z+tj|lsG4G)U-W?VV@suqeN8<^w)al3dt5tl7YAw(lB1r$iC&WE&Hg8Oe-dhE+8&=T zDYgIQ6~`Obp!)L^e59>;$|&YVT3_r#01*Pg(71!!A2G3|o&%~srty3$c1USrFMmWY zQ64Q|BH^+SBr-yxk)>~8xZr+`PA{=8Fz1O(`s2Be~-Z4>!l58l!LE}Q8 zkLvvJuBVR)Z06d#(Zjz{VDiJqkYo~jK>Vl(4&gZD8K+}**nAi=I|4cTzn*pk(ln=~ zu9wV3&DFBfKIm&1=?k_{BL#NJ)oF1`%_AwFjU)F+67S4W*n9Z2QK#VjN24q@>-h(d3jh#v+0fA$xlw*0Ww9oY6KpHKux34wZH*4 zQ;Di77>`^kYSyz+V=weR>&GVk;qT8?Eq<5VdRUx=O4HQ7GdPpMm9>%8rJX!9E^>N8 zA48qTX6ZT9CMZ+QClC-6$^?Dk?k)qYu4oXz6l(ov8MKZ|@4>^NLXMy7nVP(^*0)cF zJu%J$w-l$ebS|x742-DWE^vfdCY+Q1T&cUNw85kkoOHs7a^PWlv01HB`25-+sy*`S zE2F6oz6!SP+Q`VF^Z<{XqJB+TueqNpxOSH54U0z4uIfTBpYU-}#-H(3ekK?y#9>^w0=VZ~Q_1R*q}46%1&T+<^S zX3TS*e#g;OrYAJeL=Q>jEiPC+24!vhg;@PTYQF#54f}-0)T&m8 z9Hb^a@l27;DCxX$W$gp`8EZ#&MV?wteIezMXA>k(%qTh>kn~htb70~qfBI}Yp}-tR z7ZY39T2lWFHP;Q<2x}aJ z+W0B8Xk~Sz*ej{}MSNJ~p!s$s4Q&UN{6gT~x56ieDKD`EwG9rN^t>lUe-TZw#K#yD zWURskfmOpv-&Y0d*t!(v{zqmvF5jmPowk77L0e)X?!;bmDe#Q5z3oEB!|^v}K02Ti z&~?g~S>>9j3K3NPn5L!2ylDS{jq%ly@8@-Q6|esQ^n@SEI28*$PB!S)l?~jSFrKqb zWSRwu1K^LNpN=pdH<20LoO6MEcjmC9E4iJ_v$hDM>cn_#Lw4HqF-)IA69L$6!Ihk! zQ-g;}9?6czUcoQL=NZ1aYQi%{=R?B8l)Mm>@e$x{KFn!cZ=i`rx?V3$y_5>Z-9LpS z_6{C>lAQ>ChYtbO|G1-;sP(APO4a$8#?HueVtw>2E4+eH;sp`5@0S!ke^(z;G^eP( zeWEFQt|+*dYM8(9Ll|$`d!mtRSRi+IG9oi?wUYahXPyjf{nG_tIRTC8k6@4e7%f4Qi-eo4d(WrkJ(R!?}AtBl$~O@duu$LUggz zt?$p!iQkSh@JC$5(7CHF+ICb3&Pm~r(B*RgfBpN+6M)eL@8d?Z5wj>vyVlxiIy~t0 zNLQ=K_bda&MugXr-yVoX9Og6}$B1q9LsAw-z2o@bZM^Y}95yD$_9n91e*xK#MD28U z4*Pt=8*2nDZPT@1obcKmQQ4EfsqJU0OEY73(B?5=4z(}ob<6o!2XD0kF!$o5qdf@s zXFnB_!m;@L^9Wwz^!EWBSJlF3;-a7M_@30Q?i!tqzJncm=RZ$NazETtEkb9HU$AgD zKkrL-po11q*JA&e`16WM+ZHYZlMvu_A2+33^|(eo@+vJ})&)}+C|o>HI9P7$*2J|n zFWfh*bhZ0HQbqh+rBfR84kBjvk-!Z|lWZ*Ov(ZjGZ}cY<@&3O9*lA4je&o=$$AnV; z#n)?l*QJQ7^VgZ5v=zs!R+=~%{j_W&W$$l0$!t{O2qY9FmSstSH0!tWO<;iUplK#Ir0eV2ZG;H@JNjtz)@aOXdI zrPzWDYAKnDR?gSQG%TJRd{&7Uzu9UY79V{H$w*GQ+&%^6aOPMJLq`cT=iMhRNW@WW z!0~zZr}DML&F+MrZ%pXeh2^~azpu?m{3y(4hZ$x)KFMS}D<63q#<^202MY&9DL6tC ziSNe;FQk#;!N;*P*1Pq(oCQh7GsDDorw!DGKc16NzH3K)RX{S(+2@#(K!@y`=Y(04 zgU&Ci(OZHR3LZq0R-%Rb3ONn;5Vv8&Dn}Hf^~q6JCzT}+(ulc#p>B!%9~N43-3CbPIVeE%TIsfxM`_Ykn*R2f20vW!tvoZ3%(>BQ7nvHk|aV+!@f-&ku%@ z&0+yOu}|>^w&{WdGWYYtb^k-cWRYu>F4l`yqlf!KV(%ctvQgB<;0t6>abb>HiEl7a zJ*m8V+J$KUwb(}xSPoF4#8zyU=IB9ylQjQv?IU+OqFLBBTl@um7Yq$YPIVX7@jn>l ze|O0K^#Zo07W*P=(mb(z2Lu|OFMn#kaoI#dt1**Cc3Ro?C(0lXS5Pod&lB^bG`P@UpB%fHW|6w7)btC5aG z1zIhmnU=;@vZE%t3|lwC>zFrxD-m~B6gJ1tPYp2{lKCHt7*OeqjgU*w3PkDIE9G*U zst2l9rUW^VsZAD|I-xJ;0&aS7gtt}a?+xktxDDA|z$pAmf#NY?b+Btw7I*Ptj-LcY z9N0P0{7FOV%DXI9?mwW;>_$hj>;5D+M!ei7s`+#y)z7N~)j=aU##6iC0QKSe6g5J# zd7lYMRJT}mp47E#XEE>?oA$BlyOAa;Uf&sc_M9I+iwzR z`1oK}F_G6djQ6 z^1fVO+f+5d9S6o7@+U8*uH@C^Gig|5k%#Gs7Kb{;m1M!n0YR1Hj`6W$CJHa7xqI#z z$?uklP(faC%6az(y?QKP)%cZz0i$zugNyn;RR&%6aC7Jv-vJQh7H_0l!OT<6U=C1zCM=P zbvJD=-Mii!Fpe61Hbn1MSr9_YFAF7xV&*?T;KM%8v6gx#1KK(?4e4W5VB7YhyvHM7 zH`4-qs*nwX9fL1kT8A|W-h>Oa!a!)1*;_F8;?GW!1}}9ZrAyJ|@hNM`dnFEY$S$ai z!jTqhcvD>T2FFjNpTTrK&f~d#Rg?JV`P}Z0yN#VsnDy$sR+?9pkD+EjLf`HcT^X$v z1@!W;7~F+npc1gNzc0k2wp=c!j+C==iN)s6*RX;XG@?9%u#+9-0JtFo|P&DB&hZi$lrF_zfM z^cZKJ*ZH=XFeALX7o0^5THK+tjD4k>vJvU@rAoZa0ghVK)cA5dx%LC`cMBACv`vrN z`~(5(2`i8$*-90=zlw7kww6J)ukEWiJ^1AaMPmJaSKFe@3mdbk;o9x3zrdrjh;x_U z4ZY5E6>>MeK6~yu(;CnUF+r}<=zt6X@sF1?+K+Ldg(fqgS_HJQm>jMa`K<0F9Nq zj;-Op9H&-H5CWw7UrhhHJ5}5e4(|Sk2OBKM4SCjkp`suSuh|$aV2E%0iIeY~zXCfR zaDK$LkAe2`zJ6cjX*5bZPe{2TqI1|=`1E}kZ!cD%G+pnI{ZBv7V_){K7dj35$7ZyO zyF;Gy7X^85EsvBJrEL;H^A-)bBW{=Oqs!;CAy>WPltlSeaBJAoTWNrT)g@w5uYS;% zNSRlIk7)EeYq1}@=3i5Krmjj4AG(x+xo~7HG#a(-P`Jg505)h z2hS`t;!#YwXDtPxLlr(U9f7S8P7EApz_5p7{xNTfe;vYC(i_Ij5B$(dS>y!Fl$ML! z7ZY*WWhCj(XV`W^K)%<`l~_%0v}keD%2z>Co)}R>aOEjsC1}pT^W199fzN`Y(=e8h}d7ixH0zH}&>{Y9){$yO(rW_Qa zAjWgrN%#1VG0(cnlu~D`?@CHXohYPH&$t!kmKgNMBLszIj(aK7oVI&!3~dfE!0#Z9 zVOK6mf%RX~MNgu5Iu_7g2WS~FH+Qi2Fxs-gIt#WlxD=ldV)DO{qdh5k5FvCq4EOSv zjix7ruxg2GRwe3VaKbk^_+M;R5xWZ>lpM;H7@rtKL?F!G!`1A^zFyM$qM3&lHg&Hn zd$<$0(DPZ^hTB})5BP7wT;iJLaCUe>dO_~@WRDiT1R{(9@9ox>{l8+_qAdhBzgqRx z_b_*ow|kcVnuJ>JEM0FaCbS(yqAf9uk?hGYS94F=A)A^_7f%~K z+KMReNM0+I@#_VgZdkeA$K}dz&1mIb{FfP@M=l%C4vw!T=Q~^!;cS|-aWgUm>#6t3 zLYy^~&SC-8tj_-dyu19CA)5N?3uQNtl4wv({Q~jy{>gyD`$(E1f8iFjON^XAP7QNr z`i*2nGb#C1vjgTaHIGq!**4t^YeD|(p8KV|`=LUs6C~R-KC1wL1$pw6!)LpTR_uMU zdf#hn9R;3d+jFcF%7dfMT6QWb+li+&9$!7#TL3dL%dGyarWjCI4j;EvR?|NcR9+QW4Do0Vo`Ya^R%`Bde^IrHUQ|}yxcWW zQD-O|6$**)1ALqSBW%%prX%hH{ccuxzf4eEncho^co$IIsg&$DzfOU)kS{>aUTP2V zlU^;5TY{d~#cYVT5eV6gAIv`(+0Q5jFPcuwTk-{yt8cz6NR|d6Yl(|$Yby1K%p6OI zC)3gM0sCKca$-YjQVgI@V3nf9%#6Y+Mx!-!OE2*BXvTa3Xb8D=)lB>?ti4Td@Pej@ z(*vF0o^SP{U`eVu#Y!>!c^+R>qx7{t3Dpb`Ok%-PP5JN!g^h-(5zEP)570YS{dXG> z^hf!K<~Ufci%P`VH+^q^$6^kI==rE#T8ex@1MXKCm8? zr%hnRoMitwx&8uSpARheU|aQ|Zq9Y=S}4R*B(k(Pv7a?wq1q2y*l}ksBBvmlQpzlD zKRj`;rLC@~^yZAun-+ouYppEGiqfuw-+NbGe2dUb$~y&8!ElKsnFyC5U3Rt45&ucl zm7GWtC3|e(C;q+z?RC7+9yaoO@a`w7gZ_KIG>eodn{z?l$e5@+EywIc+{$$4J-Czo zo#JHkMxK86Ax1#|Z0ROCj7VZ@J$#j+a-#vTU(P&l;s(G8!LX^#7l^exbO-d`x;MDs zo8f;ovQNHdFZ>Z=jfa5LhXH^2jSr>a_)Yqj8uA3Scd2SI3nYC5^IYIJwYCo#3MbTI z%jGvQw$NlqTCh4Zwnosv?lj1R`JyB>Qnp1PO)#l0rXqm~#{MJh&b-LNO)H}45LWM?brg~)a*{LD%=k)FDEiK>b0(HbR$2cdy&5-gDTWOWWZqsXOb$nq9H-(Jn zOeJUh7ic(pq^@35-N&mw(kd%8_x{Q(v8$ql;oMybn$>Ed%cl75vZmxgym>Alu4h#?ixtDVm)n(#Enc!yyI@r%;u{xB6?NqK8{*$25W42sd|YFR>l@uBt>qy||=` z>PvyZ1_!Duc)s7hb2lM`f-#2~;u$$k<6)M6f!@sX^7>43PdZH`iDr6gl#EZCa9B78w8Ef}2%Q+D3 zPhNsuCKPqwnj2}fUYEv%vO3x$guezR809-#B`wrFzSi2^vRou9dPY~%d1k1Rd_{hd zw#d@>nJmG%DQa6+?2omk)VxZ7-!^Dyu!5N^9a*$mXbMsg2D}6&ukr-J-zA`=!o!o z$OB5C9ArHGzSJ4h(DdGG=lL>jWVtYJ(OtSy5iXo0`10;RQzGcgxhxZagnGq+DMvE= zaDEN9R%Nj_*J?!4So7i2g;VAm3pb|MGZ+_2Zz7=#ybKOUneojgnTj=`d%5D{gMki4 zA#9&C7F=6k*Q7fEa)WlBfvnqGxuoh_#mXnKWK3?ko5$qBNcA%NE_6b-l8v;$)*vy= zIFR$+A!_YRCf6yn%^y16qn$Q+KXi})fLe|4tb46Vr^#k*$MH$2<^%4B+Mx)H#vPr8 z@sWoCv28>R*7-L5mI~ZHprNns#(j<7j*i>4nU6px#$w(=S&*U1@&ozqIB|&0fz87g z!*5KeL-3#eZM5Dhg5-O@rBWf~=$0xwKs{hJCJnflRSF&i(w)-s^Jk(gNHZUMHGhop zk6-gz`iA({?I%9bRN}bg3T-t57Vq`XAhT-5f2vyRV&ycToRm9S!rcGp42~fPdKIdf zSZF`>VP<-ceDj#zc4W=ln#1&qhMt1}y)AUrVmQuEAxjW|ecg=hlSsR38m7IJ)(__a zL0EDOx>Qu!aAk2@|BiKhMSs$P*-ijZ7N(3LA4hOJO0r);;BCd-M-18 z_E*PPgln(-6bQFbj*fzhdo9mO+PXR9ind&ss?5%|ue?#>Kuy2*nXT<&%eK`$w*bVW zOXGD0AFK;E%5O*8AUMb>Yh*g+YIIg#b(oaIF3$OsKD-}Ttsg2LFB}xlz{|w3!H)>| zP3btb=R4QS56w^F+Q9F`{AZY6p~i}{T8dvaU`dxP*6mGiCQB#lJe{nWt025(qKH3P zgh5_V(3qsPd*?o6Hx?#~)c9vezqMLsB)av#gZQN}XOin$Y>540-GZg2w2IsG{{hB8 zIlpvsO?tw)DpX64b4`sb>bWNOk@*Mjaw1Kh%b1yHbI7Q;m;12H!};jEdWL{Is8U*2 zv$ga_*M6;k%jK)(Q&cvY5BC9xzCCa8-Ty{(kvvGBARn&b3hNa*rxf?I*}R{lnOzY_&u3F_ z)IQV=6vG6nMlK*9eu#Yd7xLjV{}f|v$X0)tJ~(63p#~`yLNksI%>&LC2*NFqq68|J zgR99JL$@g>gM69nx~K;q=Ygy7VaVx|o|f5CVZF)IWPGN}W7m&l7klgi@))0Yy0;sp zSF>w7g6u_*l?cMUi=7GQ0D@ddmL5(xFC)my39=1A4kHM!6ESCQ@n21RuxjtgJa(+# z>eV1GA;>WVIhY`9`-y~uc^gkAi>-KtBk0v|UOI6Eiu6~b{{TIqcted|OT=K`vG{p`M0XWpCPvm%a@QY>+e zTteQ^joyRyw52*lf0iw*&aPw}F9kLa01AgRv}PxAcc4mx^VLhv;9#lcQ-tauHf%l$ zSS^k+9z>Kw^z_Bd2Ny(?B?nrJVLMwb9W6B=lT+!5{h5i&YNXf}mu2xRRqz^nD^-1}<@Qu6q&kc?a3m z1c=(6b%vI(OCegflsYRcSgMdI`OGAa|Ig9F`gywib8zqv^s4jSVy5(J7Y9?fM%>l>eA!|$Lkfo=RKC2VXPUMZldrEhe%u@EoH;ais!@)mD);h0~rMKRQ zZ(Ha|EO*72$6FgUpHGk#n^GjKMpPdss{P4!j)cqHaJ`ycw-e+7@;vVwygF00w%-`| zq;z>teQIxPO_qL(^jU*&b|G)9+e7-LL1z*6#_}W`CzIDYua~8_-q<1Nqo>4c#o^-2 z^ZWX(UJWvbAUrze5%fVswHrYWAjtVzh8<|qU+K4cHOPSk;gRMxgcC=9BGWa3K7wTN zn3_GtBi5G^ANC>Z%=xtk@nOi8gtI+Cw#bk+el`y{`cK@{&)hrUh)mZE496k+=VFQ< zo?SVFG(40bTM>lg=Y@pBa~IDfoVeqq>kQ=k&kPLD={>#}id%WVO_wm;jRsjh)MFyI zX}%*)fd`zF^e~&MU)RWLnMoVZJ7gTEnn>?hCL?7&jhqm0QhH2~u4~XVPZC!UM8Fx6 zUAhQ%b-FaO>k&FnQsX>;sIDNYlZxS}@p*JH&^5^Vx`m>a^+KAd_;0dw6D2A2X@>tK z=vL^zp#`dm#OELS*+4?siwwxIr;E= zI(1UZ`m>IpJ(=`%LL`X%h^TfbmX3@HF$U@2WtQHR{R1cA=!qX4hZI8!M7GPS54Nm34$t;m-A$NZ-k|sN;;uXF`Oh^!HG+ ziQtV(P&k#y=2V(qL}lk&>Yq}&oyrAWoR3K_Ij4j(ood^ErwiUCj*ii2cj(a+y*Fi@ z-S(6|6Etk(>pJmQa&Cm*6|gw`rRIA{rRND#zQ2mv3(Wk3sa3A+0_b zt>bx%6FKXwb?~9>&@S~!3Fnl8E0V?P&vGOTPYsYXYCHx3qp6qPLO9WVUV>>zq=Y*|xQk(ieV2p6J(5XkXK9l^ndoFcJRyU z4Hsw9{p?Uc4Kms~>~d7A|E~)ga{im*)P6pZ%FYLLB^@}fPfFhpF|TL5Oxj0sDv^I9 zAL3mqPiE;`s4Tz#agO2M=-ZE~b<dj}88xv9|qzxgG=5^nvV>&qUfb`^Ry{Wb=@N zdS-Q2*>M{Aq!u~cIV7cLhSStVepyrjCjFRDtBc&CbBr|~*U&jzp(t@onHqxrAs~1L zSt-3M%m6P;Z@K3D&0m`wKp|^Peilk@S8sXK+*@|4My?EHN8hfZvGC#43eQ!E<^hkN z6@zr^3D-D%&YzEYD#Ce+{xd9aD?~m?Gald42*>j_FILu>+mfo0(fZGyqSEx2tV=5^ zwpO#d5lYXutzPRfbzv1zi^Fb&I(oIBR2teFb9Ic(=DYdKYFbnzOJcs4I_sI1xpV_+ zwfe8AedpaH`)GYw^3nOs>lR(X z40Q|719a^YKe=pE;Rb|LwPWHOS{^H`KL+b5(}G zBcReVHUmydIFs3d#+miGQW0veZa{tG?~y*dH*8b#;npPm8?KCAO`od?!j`T;(r+Q1 zSIyE}Z)_Q?Na+)I)oqjhi+#Y+wWQ1kYMF+=Tp{`gI}&S;eSxUXAltF-;K?|D#c%a$ zkUI$Sa`$f^gjWEhI$${)d?R8I3m1rcsYs${-=hE$o8YicCHgHGnEJ5X8Y{~ zIfHEHdV}kPp9DTBUD;Eg+N;rrB1^~4;gxBp%38%zpXJ3@XdXMh@vUdnYox(ra5t#* zU+45!>BC0+WY;z_F?(53O{AZNab+W)4>;PshZt*w&(=6PQ@Jc%EmgZpeMEK&s|@<@ zkP4}BK9k1` zIVp1n&|>38g@DRs>BCn%sUahMw{%SAK%CoeBw4YfoQ=~dKTU{_4d89QuU zzLjOXKj_JHK2C2->H5|)mCAQe>k$9{RODT%PtE5%JW6oI z1NB#Fm&o}+=SD6L?P(*=Q5^7ayV`&K2GlrzSM|a37Uuzhv;G$n`I-01?itE^BU@2k z#MQ}0)(d$d@)45$NXiSmDNyUYPmnI+qd&J){{@Eh8Eqf+LqpjS;S=d`Dmx@2!Oyze zP#0$G-XTlJKI&gm8^^KnnGoS!`>4;%x#Mxz2>+`!_V?ELK3)5$pO86wex>xuF#2oc zP|^@JWT{U|2T`p4QCXHa`VZrSc(7Qo*tm=8jZc$4pY!0+E2tXTp7>z5Gh_kPO85Et ztAq%hpwh?_!+22tK`HY9rD=F|hP0eNk~z0Hmn#nLTFB|kq*S%67Y7`XeJC%!KsfK!eV)(-$t<0qc>XBqGuj^ek5Ij#nHXYYc8`4-y|a7l z%hD8gug&hUw{q+C;hj`Y3wnqGf zVaH*eQ{uTwoR&~}L*zX{XZ`n|jMHj(UchODd(?*q&W-#pwJG}r9FYq`?_C5lz_o@i z(GADY1<8E46XoE0?Qwx~IzjHD97Jq*GS1KTTfG`2wx@;w4e<*&t#%G6jLJB8Vs_!$ zQk9k(RS5yEB|t$n8BR%M`jimoVpp@Pgw>E*7VsQW(v(&>yye5v0RbLx=I1e*z zmuuHny2JZJe(5B7H7d;I);jNAs05rwHY+SKsvU^xKQ&fMZ)pFJ%7wmgFz`)lJMS5| zG1O5h?W($#oMmv%zZ+uu{G2qz{$Aj;vYf&pB1P4k^NVLiR?IwFs{bL|{}7}%f_-sF zPSu{$3@CI?shDied2vJFBT~F7lyUHmJa{0P?a>Mmo@YD42nwoC5ab|&tgaAP;8y7S zu=x(CX2qMcK8`acM+H7@kGrK>FW_{Q_t?tlKd_VS1)J=RvwGk#RUb&)H6${(AhW#S z_htB`ngg;A-D4s@&JeIOukMmLJIIr=GU00HY=q+*dU2=jH1EB2H zqX@@&;A*yy2Dyba94%I_AwE2+y(3%EdX;1K3z?7Gl~Qayo55L~;Ng5kZqA&YO6UCu z!v5+0*9^y77_Xw^P-{3^tUfqf*;=Zv;)V9&tgNu(bj9kgbFB_k*pIf-;fPpZ}svg+6XRbAUeS=OG`e7Ib!=?Ho?yU=TLph0|m0jNQ^oUZC5L!3C*ozlF3 zqi0o-toIbf+hjeT#_xDH(39aj-f#74kh_TUI`=u}ItO2+y4E?^=MBfc=XJY7;0>Ma zQ6JXQ;rSXe+M9rV^(kd7+VjH^b))&Y^r6ix)sWF+tn`Kq|9cVKkzb>}Uv|a|vi?*B(E`XqemUiW%W}^b zU2bItKKkz@#5ul8rMpL5bf(fBwglmQ_~)+BBAL#7qWD!UO7;dzU+K5e_LCmfk{Rbe zs)-IW$OR<*R!7jQ;dH!TP`Jo&9zfE+gz40r4ChOJt5<{Yx$)=zFlzWcqKYS+i(E!H ztn;_X(zD5mtBDWi>2GzR$kEJ-&y%0OLVo@^N$0n;I5s{^8h(SM-%EbxJ$QU7`${^{ zt*v-E$=X{71~twNR0>`|kZ(JQUd=zertlgXA)ZG2KsMLGgR?R|-DeKhIPdb?q4X0- z!%K9?;b_LW7?5S1f9wcDKI-^D#Ur~j2q%s&MB*D6y6RdCw@jZul7@#7=iNxdVp~eK z0;do=nsL75j}hX}aW$Nm7egt76ak)bRxij5=RlJ6F~Y$a9iEJe&m7*7^8JZUqF1A0 z&lfK|O{(DmI^u9v#>ek)HOMC(+`$kwMPZiJKbd*R)^jla8QQquPwh z*W1WHbIGf#7ujrlo~c7|XKs*-DSq}PeVhVUqdJ%JeOby2J}-9%D!F`Ot-ov5%DR_q zzewu@w7`y|r$7XKbp4&E_6|5AR}#*XXvg@o$1QjEDATus(_A(ut2r$~5j$Ync?-+39I=TW}TafG3)Ysk-AQ)w!QvWDCWA6_7t zbet>e$sj&P0~8QF8Q2jrq>-!1_ARN#E>N;Q&kTIDcR`-tgK#b)oXZNU~{AKIBt& zxj%L})qb2iefnBB=ik$0xjb{duRqVOHw~O`p66QY#~Pz`?(qC`5k9$euj(XvHO{;? zS`76vj#ddl*6g~TInsRC$InqIrq?7H59mYs1U7<|uki9>$5xCNKh)<4bzSMW*c2I9O@fn>6{2ZEi1o(yLvy@eb= zjq^N5$dK8cMYVtW^g#OV%DAx@e9v?loVgxIpPfbDAWJch z^JKPP>$iF}$W^rGWVD?{LVJ5oFE#|2tS@N5y8;IJ14+l3%$^MAzx`IP26>-NCJ*2S zxsi6f+(mbiS4#d`9&9N&2H{-HJ>1W)x8uP&`l9 zPPq?~pZTO>-qGkG0`ragc zyB_QBYtqWd?CwW%t$B5I^7GQM`*F=;s>>`bLN!O!^T_t~DaLq*_Ju^n=iL6BVxDWH zxQ9wlz#!?5Az5#x{dEOOw(GuyTvLcQ&+kTd@!IjeM8);|?u2s*aXvk);^`>|G(WaZ zh>b>m!aK?WPSn4T>5B=6TdVb{d~HWwjpvGp^x2nt0qwbcAVtD= z2ux}6690F=QC7N{)-^nQyFZirRJTMGq*1n`&T_YtTerh z>V$iWDhW7^>_#zm8jW0bAmb$Y?vXS9nO98L<)4S<4fI+X5cl#Qv(tJd*x;>aV_(WF1DWuvTNC=I3{F4kgY7 zw@%ZIFBKmq6lUj z?zeoB_tvw=9YL>V7mrxEC&MRq9Zh`R zKoA~RvNvucON-8F)^Jba%p>u;h)>b6%6wKN99~o4xwu~tRS`a!^AV)sX+#x!!Xkf^SO(3?z6)`zJnk#+L>T?P%F%RiANMln-%x1&ip3Dba`Wzvt_mA@-Z|T z-L)vXlf`opEDKfJ{D{g0bH>hUPyO||sRw80ifez)OvUvN<4hNXTZif5yk5bmzc;Sw z;pZ3AEClBcx2J_VId}d@bAycYF`C7COVJpZU472GSe^Jh>xr#2<8z))tUJsge7eO0 zsio$(dYuQZ#^>QwD*1iSwT<8O=+RdF%y+6DPyz zQ;%`Uovz;U?AW2q!I|^(lE`^g>ZP1DJ9Zd^%VcG_DDJryovk6u^2Pqyg~kp%|I8zo zx6-IYw-SfGI?Lj@LB@Fm_2(JqB|T(4oA$0SABOOZ8RMV_i&=ZjYyLz(*ub#AYBVM& zocLgd8Z+mHv!Py%0+5sR3)hFKo%%1W`U`xXlCQVv)t&?AO2sigxU+;`e0PE3wRH2t zte@=y1qNDx=Pz~qhwCN^Z3a?shq-I}Z*w4Dl5w!^W%>iE&K^+O7JN|Q^I!UDDW8*Z zu%6jk{(Z%94;mHxfj3c%5_gv=1+MT;D--`k4=J_+2Q6^7rvAXp_3sB`S=R)olC}Ic zR!Wy?c1|VJ`E0717#~3JuPgqf_19bUiD`ysA5;7mO97gk<`UJT_5SA+49yQ7c-V37 zQAjKC?u@g}00%b14$L-UOlf0AhXS&ALJ=j<%vPRUUqJD@wE}l;h1D;B;Dgyzct{82 zg?A57Nb9TfGtTPcUCOU}ai z^lkI;DLm8Hr?p=0Q>-jZ=F=sayLRpd(WXT?*N2EUyy%47u!LWJ$2m4ttqXon&r%?* zjcM=#U&yv!xI;GL3B1}u6*#D87p!iFY|kB?1jvtKLGl)d!u1yQM;k%wD5MV*Ry@4ZlZ!(Xqs~AM zSzWVeqj)-HBPTwVqxc)ce1h*6B#K$11&zU34pu^HKOlGT!T%e!?NRrr7IP7c3TJ*J zXxDkaq0T5B^(cF~aKIUnh7U?3`h@03hX4ajyFqcM&BdT)gbpn(CXE)31KM+N7_Dyz zeHV@c?0Kes;riL3#kku}Ke*!EG_x3Y&(F}dB?okSg2HD9C?%fOvog!-qc-BO>It0r z^)|r^mLlOdYVtN`^mVO4&RjRt6FA_DD|olKxSLLm@a`IN?~xEP;0*3}=vQkYau(%t z6%C=bkN3Oz;JDt1IZ$q`pO$;uD~7D8dd|~{bGb5uJ}=gy(e{PUQFR?^o-sz#WYPsPWO4kp{U__u>8NnQ8_2mrq+w|0UC+XKr~3y2t1TG`0s5`2^_s zno)qZGd6%?E)7t`XUi85#_fiJ3!bz!(MQk@THdM~|jby+44pHBZVj#yy{=l{RZqeexFTN5{ z_hj(TB6wOuUznkNVr%W}htYZ-@D9%6fj>s)fL;{5ZhZ~#0$;piQA5%0T$P_5u1_s5 z0R32o9yox)Blw)9AB!s7y%k>rI4CaAmaVB@V*{N4#r!R< zs3Gz6QvDbn?%t_C-kBQHLWBrpjA*|9ko|nYdf{{BzAt)BJ;G@KIYYxR229GJSzFu0-e` z{zU!SLZ6tSW5Wmo?wb_a`UqCGcCV$FZnxzNY~q-nDZ8QHDf+<`dYIj~Q^f|}fOqUU zpqJ^V#rcrpzzZK5L>DlD^Wp4;Ln_~NLvhjxIIKIwg%&;nRbcimp!lFxsG-PzK-+kl z9^6GM%`N_8WwFnF{#xy`n1NR4#lzRFA8_Y>XxlG9v3l&eX&eB>=o(PeZf)M8WVUg- zx8AjpeV+aX#p?%G@W9Zj#%3PNW9$FqKQ9qjs)QXwbd11k%Fj_w0U#|Ipi zetmX;se>98Uc;$A$fb`AY9jo?2j0b}Ufn>e2IxKNcf$kjqKq8KgVt|RZ9M{7TRZ}$ z(?Uq|ofX|>ZpoI0_5z-4*H%l5>oGlwD{{cjE%dy=6(2lpqXK;l1lof44dCrIPuEjE z&=2!SZCO7@A%MPkC=*)aQ)>bU@BnRX!5!*Ef6{8L4+i+Swoq8Mu(m+vrkT%F{TyhG zSy|8^rcIL)idLZ255J*b-8se0G;dC>Yo z3Megdfj1Q;a`|yeiP*FpgnoejRX>2*$)XNLp5r%qpQaz~kRS~`)E3G;RN(py;8eKK z0XqtyLtCl=JxE0X`q3&6S5fA$e||k*$cLU2`qc*)(ssRHIg=@aOn$H1z^;EB)K z`e~!UMgacI`hk9D&s?8Xlnb8RKDat`%gps_0~rooGed#fM##e9!p@bHA1vzA>U>c> z4}DOZn-1Wxe*XR6L(PDL1iq+I+t~27v+flF3YvrT)8hJX^y&=?U#+5FxL#R7aZ(GQ z=M16H3^NLo+qPu%V&NCqGXK8Fl4A>U2{W&_Vuw$gv(Hxups3Fc3cFXIfjk7?`S-R) z^XHPr7v5e|zi_Qv>RlBA=taZ4z}-d}I-R)i*<@Mv7p_+-OVd>W!Nvj!xf(viaCYF*+;MrpE{Ni zwSZ#X-|`PO+@xP^9@eu?Z&C`(aXhBVLw}cVWrenU?pa7a@G$gIro%ZX^Eja2&(H() zcPn!`X0O0~w0WSy4{X>mI&(2WbwmxB8lmyRv%)}WkpnYfJ zfQwl*a2cHgPRs;{spi}ut=#k1>dW(UzANZ}QpCySeW)`9EmBZY`-Td3(sc?}y z3o<6Zujd<}FB0N+Nt>gs`#F261<1cIR=K%uhK`QA=;o@R{Y=b(jmo!<^}4_3?awADQ zpabo3`6=2)r){4u812aw=i`IiQ>&-14RZU?dfR`j(&9IE2-q)J0ti0(uxR_yuW{VA zN3iH{Vf4fF3)d@$n&EEY+A(n0T0gkf@w9ODEIf(5&hXHEHC}@bS1$%SoU1yZDBsa%h{<~&;UgVvv`5dD3gHJySFfx4`{n< z6}Y}90DE~`zQ6%)J2wGb)Wv|-dwP9TkMUQ_0c-BStyki|tq_Cb8S>S1n(Piz@!2eM zGrb(@y0?8YJn>y>n8j%IfzFVkzzl^~zosAL;r%ZPY@erl5`W+g@3c{XJKwu}yEaVb zNkE^hM9AyAE9lA@`nL*-cOZfL5RFsg0I&atewJ*n!w>i7vbT)hSlI*gt@^>$VjKR& zojPSu8enznNwgC1&Ic=>9hQCe;R^bp3_Y|HiZi5Iz8~qty(vT28{$G6zqioM4z z^yCbE!w?D!->Q6T3jxI}OiFY3+6&)wPd97uIu-JBX)zT{OPx zYyaeaT{IjZA0O=hM1E<;4@%u@)NO5F{B(_fJjFg(_!9487#(Qeou8sj!Bfy$?&9eO z@>BHVt;_?ez(HTl&YlDTpuVrOZ9@SCPhfz)jT+xW+u{OeX4_lT#HJ5)!W(6+ogdC+ zWs(ZSRI! zc+J1ydy7f~bd3u7^9)_Rg8m{ypFV(s8lP|Kmw5trbr~C1h+*N`dj@yPWI(s0Y6r>l zTQhRpPiD#WYR9KD6sMB47NJyvFM9ti6e9ybH?E-9W#~>76mx~ZJ)(lXe+Kx%KEw~a zgAq*|_wygoOx2eK-xc&ToqSPp@j)Gpy30%Efhw%6F~{=Le@A6DDCN+>_e)P_zZ!i1 ztY65f>EsI=w^6>Z@t0~t8^32Mq>Xg=xP1ny$?mLY1by+DnhqGie6&i(^`^nqp}szG z^FTL;dVO|%1>G@ox~PIaB}2itEg_31U-Ic{MY&G0@ImP^=MIWHv z@A%{pir83_Vgt|x8Qn21r1{%Zbw6oCeS)WTy@EMfKrbC?hP&M}wC+7&9}b=_34Gzn zf9dq^l2+SrKNNW|H|NEf!+h(mZ2;{%f}Qzt3klHvpZU6Y%>gXg_QS95(`dl;yTho! z9r7R7b`A)4UQ=CM;o?1cJUxF1MFe%%RDI(L9Jr=hkjR|nU~LH^)W;JGGRo+lyZgg1 zkHL48QO4577jgDM{h-H&`J=Wki6`*V%+DV|(kJwDU+ds=V<@?;AJB7$A6h7Ci%04g zu66HuJB0vx+0c`?+c!h8I%<3YotvR&R#5Ei#nV1LuWd5!6%~gUWoX;;0zcn{^Z)Ff z3Dl-Vb>~9_nl;cMLAEr|Yz;K)92eAd(+#2l1vEiX%*Z63EOO2qH3_5WFe>gKnrOx) z88m7n$$+dfK*Q&WW=vvOg=Q5b-LecIa6op+)bFkPfA9D2Ec8$0zlP=tTOG$;iU}2~{16^J-03F3b z1udQ#<%68t?-%GXgVdl!oVCwhMb2m?v_<}A97+lOK@)w+2RUy3SV2vbZHel z@R*h{iZxi@p#P2f4RXhK^60M?S)nh9)DtB?-!1fcPY3%Z`J7Jn*y)&GS+-rzTv#|y zX;#ci%a^YX3M-Q?vOYK3$J%A@CTH#W_}X9_YwuoZTQ6n9XzS+Z7w+fyyIa(;>*lMM z?hDo0N*kI^*5Or|-`OE&qx2=q&)~?}i9z8kli$hoQKQoBeN@g2CbL@D?xR-3e(-Ip z<2|D0y^oq2UFvwtk_vhnI)Bx|KtXtzM2cd_mhVH$l&_w-t@3Ga%2diXne^UQazom9>D9mB=i`O9 zpqrE@<(OiC!udq+i?}Q1=SOO5ngZq8r2NO*`_`%38QXp9)ahbZBER0ASFg@7s*Xe* z&kiYBmn~}WLA!_6bv|eZ(?m8j^@-Y1KT%xT0@k%fbWVd&g-wkml)8lRy`>2&B6#Jgy*vI)YJ%lO@uOv)iG+2UiroQ*7EQTD-U%{ z1btI{qfqurWnS?cCYJU)T~YqdQ1oL$-!Pz9yh;chl%4Y9`$T&91J+(06kdX2;mx>s zK!RdjN>qE9SjS8Bv4~5`iE2!D>$1Gc;Yh}nc7XqLqKrM{q1G08hyNzObxT{1$>erlv)IR*w8HlO$x;M)$$+j_m!v8<<}?5m&>Cc4>b2d5$B_Uo(zE@&$PelgF}>3 zptJN(}gyC^`&i_9Da;g*rNmF5KjA zQcDW-7ogexpzybWo-m9{9Ld`Yxn3yej8af)Ya*S8c!Rj*t+*8db^Qp0I9rwfcrO`p zm0zFcA&mj z>%u^fwYcZ4K-{B@!bL&Bb9Q9O9&Ksw($q6&Hy37r{+6Ma23q%k!7s{ob)a)B?rnkY zXQ=4D#Lx#K?hc0D8|cgdN=iI_f?$afIAQb}H5R}A%zo7#k@$q2QaD5TChvtI3d`S* z3*B!_FdQ+LjC)6*a}8zwNnAYPGA=$&ptO-h zX(^z1*d@vw9`uh4{hxvUiJ_+lIvw&$-O;k)Xj_j>lsMC6jzqZzWh(zsZ_{B;M}B`; z{;RdYFG`WE*V}T{7l`$IF~x&zqJD21yoK%&U%H>>-htANQu_A{^g2WF+9T~-4BaKyw`8%Qpn-E6+OxN`5mBJ-?OPQ{yi(v_;`7Qt$`msr&`~N}%sFls=NUS;xf1 zLkINcVGV(jGtiV0Q0(-esWE|`SgId1@1jE9-~CGn zq3QF?uR{XORtP#L(C-hW4^%5v-)W-vml(Bnh>PWbckWZ-*9qm}?X6|^Kz)`pJ5V&N zq^q3)o?rR(dB4u7;-uznetw`nGN^2qS!xxAJvW@RuHZ+rn*nyLEjO(VREu z(&O9EH%un`C{kszTcRr;re>!{&dx0I#oPN->B_FftN;BMlgakjvQME2UDvse3^c7i z#A&yHw?*7<4pXDI*f;Xa3TvB>n-z3DXKUKFO-Xl*oVk{{cIKCM)@|`sLf3U$JhDLF zi+>V-zpOmSf@!^|ovToZ9UHRg^`VE=5!)tL2E39Tq` z7{M5~(mDf5PXKzA#bqV|$}Zrf@ajNw?F$On-+AN9ka0DdvO6wOEX>5kb0Se}Dxjp8 zDES42tBF1r=q831a1hr^{6st^S-oo;LUQt4m?qPwZ)snb<^{n!$ubx#tAU7Wx z$PIt-ASD@AWmRDlt-<|y5$_r0%W6S{3SZF@KzA)qr45R15879{UYIL$)bVs$NhH4q z7m-CKeP6bAYx}CM)`k5HQ{BzhUM)VZw2y27I`6c-hCE6hzB ziAP-eiR9Uyf%-h7ujEx;^_9oUhb|A(Qy!Ro(B|EmvUaYYl22JvrbB+w;#luREBk}; z)IJsTD}lb#P|etK4UD*}V&%N*mo-0YSI($ot?9cz2wE$lZh=~nekbQ~(36Gwd>i$& z{_krvvJtwsBK`_cnlAa3<FTP%gEbxf@+POjGv$G;`A|VR*YG$6RfILsUsq_%S}@d>Z^%s!>W{W_RI2% zOwK4}!fuY`u+|PJRz*;3=(Mz@<;neN_<|9tU1YvL?F;22LZ6Lq>q#Y0<>6!vLZ69H z?!L`*m%YFCGZv~lXxTwUz!E+kP=)gB$dy9tx9H%6{%NF3IrD^+UQT#3x%&K+)yXN# z)aOSm^ux=9`nMm5P}SJP^;vHmR(2PK&?h^z8#7~kAl-cY-~#LDvh zc6_7I+sp6F#cwSWdbfqnUncZn3q@-tSNoJV>DCe`SKAk4eCSyDo#`@vAe4Q^eEYfb zRQUm=E_gp!LTl;a%iD_{MO?~T2F|z-MO@|=iE18FWdqLe;ORpiDqYphuNQ<|^%end zre}*F=btJM^?ieR0qk2U9`t5I_X_lOLw5`GCx-4E=u$&>4D?<@b#vzfhQ2uBK5Xcg zfj(yFW`RCw=thA)Yv}rca>PfKaQJ|zQ{wdveYOOFa!YZ>eKOF^41FxnEeELD3B7%9 zC)0oA_^+i_krVpUDhWkkhPP!hRwT+eLR|XkM3Dl}B8=lue0;3e#ekb@vM!KYon&?^=t3@mxdIO;giZQN_>%_M+M!32^#du#JxI3sH6+3J!S`4+-oB4 z#YXqY5}#-XTHI?RZk7$YD%)j7K|H(E(ANgt^xc5M@)qjx`SnsLXXhH-HwN9kEx$jG z{CeE>`Y^K#K0dE}Q#r}6W6FQLj~=GRuk*{p`&dK29q5}3JvUJ71WEUTK#wu>yg=E3 zmT^V*C_~#jHQIEQ-*c>NZ)%q9bp@qAJ*aeznTKl%cR-Ia^gDs>W#}z|`k81q1iG8W z{c)iC7`iyn!wtP6&|M6@E6`bnE)8@?L+=jsRfhf`&^-;+$!;$*^oJ35YeRn)=yrzQ z7w8s-%17S4;f224;_CFZQT*QJW#7IjZ4{6Eb{??nQ1dx5Ao+|8plR0u#a;xuLwQnm zej4a*hTb0NK8D^H=z)e_6Da+A(*3tUUuoz+1-ih{D+8scNeXxbkY9R_MCmO+-)87H z1O0tN|0>XT8Ty4l-(%=!0$qOQL28}9mFp{;zZXV-)-6mc*TPgfx4{_raOdxU4=7)Q zc5Gwpid*o+U*?1Kt*#Z%2^8;u?75yTX#?C`dYVL~E7Rj9x_eM??@?*no|;g4=cFJn zxq^UPq)%(q2>l1(h!6diAkE*U{O5df7TBt9*4%Rz9pgnZzow zU6FiR`m@6p0E+elir!QI0zEj;Y(wMG8-{Ud7cFSJWYdrx=0z#ht8WDYx&3@eo3~rPWNW*_ zayC2i>tpu;fqMUNNT8#P=+YmqwbbaN$XWJL{KB({_mvh`Bl?)3heq7*87gbt#fG+f zqu&V%X=5U7S=GEZYM%@%?zH*qwoK-)(0BeyH*#G=demh2>$;p$a|+CW$pfBTDU-6x zrTvUJM@C#f1#VuTi<%UilNuSuEbb9O;T%JMJJ3rEmG6D$Z>_h^-{~X2=nh*IX`W76 z+gqa*j&dq?*UxGXx^?iZdnMGiSwz0;%0(uAnTH5ttn@P<{bgxbGUOz2b-u%`hVB{C z=hhkKg?!_5_YKr%bt|IF`5aPOkfYM&+WML6lhWFJwkS(Ot`|}!X%(&`$`*9tkUR9h zXO~~}zb6>_je`~vgxZ;Cr zOzm0o??!5WV-!a1tI3(_t*vv7GG~zV`8xWJ9jQ6j)#kl*YtFDP`#&JRFAbixCCcYyoxiomIwpAL?Lsr* z?q5>5l-yzT(EK>ZEKpe*x_?PXmi3e8hn#i)lDY=Cru<9Ljb@bxiKV}+e}U@!;FlZ9 zNKV{W8Ok^eicKgfpc8?jIVFnDxjY4-o(IktCN3Jo@)Qm-^y-Mq`NSDl6ucB@cF;}l z5K4@92q=0_Qed40lqXTV=s3l;@qV<>Pn6UcyDl=c z`|MQ?^**)sr56+lK}y^gu=lBzD{F(#-gBk>4tzUGEmhg2zG&T`TLBJQKj_Z_-NDeG z2D+P}w+D(zu4yV(yUK5znq`qH8ZFM_H?zA2si*a#}@1Ye_z! zyU?=GS`u9qUaO>{mA2_y3hZZd3$L(fJv-zXD05QK74uAn0-m@ln=V|J$Me+JhgMY^ zN;@L?)k^WAA%8*D+vl1DsK!lSRhAvm$5^!hUu%|6-e^^XA-Nz9~|9fRn2@>MSj=q zIZk`Lm~K9XJ|AjpjT=eZ{E%c8gSL5p>bJgo)e2u;E>f|(&1xcvdiQmklvo-OUwp0l>9sdX?NMu-zD*tds3`Q6_n>o2#e&{w=stno zWaykgZ!vVwKyNd2_dtJa=HR&}R(YAkbeJ`l3Mp!_f5t zU9U_bv*u~a)clJK{Y9V~82U`0GYowy(2Wg!BG63@{du698~SLVTNwICpfd-kTK<_O zuf64$s+@Gcy>0Z+k6UlfF2lm;UwP6{`e4w<4BaeHr?5$&^xxT=mr5Iq5*~MDr-oGU zF#3$Qlr0_PNuj$&s750~Gp8?(oHCxJHn@7mGwPA&fpK}roUOh*P}iH4|9Jb_fp#w3 zS#nr&Mx0&C!}|t9H5$9GkLFQ6Pu)Ez_z5%eEV`vh*D3576h@g9D>ctq+lxcz&Nv_1 zCx6U~Jp7a8m;KCe$L%4n4s?;lJv>mKna>Z@J(lE$_Z_1k)a@Zh1cfn+tJE$w^r(p2 zrr@>{SyXN*-=sW9BmJ_`{llR9#UYBUpHzOqo%0PnI^t%DiTm0>+xLK^t%ta>09{~o zb=pLm?g3}zyy47%+a+Q;H9j<|XD4P`qn%GOS8 zFQag0P^hbYe9iNYppd5mgB~B~Y@={TP{hnhF%-!97Ask^hiT>$I1SNULSG&&Jf*v@;ZzAe&+5YUmvi_b@|sqe}b!7SEaPL)pnF=$;kNuWohuO^f^e$iw!A-V^A~hW>k? zzi#OLfwuGDcfI^H;=b4@JP_zh4b`nK?VN38adp?re=_u{p!*U-bpwo3Ku<$b%O~+a zQe7Ir0NvQoCj#BvP)?bE!q$dj$pFO;kt4%Bf$n4|XV4LMwxK@`l+ijV+!iPn^F$X1 ziq4TJ`UrFnHuS1M^E3}i%xNCPJ;dT-qXW$|Nr=mtB%li|?iGPDs%9Q850r5^QO0a2 z&}Sz~e-4U&aiae_Q2L)l>6?hl`Co~CJ<#7X^sfVbr=ed7^p6ew%Ro;u^z(tf+t4!u zeXpTs1o}QhKOX1@4CPKsO3|gS@}Wy#<-_Wcz6)aP?$)oWt#s?x^ss)>TQUwk)a7@; zhi<*C@-SVjx02r_A;;?@%mW>OKh`Tc0dKbj$qv)DjJSt{EIH_kNp}xumxr_15|t(A zsS=XtCXolXCdp$TO)ul31wz+zCcl(XtTT#xrO`bs=%%fLRAk?ARC9l~hHMXk+V7nKj|a=W0P+ADg3$A_&2bY-txK$C}gH*Iv)r$#Dm8$UB^La!N? zm|q75daI#(270xj2Ln01ly_o(2RukMIKt`fjkt(LT<&r_59y7Wob zv4UkQoH(whraZW|g8w2p>@r#T&}Fjnq040DgZF*vxq3g@k`m3cUWomq=6c_<{&&-+;t}GqKb)&r^XP(*~ zf%^S8uL#t&m6fMgw>jA!o!T0-bCNYWq|a?D^8#&aOzjeT>y}o$$m)%iJ5qCvU#Ypy zCEpHK=TftgpF&$RPk#bZkq+*f`8y&HUo*OG9b9s@vS(_Vlex!|WM?unHJ4P)7F|-+ zF4}W4w?AxDxB~CI<~1jCZCgAWGft}B96dC+{ymGUnjb}Wq|>^TX#Unl|ISj={Ow{x zWy5nRk?gp(y=LZb?b>wft>*qN4-@Mp-an`|+o|1bJX1gE6x6T#oIx|S$@DPZF1jaQ z?KM~RoUC2*UCvq~MoMc{~_FlH@FBc%6HZJUB9`0B%(g6=Klo#STr z7_Gd}=+r$%>p0&%Myp3c_ZY2w=pLh$4{OR}^hKr5-ZA=Z<^#3Y0%caf44yUeMA6eh z(UB7U{v_g}kwXFfIw@f115F=J^1uuN6iqMVGA9LPmYXOuU{JJ{MDYTB{ zNK)7|{(icICc1f`4;#uXl+^d9u@wcnwSel*an4Sg`s z?F_vq(7q$0a*{qfIZ1yGf3a*Oip>=ii&>(5M?{s$E`5~`eLqg+!x_<9-e;}l)wR&RclM?9Y$exP;hTIjj=9$qU+vGdji=Qx!+YjW(?>dv=JFBx_JF{8-wp@FP81r0Zu`4|Lcrreg9jP<|9HL#*91deMwqz9HmcHT;F$lVx)GK zrKY;*5>@NO`5?QIuRF~PPWsN>R|Psdsk(e$w)KO5|0cexI~%s(z-K zu5N-+X#G~@)D6Sz9?Ty6*@H=yLEO9))(5|Z+|`Xt0}x7PjD>O<-s@sj5EhcvIcKE!)8C2uskx)YLLeZ{%zxrY{Bz>le~IQO;t(KtyTyn9IEE6U$hCkFjN zpnbn_poH+#I~}PdRKXP zw|fHjDf~tGlDIz4o*NX}YoBgu(n(=HC!aqdh1-S_McR8r-1aG5?X`_tje_i2R~jnI zjZ=_?#r?|VU2%WOOLB7CLXRBgJ$?L=<EE($|M^X(cB`YUdfM zoLy+BtOb`Csxw|LHB@=`$hUiTn+iIsNpmdJ~YuGZt7u5L%nGXtS;T;w-*OMvS1q;?&*Z&J)t=AiKApxfq7yEgYW>ANYU z?`$iZ+S@-E`a6+YTT@t&62Es>h%K{r2oo9B)-K5pk> z7o+==pnI0_;l!ZuH-`RhplwdhGP-vK-As+(qsxDcEq?3b;=(vkzvJ;ofxfa#$+P$T zzk#-IpWN5t>Xyhu4E;e+@Ov9?4zztQ<|~ZCKSx|YYeDx{w#)W%qwp_5VGl!fT0^^R zFSEG+8WeUnRQF7_%ho=Hr%k~*srx}^TWY$gvR#Vy9gppsHQRYO*iySXO1z7qcLwTr zXzI?-gDmbf5!Y|a{7Ingvb9gLYExKXsf`6)=ZQbUQ-rC|m zIALloC6ZsiVN`dNZew(Hd#X!`xIWY3J`{Oq-=69%K=Qk#QFu5gw9D46w>Aam7ls)x*8M1stHvuCk{s85*D)7>eBx3Y!=zx~!@s1+1mSooVPpfo@~y{ef<8=-q*G zhF8*E8t5*D-Wh0~-9;(Ur^y4lOj1D40p&!iL>Y%c(OMEkqX9)XNR*Kr^aMk{5$K7A zo)zey8v5x#-*4#00{x(&9|^SA+w`ip^Gk&xB|ew_SrcWJNc}!-H9w=&JgCn%HJ84! zgr-bt2KaC>*gwoS}i?7ktXZU5QZjqYv{*R5*nmpHTl z-y?WP#C@u~vnSXn;=1<=BO?^Nf7mhNdW)6Me7lFqSw8e+tH?Pzs9Lwvm8~Kz7{pZ% z<9(DYPoA1A0+aE4YS)l+ePU0zwk`8It2bEzCu7TO_gr3^Iyb=UO{nKg-b`bbvqKAy z;WOG$N{OtC-!)Wm(?Z0T;`$X_rCWZF+Hkpx^*W3Ce|L0bDe=N-x?IWY|`+&Z0Zqx2B_62*Az70Y~S{}CN=Z* zqBdPp_X|F_zK++z@_pY;-$7%lhMK36uf`v{sdKSC)R0uy|sEzwW0PH;PWi4 zOSgM)?;q5Aj#V6;-`M9*#yWK~i`+?MUCSQr}2T~=f`+-zGbU%>FhZXY!!OH`?JsQr&<*9#x zg76e|GUjifn+N)&p_>Kz*bwE*r^+v8jcsq(w4@VPYr>Zp1+DpXZ{y0z?rmK8(7lZ- zAG)`3)eX9q*|khY8tKa2+qlYu&m^QlcW>j$o%Za@ePp}0aqVH{NxfoPCT;WaqS?(T zZ~m^DC4UugfTCL_+P#e{pIA|WXWiSl@?jg3lKX=@+Z)=w#H;LlB)HStTa}&e-Cy~@ zTs?bQX6*DZGY###GHM(8ba3bW#+~-AjB`sI+FIL>$vt1RTHW@ibYwlXP z@>Rs{v0v+;^Q`ir%UR{a{N6SPeUrTKzg+s190U4pnkpsYj{Bbp?L4bo8pT_FGCY%S zsCx@-5To8?M$=LDw`gP-vlgIr1|LnIeXfqYYhTWuiJZ0l<@!#RDye-ZOXY+2XWFxp z>u+e6LPzQ4CieR4($}RAiP9L*rLT@6eHUMC7wugG>7R=1yrVq4C$no{6um^fT<`m; zOuD6^?;)*H*QKxWLAx?0vwNbgulK&M_kHx|vPZS|?)3fhRZ1qai!b*mz~3Xw!`o-K z3j=*2kGwud*KX0aoV9m&wR^ete!Vt@mAyx7PSo2~W~$2;@-F71fn3ykZt%Y)^t9r3LFk6%$@?A% z0mUbSTIN=Uyfa33VJP$rq710gq~)U zb<2-JJzd>Kqfk$G{B1N4g$vahPOdr^M(4sO3j0zPbVHD8yWSO{+E$$?wBA>w&_9`! zEcjgg2MTGG0hP`hem1$zJoggog!Mf43<^4lA@_BI>P!o-3++4h6U!Gzy|wq- zPNu}|+VuUaIv?FjtURQ?M=6dg58P>&xLuojnUrX^te05ln77Xx=Ty~{GfrHE(3I!nF1?;`1v!13ijJgfXvWS#Bo=l<&C-~-Cw znEm8+B}pj!hM_uF**$S}a`65Z_xcGbw0F+!XcU$Pg?1_YjCP%{-ad7@U5fU};@evu z?v6aP&%^dJ+I4!opE|8`tJ`JsGum&8)LvqF(7Dy^viTY9Iz7JaN!~7-pV6+<DECZ) zY7{xkQhP-SA#`PG70{blYirj~*H&t4natSTwUsKrU0bQL)3ueV8?{IDuB}w=tjpR; zQD1)Luo(4xo~7AsyHCxL7YcpOTjwKv&RhA==e(5<(trD$ccPxwXKI?c_c?FnS)cP( zU2aXzr6)5-Uy-?9+Mri-wpc0re*pjh0RR7Z0c4UrO9Md=h9@zJiAJL)ei6`A+E@vK zq6n9}jV#`m$3{@l^P|;5>{JlJMzOH8urzjxioZdy@K@N{h+t*m%--I4i3f9g>^!_P z^Ui&y0iO&;9z$@;w=`Q@VBY=K*VF*zASK@bNj!5H1l0vM50f|LL75v;1f89VFxWEJ z8L0%>xJoxNhOm6$G3FbZ&@PV-UWcVfNxlKDMgjU-F@KS*G_rf?ehFn`DD_2(wqvlu z+s9-Q&JuW|h*_Mkh~K>!u@QZ4xu!@yJthEQxImE+Md&NK>DY{O-_)6D`nqFP<@m{&SLoc1)jQP`}Ms;Lh#!_)?p!P3F_+kt;RR(S-D7ZuNMl zM?w_@nmpllfD|Xj^4)NbMw8cNrQ=Szezop#W`qa1bN8^nUV=4ZFo>s`G1jRIX(NF} z*iaxXIt01hD%cls;SeNdy&B_869O(G}P6{;bJB8&$yw hSAtx#R7Zr+dZ{5q!X0G)C2O@P*##3y{1*TK|Nr9cGJ*gA literal 40688 zcmX_n1yozj^EQP71&Tu{ZpE#*2e;tv(gMYbTLT1WaSDYL*Pz86N^yz?hhW8>;2PXM z-rxV6@8o1>bIxY(-kH6#GtV;@9|Z-a0_O#a%kxL-xo=?W;pyP&LMO`oo=(==;j;}L zAHN{odm$cPVIIEs??vf!Z9RM(tZnJEK3F@^@zLo5=?rZ@(ka+Dcs>6uvG(Qj94IJn zv;HSga?t)yo@D=@{PNEmSrx#{h9h+t!%x_%lW(xh+ zuba@GZndbO*HcL^`>O)(gJa#z4xw=rX0E64qAR@@+#J+3uYW8Ny}eCITt)#UuZ~6R zxE2O1(WK)dmpgVeLByW%^lKI%yj05#vmey=_`wVH!frzNC25snA|W6kIG{*x6X^wP zV-W{Vn??QxctHL|!-J!*5`+m6t5t-lMfj@+I> zI9lRgsD&#(b20q|--;z(lI1VMUnXZ-p1-eFW`E{C26jtf2s-*d5i!!f%s5q2H(iV< z&y1lFtY)5d<>}Zjd?D!RAG8~yj#6EpHTQ{JCU$B0N!)9?KK-$I-k2nu`wLc!)W*Gj zoJj{UfZ^@6rUChg#%<#Q-bVj0U~N3MUo#Utd|(|%CFL@6TK7ZrzNb4*>chrqR-7bq z$B@eB8f&A!b$y4}j@B1h)*qMZy%Xj6L+ZnQkLU&qmGAXhL@ce;-JgvgfPjET>cr{y z^w3AWR}nfvQ!C#|EVhJLKgF-1Yo2f6dMOVMN5nnlNa26u!v{(T+$Q4l4`ZNP_t~lH z*ysHDV#9b#cmw-8nvS9GEU)PP;_vsC=$C)cUdWG9BUr^p*l!!}elJkh-vzcD?k6F5 zJ@Lfv?ZfbiDRzFM6*o9QFr3ATuNGZBK9Wr8l!rDGynuCOU!Xwx8j(=;KnCf|8LXxEHSjai0e}@f-2~syn8!Xi*j8iB=W^u z3OWH!d-?3#S-l7pl*3hrX5(#r2h{M8(AWKcedwCeEN8-ARsOiXQwwGlX~H2_{9{kh z=FC?BhgUSm=e+Ov9GB6uv*J?)K<@YyKjo%aQhxBOun){kl}KU)8Y%Y~ z`uPm<%deRSVuxJGpT$Eu`rU!fW;^u?<~owCn4E6ZU7 z);0#T1#EZ)wDy~t>U2v#hsN(Qe%`ue>_mmMNaR*epzaz>e`b!)iz^a|znxOPi!)=y zWi2XGq<9Z4fz*HLeQQi22+#Oct|;bEQvq4#(`EFQUm%%PCcAi55GV-mrT#iqq)5@^ zuy4+|%U_W8E4H-a`D*I^FLgRbFF#R#70Ag{niTS&!%e+%m=}U#73@|)XvA#4)-Qgf zR2~|82}feWHJN`oPWi-n=ztOa*HRCHa83QXG;PxZh^G7GWg#0*!4TPkbn{2%_+K50 zepiX;@U-vFbXgbD_yrz)wVt*0@;jLX3c9ih(q zQJU{Yejrrswd~Xuvc(}Q$#i0%aY8!piAeGbD?&9M%WhL%+<;gk9d6I_+DWb|7EJr4 zFgyCONyaxJd*6OI585%GQaSlzl!f0%saxR7sQ&1@UmU)dlT35fdrzz8t=301q;bC+ z>lBINm|YxHI_J}J7|pf?Kr>@{J4~E-fcJu9k(C%F;SFVnr~{sB*_2$e1;gJ!xB~Ck zQC{b|r#yGerALgXecTpzOUNtLE}wswq8lw6u6$(4ILY^4--<{ylawJsUIuM2%Zr|I zkONCk(a2!AxvDIF}}awE?A~YB(pCif5?3Bp;~dOYwK&IEzg`An#FBV6zPk` zvL#v$VG$=qnaFV@pH1Mj?qBj*cFL#rU8vLjiLf649nDwSX5!BWMlAgX|CL!dY`Jm) zmuuyHkY&Q+SUpxjBmTFr>stL&g^O*=`KcA$=RGlDA%0LZ_WSOr6+yFsX5QDh;INQ& zg_1={imvWxWY--#9Gaf><1!b`B^Z}1O&?GE>a#TU5j4KKhbC>%v5t;Vto zGCXf218D`XC^u6!-dOss@IVK#n@i&cDYLeS_VDcV&6X_c*mJ0mBD3Sf&t8QF^-txX zfDPk6eA!RblJ5H3f|RSbucM$=Jz`gNvw?Rs^$Xb_6u%n&YL|z)|8P;~8EoqFL#U_9 z<+CDlTJoIY&vQ;f>G?(-Sic5dn+ak}laQIF%o?i?Uoa=8ePZ!q7t~~lQEd2q7S^Wk zftgu4uHxzw9;th2xV?=Y?NzLvd)iiFcLTP?GM+TIYkv9#YihJ@z~uHrF_djA%W7lK z6|a>mCerD5V`~*40VG1QHKwF(EhFHU5;v5sL{Pd39-GvmlG$)VAT3 zrkhFQKNm2mBo>W4ZiCUhmG;o^Sjpl5tI|ok$7p~&R{HZ5nlD>?hJ!a9bH?Yx$QRRG zy8EGzqz=Zuv5F0_vXulfR=7B2q3*Bj!j7({(2EzRI{V`a_^W``T}Qhrz$*D1z3vod zz$~gly5VFNlW(Q4xYN4tm3LPfICH#Y>V2}?`fhm_)3}d=56gLO7E5z~BUGc1E`>d};y;`CDatg!IC4p`ZMUUp#oNwKO6;2QYD3GJ%8C4J z4DP;KU{&P#T$Y9!jQpnnd%^#&faJxdWqDQ|rg}e17K!F(GbepBD-ESF70H#F-oP$Z zu)umSuoU))7z+aU_-*;>j-6c%64fR@t9H!z5YvwdN!Czj$b_6dh-WJeef)Kxh;LDJ zz}e*47Nu1H+&Knkox}k&cJ#14N{G@~GsmGoOHImV7l@(k3RmKPrr3Vonv%9rR7PFw zxu$jV_(;)k7KdWqmKbyW;-8Mvwm60oCYn z3nEWR<2t1go!qxy)#-wEwWl#p$Q zt8eb#YLk{wzLYNe-AawHb!g9-FYnY->Y4826Y?uFp(nkx^3uoD+lx&pIYx9}T%t%n zd|HSy0^C|IG zU@P`3#BJN6fg6UB2;idFADhIyk(zKs=u zBkkLQFp34&gH|Pk(%a_i(WRK(i8l*GxQkDjC0cJjpz}zY#B~!)p39`~t6Qmk5MOEW z6fBlj>kz7QZ(F5e7Az9pSLybJ-@3V5Ety7?-W{T&wpTT;JR7`u1+T{)esooko!&*M zua4uqT=^hJ#X*i@Kk;^vj%-cQa0P1A;e2a!XR_xoPQlSpG(caK4VZZn0+(9~K7U2Q z<#w91`}x1(E+CWrw~@Qn58rmJW4E*>^(gRD0W(=nUB7RMwKP0ZJd`DkO4bN)~ zeS3W|u$QbN=LP>b4zkAnJ}*#X0;k+%8S_0nj~zH$%)n)*4Yd9RS+?PGU3tHuCjd&{ z?tmP5?UB6kwGTV&b}RUoU7u$v;W{h;PYlVwileQLMXr;#oL5bEeO`Q1WwldIto=21 zd7P6TV_C>pRm|afcZ9AE0nJnui2bmjlcOe12ndS=_z zk(zIp_zC^$0xjmEt2p?R&FfoV+eqI^{RvUjzZ&IPuH0+P%!Fc=Qe7i=O21w%OxXO; zxOtz{^gFHKN#PrtmG~}MBJzBJ(ecjelz?VQGadS8j$95FQVLx^ji!1rT`(7xku@jC zHZFr(Hp>ko->n9(s|ge^GThN{)n2^!O!AB0PJM+2AT1>$`6$fEw5;81%Y;@}rl|`eI_>lp*JV?d$`k zH$Q7X6`$p|@-kYlcEFj=kIQa&w9hrQlj7Kh&*5ib-1#IHy)F(`NwizBfi>c|e4Ruc zmb*NKx_U)KaM#OhcmsyytjBL|B>YVeNJ_N0(wc2!hP=K3-4Xv%Sr%2^H`#%>g;sCJ5WG#&ZI-e+(Sa_T6$NV*%`Hyq4Io%nVjxXH+yI&KOcGH4&Tfm2qfm~D-oG94 zq&JEuP}Odcl0q-TB7KtcTRpe{xg9j~`+ESbzZAkIKxk@-3)mtbn3EWcGID)iHpuQy zVQhY!L||>K3&InlB^>FDE+zcT_5J1GO!Ut&`DV%e4H1So-MA#ZIZ||52ozACoCL24 zib}Rn%eGATcrZXQ7QYDW;Oub(2I)(UsX}YE@&Nw#AV-m)G!ZC?ND*?{sst8ov+HNG zJ2n9K_cOXRJi9*+0Wjp>oCwt->C6MQ5E@g)n-@*?U+{#^T>n-^d0Db1#RiyFoY*k+ zdj7kKyf@)6h%iC&A*AAZng;Z^%Ty8mitDXF{88c9PSHX%!4y?jucW~(Hq1t--Dfvv zeqN&=I@=nIhFa#0dXe3V7L@Zi5LLN%JLlHX#Rzl&+u>Q9*dE1N@(!+vT_VLEMRaEq zq{4)3EB$f+SuA6-9{Oy5MLRu>uJ1|8S5_U>+>LjXfOW= zj;?axkR}}shb}F6@)))ow}-FpH*EpP@(;pXbEf4SEH6 ze;p~e8ekf!21&tG1_t3U6_S7cX6Bd*KPosks^%!Hy0FIq5O~edP&DwJyM!qm!T$w! z#wfzf^s@KKOYBD^Tj2FLDh|-aRB(VxT zav;}rOt|W=VMsv=~B8kPX>k;}8rA}1PYj*f9YcJ1Pt zS={)E>qrUzu=F~ZSS}fhhY@QLLlv%p*9H5P7Q1FLSyk@xY{Go`*aPiSqGzf!lZU1K z3T2v{UX@|`{8$HGMF?!g`%_NOgavoQ6oL7=Kwg)eMR@^SH8b%DkBj1pUExt*6{x~= z_QNU#R=(wwnTS`EeIkDnAhkP&ZBT%O7EQI8L9#3iuROe8`@>t^FGtV0s&Q|oVHdeQ z7yDeUl_R|StKJROhpjO;?q}~ zlx(=g3pk7i^&%Em(>q!v;kE!tV7=Ujz_Y=_jJ za2k^7Mpd1lBMGNBEqo>Pstlw0HYG021P8aY!n$#v?tDp#o>%Ym>a2PEinuAB&dTiE zK~_T81I-=4hfHRH$AUId1AnbKP^A5lMJ@RIJ6{GNs0?kd(cW(@9Au?8P)H;IQ@mxU zBZQs3#MN(+Ai|s~{}P)0HBL?<-2KqUA&mOKq$A>m@c{!* zKy7zF*Ol|^-t11s_55Bns_xw0-C)wMJ9isb{u|4A-^E~2_+pu05Uw%T)EGktU1rj& ze$c9Yt%X_e>u;A!UolQOsBmjlw-@IxUQZ6V$AO&MIwpE*Pk{GG7yO4&T^K0#X%A30l0_NRKOK@+mjyMc@O+yTlAJ;PV zB-QbFlh%6Vd~rj#Tc?2!=KfWrS`>O)L^%AKuAvq{6JUS4-o6o;DFZrNGVFL2! z+i~Us9q<>93tPXajl1cA9~EyoC*`27VNJ}CB3&+Clg~zv4Wg{wO~A#Po!GGwN>R2c zEN4AG?neDsIr)H3wOawu%W=0Yk$jI!5G?t;Lbqqmj@oo|Hn!)6De;(gA974g67j_6 zLDkiNgh))rdx+(gsWV&O@%7;EafZGAql6RMNND#8g!t}i- z+qdOzWV+B*+M?W|vVg3zpi2r}Gj%H#oAaU&-Y8oIuM9*2EdX|6ysz8}Fm z{Fag&CH2spaf-9?apIY##AhQMIf*=z{I0N10IOj+}F_oOjB6Rj=XN!%7cHRUrvj9 zddCUoQ3@G~uzg6bN`Ay^<2(fJX3~{GD){w>ZoXvUp4&jG1!FVr0Y5(NVu3<;0t5nO z)#0!WzO)Si594^3R}G^HSH)RzIu6`++oFDVF{qXK$|8JID6ug@*hVaFE}OdZM^ipa z6zfWMQFWvh<{aVa2K_OTXX{H-Lg1upBstq6ak;WX6M+bE#Y zylS-Nn!T-^+}U}po)1mhfC)$Ife3Aa?w&*=Vf;CvXxg9#RC^)9e#*yZr!Yp$(Uks6 zJ_JW@E={?Wg1*Hr-Y)rg2jutcA#wc@vl=g1#lea`jisc@M+im0=it8`yPl45|Nezt zo5}iIkk5TU$I~er!!vG{lYf*%-sqaJPbugB$OtgU7I?pK4EL#?iP#{xf|zyxj&_Tz zOk~V5Ol8Odk=zWi!RKZ>JB7~s9(q9a5S}>AAn8n{^SY`+2k%F6cN%p&ni~t%%)6cY zAu}BlT-xdU)}U%7&@unFb{^%~tKed<^WmV0=|Sfg43ux^=!Lvqe4fKqL{LhbD+MN;4!W2t|I91&>m z3LC@j`KUJ0e@+u27J3O7YBO|1$Isf{Gc+39iGQQPWzoDO2^ggVG`<8+VRzkBcocK> z29W&wee*c_`+I7|7s9nn;qjiwVVwsf#cHR?&VGYq@!UD@63e{_x<+Cs?yFif?Y20& zDh3#4)R^ZhM2pFJ%A><3B)4@Rn|n)lLmEm17o@zbmQI4Ve;r)ZKi?I%Hqa6DkgsMa z%=lKG_(%@)WvqEr*=?4d9`)Ld&9t1wc+M4+8b$^kO-k0}{Y2kxh6cE90v<3?zF^#Z z3P-_K2By4>tX%9K$qZ)nXtPMFy&ZY;EpIdbxM6F^0IH7qqaBlkj-lu$QkRXV^UqW@ zBn9KCz{@*_2t?T6XZVtxFnee#tx!((DDs|Zhw;ql8!`*behAmMc(*TFaE?ol*RYaj z!F8jiMfJN*@uaY~FX$yZL_Y+d5+oZBfc!uW$>iKS8V1d8-^J3W=-#RYN8XR8?M$q@ z;Y)H8m9q_`Xi_I>Kr;JJSgZGRt8A8rhojUbapxxu|{g_$VZ>Y z$KH$XEW(aa5s3g9xTY?ys%wE4-x{a7h|yOwo!!@N&+*cy86|DZY^d@vzMl2jpxX3$ zYpmNR;!)NB0ZlYJh`9!Oka9*m4~P4>Ph)}&`g*8`Qh z_V1XO!W z7P#aGGqzg3*!j+ysUm_b+o*#wE(ptHzhUAF$M_V-tYD7WB0^IA>CbFn6@-r?hYxxb za}hYNbYwT-53u|9$97a1b#WhD!5}jfGX|EU32Vh_Oq{6Rc&c(UvD)*~C{o=)_^% z*jDSUFwkdy_pn(H*Zy$Pz!Gvz`U68We0#3XD^|<7S4cEl(ysxb;#5*3~r70pu~^C8LUw+qtxi(T(-{w&=)8*@Im{WG9 z2EyL#-pOm+0(AVPU!(odC8YZ{#d8szo?Q&?@3_yzqMJ2~^Hq0ahp``UmyB7br17s9FIG{&QY4E+DM@}KFW*@64S+&AEx-dl=t1F z11w1jum}K-FNWx$RlCLxEwH}7K439&52ekx=hPtRIe*o}84H%DdE@3K=z8L4=<4Qq zSl2ZF=?)YqT8|n$kFE4#>hqJ)j&UK9h~p!g-o|2trkQgp+{vB?bvSSKc2UE7h*T&Hy=(-L zczW%~bM!6?n#m+?M?HZ#dMLqFA4)3r1IJqrh=8-M)_skGJ-t-!$bLWblo+VtAigK} zV}{tpZm#?$*+0Uc@Rc9?jodrG(uJUCeeU%(S6bQ`OvO{m)aiRJdJ+$%UWYog;#% z$iFW1vg_k>-cp(Ny2*^BGShNColN?>T%w33#!bVvA?{7c^MT@asTC(WP8S%q@!H1y zywBP*rlG0(rX72FY^RE$FVnG>+^X@-O*UNL#4ed2!!9ETfFOh_l#M~Vl+A3Ob8Cgl zLF*4jPKB%VQ*S!4HMeV4SnV_wN$Hq-sJ5HU)SXu@n7{ta+xVWU#`Dl~sYA<0r#+)xWR``|2lB+w;(6TtdK?y8N--Z|(~iBvAy zFx?MSP;iX*@WAJ6gdug2(=6W!o41RNarE+a0EnU2+b@56RY<0}U6Q)>#e*X*+0o~* zIz8ISzovWNHBOu&?)S>Yvb|kzX!vD=6nY`V9$HZJabnuxr$iAk9Bq=9TD#o0iI(7k zy8x0u8OTC^AWy!+X3lugVLI3k{lX)N!q4yakt`{ZvEl7hZJ>0(39_JPSNMB_rO_91{MDPzcE{;nZiW{#J_8@P?P4k|j zG0x=U-HIMjJ`gvaX0$3Ui%x)3_oX(cyVoxqPS4uZAj%}1j=21_%nX@TTkmZLY&KEN zX|Z_j%D-wGNemQP(_4I@Okg|a}Hmw+?-oYGlIg9GmBU!;Z#6Tcp2R7j}tQ&2# zm|w3ZaE1pE56HkYjtvO^RNPLvcM~Qxxpnh_Fsx{kN#1`b*Q-c?BXLpj2fheH2D<8k zyKTm?Qn=ZSuMuhiME4tjp$JpCqlssw$vn!_VV%5H4iypggC*`5pJFfCpn7;iI@$L;JDLN)ZQ(dX+0*v zBr(By=(t(=97QRi%3BLcBll5ylv=Dw5s5TJ#S~3q(nu$|ucd_4DEeH1z<&+}GdI#< zowLesq}nMvp4{lT#bfYbQOVXd0>TZfbLQrouUqVJX5XvzM!*UGwHb zWw2^rwq(k_;Ga=A-HKuNkOZkH#7%<^hW_$d(-flS^x2%g0M#*(+v^*!9=A}D2caR| zeran?+@(T^*@@rd0&ENcf#Nf9(2xcEgKfXaYdd=HLjcM%(Y{r>`o@Sm%K!)(BG5`V zv^Jip`uY;kyy0HFj~ub*V)JhA(3<^12Qr>9&OgcIExa%&FQEXH;1Vc}2`()9p zLi58$N)xG#vJPdu30EGB79BrOrNdXdTPxqIpLLZfut1laR)MK{hPo0VfcWZ;Awsc} z@CB`AvZbMxbGZv1@9_!%t#Ga(cHZKQuu0f0`R`qb;OG{Kbs(+MMoc4}BzaGjn*i*R zd=oJBP?O}jYj-GWgG+6v-RO7BELAr6H$tj#CV>CU(=4OZ(lh6`hvm9w?t0?mL~XeU z)4M|IsWK*c`+BCl=>Uc4SJ4jYVZ&_n#42)vTDhpK?mE#8HKcGN%m63$z?EE_h?1GZ zzY(V75?Bh~G@jA+YG3t|T)^GX6}1u+2a^iYfMIqpwtmwe9pY5sQp+((GrMA z8s;+^Fxxn>pmnFtuWZH_BP0D49X9I7*3cA`Z=|5vmtfos)tMpa=$ddF7XtAqUlG^L zSK<`gq0A={zmm2;#}YHjSqNPh);RnT2z#p?^7WlUXm)S<^F?&HuZqZy(w|; zxOIEpxa?{<+}aM{mCb((r^95^cb*lw$OpX1Q}8u-SK1i*7=BiC@$QOfS~uz(SAeli z#$eu4$!TI-iAaV^+m!H5%A{^0(%L$&8G;01@17?eAPk^ay4Do6SIlb6TCT82A&z|p61ws7nkZo!IonQUGRsHW`2Y7*j^c0`eE4&Uc ztln=V{JaW%Avj7&09E=#Gpb}goc6lp2Mc>na90V%UyE-{@(8FyK{a%{gkKokP9SoA z)uKDkIfTko-0Y)jlxkhC6ai7~nApk6eeDBQb*i7(VHYJ@lwx3sM?h7*hr9(8{q60e zkmeYB-z}%dbk)v#7iMoeNEhoXV znrGIF*t02EBJsOoAdy$L@)&ONkAV$Ys%R>TYc;KoLWt_X<)2diLKb=y&sz9HQ7c8= z^hy4Y93_sSB5mB8Z93JCA@bsbp*TDN<9G`{i=Gu4$HEOZeHB~lG$MvtnPj|The!%I zG2QL-7f0VChN^FQQ%b&g2ikHp%7UBI#?YB)5$y4#6XQ2F>7(vj-CkpdQ^|oDj!}R* zqkogN+vnG--HD1Bz3DlR|ENmop>l$ov&G?N*^V$o_~L}Hw4CTPk6oA!TbrZQrtL1S znXk-imzzq2$-)$YgzZV_KY}LkiR=3iHQLchzhS{8X-N*;P%HE}O;V=S>-UXZZ2wdm z>Lr;DP}c@N2VtEWfAO?z2C__z-3BPdMub<8ULiOlb~3B?VmMZfL6*XnqNAx_ET`xh zTnF^<9JWN{H%r7E!k&i+N6Hz`Q1I0cu}Q`NT>@5gJF>}5j0juh30Cn7bb>|&_0k(rWzdr}o`;OEWI7V@b(M>Wd2d72sBa#0uY$S&A4Gyi{yIQJ`*|+x3CcM=tb#JjGHqapz1P~) z=flBrZfKd_n0lo(WWNF9qk>cVZlHoy0&{e-JcU`-@W5ZNUV*!ZruT6LuBUdQqsBIN z>-P#24dCd2e|AEFu5g6Ao@i=LZj{7oS{$S!g?(Ixj@EKH;$95_gus7WowN+wF| znUzC&_}=*8oadcWP;W68_$t0{zeZFZJu!Wcy0lJ?z6^3Mdn`~`_uBTv_4c!L=FJVd z&(n#G-U=i8e?gv=p^ur2sx)$h&20N+1RAa)m#m%iR#z!Gp3{cd?bdQ=(NkcExqpSt24Fo+o4}v zzz2HPwP|PlNpd=V{=qvbxcqj?@4@S)_pO0)_Vpc6x;f4I9lNB?7eBRrR1vsiW}WxVrIDdhix0RN}NNw4)Dqa)#i%a-8AeHS&wvt z+o8klvQ}$&=UD5-oA=FE^H*kZ4r{|@>^mst7-7KOAT-(~UbfX?i4?Z{l$C>2Whp!+ zmzbHh?^JIFcjeQmi@mD+2XSx_S{hYY1duBZqQT-6+YCn3mjjOPTr!eJ0!*mt)w7?8 zE-@Lohg<%Jm>kvz##$?9;_I~0`%QbH0kMqM7k-+n)-Bv9@LL4OvwuqHb=F<<=PEpY z#>Wz=G1EDh7eGbNii`t6;Q9Q}H;9qHm4TY4**Ud?t@bbX4ONvX*|>+MINSv$(P;ZV zC`*klyc{(@N3p~gbBe-QUR^?IM#}t1^f@&(Ve6$<>fdxOpkK}{yBWq7VbC1Ej$$bcwo-Q2YL7h(hOhk8yyFV}G zgh2%vQK5By8)8_66VDA^pKs!g@^32gB2rlqcYE{KbS+v)*}!8$sjT9QOb#EIPrFjs z0=qUd2LIDfN%BplcQ_&q<<~vNn+|E%U!IvnUo`!FDHxgtaGcywLvSyl{CdP4a@e4k zoJr|0MPEp2t~p~s4;Fe!tX`RWbebn3NZYZpK76gJt@_y6`wJ;EC8IbJqP66 z$Y+nJ4RBEOSWuaWCUHLNVeXl3^!d!Ecai9-UD~* zSM|Y+F=y*s*lg!{BOgv`1Ejj<@aTag3@=<){Q20AawUaTF|*fpsvS~apV6jyI+2YA zZ^k!GTX&+-n)|0IrQ=QLe-nfEkP^9h8Tvf<9w|1IEpfYXoBl>m3-`ALRBH17JN;J^ zGp3`*-ce%RMxQY%EbN@jrk?5yb2wlj!8R;vpjo?*z7LW1lq*|8k@9@X=ByS*3!jz z_69z$WCwgnzpd_T`ulu8dMps6Cl=!Uw*X$9dbOqW4pHj>o*;3xk_A=z=07nr`BT^DOAIXZRU0161n z6d7ov!%faSYvDfN%Zofq9XtXq_fjo&^l4z^Z~p>K+ROzs+O|v~0-rbSLo17kBG zCBb%LE0jKOXRby_i?-{Yq6G^eqB3$>`A1XL+vhLfC!w86z8ABcoFzJbd^aqCmWsZN z^t;ClPoI_Gjd;mI>v|PI+>B2r!-wRXPHrDF?8nltnbcKf-Gxl{eR`{o|h2nCg|K6|ADybBos2)LA>$ACF ze#j`3>t~s!R-#@-v#sYEl;2&>WX8Ihxp8tj^E_Y5$pgA;W7N3xlsE%+Dy z!kbV@R-t;-;xn)sfXa;-zA+8gpe1A*FQi<5 zbHDGGJ9U2>HEI8bOkB_CXx>*HFM>FSlt+ao`45+(=t&XJoL?;hTS zO)c*{cfETM%T~L^nwm5Dmk&~C2yLh|h`Xg9nL#q=6R|^~Q9&$0zj7z}^_KnSqEQY*ptqSS=@oj=RUSOJ+UD0roNdII~a4J7kW8|HF&i=Qw{mG=ACE-5U?yy zFDnqx_u+`(*LvhfWuSlf>D};o)%;lcfWANP1{5y!kd2>LQC?zzYvEjgKbnFH1#ErP z%Ty03Pc%ZffS=*lw8qjxLHY0g!NU@?^?aWMH_C5X@|DAV~Qc^t<4Ct%0D5{wY)K^qg-nt71T^ z_04+LCNu#x2^PBvm17MQV!;)~gA!ctT=}BXg5{w1=U39xuc~sc8B}~#wL3Y9W>}sM zNI0E0!EeW@X#ohbrz%Hokx0Gd4^Jmk6|s zbK53(zS(vc^h@bWo7(Ch&EWybbW3Hady!%c{@sj&->Un*W)i`H*+Hd0V|CB zUMX`7(JN>Viu{@Ul^oZ-jZt!OCL zLzsr&xs7`W)VrzVunQNU;gWhK!eB&tFd(ubBa({Fbx34vvXaD2f4g)!-nHk8`CJ#& zz-?PK&NA_^tnW8sOu2@gw=(hSb|;1NTX__68pXk8WnBEwB*mjitR`_;D@e_7G#=TA zj;nj?7eC$L!V(zat2T;z(Rzdfl+5doFE24^f#tVTf^SA zdFGH)?r|=B9n;BosP%0^LQW*JG?zgTjh}n#CpM;Rr+JohH$ZccdAuOxh%xgCH(AI7 zG(#?y7NkMEKx1p#eTW6tl?Mob%Lj;39*nyvK-P3SCj#2`t(^p#y!u+krk(b zWlrWFUJ1{8HE<9AU}vI7?Y2E!>`EL1wgq^Buc58m4Jz=ku~X=1y0>-<{ica5USwPS8g# zRUCG$^v0@&TA%m?ycx_j&C80Yv&aj2+Fo=185rdRyF{+=OFbH7C*u5Fg1nHZc+KSV z2xqi4lb@pYojLyx$>O!3&muk>5Y<@(VY~E!>M)tdW(}|1hc}SN&>Qq*RA&+nIM>mF zy(mEiD5$KY)Hr_MaoRHBkUmtr*9Z8vsg@2nAgwHim@KC=Oa01m%4_#n32UipOBdc; zlkRY?3f0o%TvH)95O2IRS3epi`@w4V1isimL5$whY{qZ1bGfYjv@#@Ct}Xr;=hvk;HkYQ^VkV~sYipn zm>|a!`rdu5&b&6mXGI(*rC8z`xs1G_ z3%v*JX-oB1JuO>Uon6T|UJ7g;02B^s=$W0!y@4tX_E#@CgM+1(PZ6qv*s%F1V6`~P zco0zz(cKp_9~=--mK(f5h;F>r~abKMJ(cr6Ci4P z))`vDE`?~_QtGU*V5vgp$!9uo{5wYr>gTEQ&*8y8(5udOi<#1^T{uiNRS%%w zu!>e~B_EM-qWXx5gIc-PdaDjB2bV+0_x*ARxso7v5abO-#cK88jf8VehCoZJTW$_G zDgBQ0`9Z+3dC1z*?a0#8NT0O`XIJvZ(LJTRN@gj0s^LT5c=JRo~Vhf6dHHqqDM0F6^&XMqCH(ZZq*X;zkkUY=p2CvOjt?l0nd{Vli zr#`hewk1oyPWr4(IJ=WKHs~S!@}RQ_dt-T$j-APCoj1(VTW{Db=J^-+ zr5+8k9YJ_>%p>SSiE2-R988c4vKY3#bavr z7>`(ALVVbVtTX4=-o%F?TNBQX1bJ45wDGf5z|k*pQ$KURfFm+hGcX*7?4L_1et34} z2-5IKf^0(&j-Tff4$oaYop9ocm##gKA3QTKJg4{AVkmCq{Wg7s>25U0@}V9RxlQvO zaSA-(q@&wXEmUOvSgz(#@5m)TbGKNzkp( zub~C1iNyOK`rb#-z;fpeu1tnBgD)F8&~!$7QA!uNUM;O5522YQ>{L_Zyp(+SU7b3q zW&K%4(4I{C`XLfT?k1|8i$_OBg&2c$@G?v9%KiZqkghoNXC+QGk)9Otw2`%l^EZ_n zD3FXZR)%;oeSQ(7Ye^v=9zZ_4l;UtRqWU+Tw5nyz@=HCMbe@M?o1&L@HrtPIE)JHq zdt*YR$?7}9S?A8_`jSQ&ExZesi0^{svb1i|;*BdL^Za`rW>*do`CYc6&G*ao)UiO7 z(u*GF*KWnHbYST)v+J+qjg`q8XXWzV$~s1=aOL_;q;KY0)N#h-q7dOJ{XNudy8Bs} zr4iYRO4AFe?0jAQQ%bj8xu8oe9aj!X341!#w*Ouqc$YXjMx)iC$5HftKkMwar*u)! zu#xL@;;-b~2*1m@a`2A`>V%R?B~Zse~3-w4YZI*!QsitP$m9R5P{y`<9b+Ec#2g4zqr{DZ2sYdm1 zaBk#_RNL$q>=N0R^5Q*&vl>ML_x<+HRINV$2>lIxCLZ)r4TG$do=y6^h%z< zcW9*8a4TAU;%K6gHxr+$$cjfQgEB8f4hT9o@{bU`jlj>43`(nQZqJZbAB@& zwH2=le7fG!`dx_LMpn;k-7eNSr8u)~&q_*fh!mkQ&{=Cy(r|e8E$!3)Igr}HFR3?NoJse+ zLjg6&XwPAnrCR-$E@;U4Z;Dg<`6Mbk@6#vgz;S(2`eBH9-Q#7_K9W<3yqA24cd0y? zrEjLP{GP`+hI^xLKdRO_?n6E{_&#H8`_j1{1Jv|^?2^w!+CKZoamHk;kb}BsbynGN z8u^44Ib1m;rKgA8)J1+#Q~@Ua_)x2h+@f=gH6Pc|Ia{G9aZH&Sg8m^OxCdD&ogQX@ z7o@jbbH4u9CI?W+8k3)flH1i=-XiywovM+mL)p={t7t5IG_}IBRHAvn<7ed{-Fm_` zPM`hfW1fm|o}&K@3)~8kPtc6V*EPa%zs-wPb>_CDvOQ624g2(;KS`zOFIksXR&1?i zRwI<2Z(F_AW9q^xq85kM2z6{|L8&yfH|FXXo5^?cnbowYNS4HWFLl;EEpzDx)N1u# zQ~S=VNA}nHu;iojnb{lgkn!oW3T68EypQZ!H9&a3TwVJVaCB{R$mOm*Z0}u&IHRpX zVLwlme`Z#plr1%)zb+RHiEA?+Y^zY<5hSZ6wxj<1dUkt=Q(R^$`wD}@tv1$fcxb;c zW~_1eZLgo@-aGJ&53c~kJIW5~q<52gn0=m0*19 znfE2`w@Pr{e7g1uo~k{NH_cB*5HZNjQCDNexs*OScll?gex9kHt=2+BmUW9h!3=c^ z&jWO=66F10&!*8auMO+7O7P{h8p>xyyfleigVUVMu5bCJ9u4vtS`Bq0;arm;@Cc}M zkIjIS682u2zKFs~c0__`9SJuMOLRe7G%1|C%eKN7Lt8g0Q74kn~$f=QXnQ z)*D*~D^mLSU3J@}U$GB3`Yb8)fm){FFII^D!HUG%W1l0cv&nWmckpDKuk=ej8srXw zyp(Lejc_i@kkjr}Sh$3OM^`fVfK3pe2pW0Hay{sV*Td`HqbbbQiY)L+BNIH81HIgUe%r)fR~ayHq{ z^#<1o_XIvEUENck+N;rrA`gs}!>iItm350peU=wj(mZy2<6HNr*GPlM;BHXqSLgJs z^kE~uvuhifn7u5iCelyCxU!MY1{`hQLyR@Tdutq*sa%#mEmgZpeMEK*PZ{*UG{F}e-4UxZ+4;Q!9kGIjt7jCY-u^v68`X>2swAGIXhkVy> zzmiw~SCy$f|LCwH$lf-E&hGh?-W;rGEP&Nxmrk#-N#ZIwmM`BOm836apq z$(b{N78~DF2&i0^J~<*EUQa%JiN;t>^&N`CN73`{D|DTeCzC$2g0%Gf%nH)d8`HOf zbPXz%_@as@i_F(k^#02-j^SnJaSEtQ9Oeega?uRGUEg}9Qh6%14)Ob^BB!f9HJ_8Hb#Tczn)JSq+O9}dxMB)8msYf# z0W}{&dZ~}?4dqba6oHZTY4ZViX8UF>^9=b{+|0>v&Ivgv5?4&6v}_^HG+d5aJ>G}m zOD;%{Cd+5}a08H(Uax1hH+IkZwBEqbq4tJ{yyJ`w-q{CNB;)Kj4iUMWR{PwfR+OA| z-3}ge=46&)g)MMgRwJk6D~F`CMQHW*ng<_rbQ3XBvynLgK(=lxv|;2G5+ zdRE#cazW6!kxN5++Q_pN2Rz)a_N(838s~4TKDgiFJS1?|Zy}MNd9Ca|p}aS;4dq3A zI@!pEAumKeNYWocd4V?tYMu8F(nWmq=a%YMU`U_Q)=@t!lpPV?ksiCULoyQltg8+6 z!HiuyY900CGH1`Pls*wge~lbT z8lr|Q^-1Yaiq$_V%MwSwFg}O}iv^2~yQtpyH0kph4<0>&s*xRu4^}%v7ErBpU!Z3t zL}&+e*w_GR?Wtg$ak zQ(V0^v&P=at=ETlQaO!Z_nXc&_B$5cQ>#g0FE^$5oUxHxLygqPMru@9v!(Q0syAkZ zmPm$gH?;NGYN5>)@qJ(%C#4^$^xB6T`=uVu3V$D@r8+YBS;WVuUh_Bdkx;WW;yVmG z4(pr}&sAc#gwh)#?+iNY*MBljtKp{tP9xl-K00u2R8Ui%L8E{(d98wsSad5}%!m*_) zEj6kV0$fXgf@(6HlFIZcA*QTA+;>vIi#d1t#EkDhou7oJmAdDV=jw*$W$)Z zj;(Zu_lNw#N%Uw`n9Hqo-m6dvIE`#sSYlK=6V-odtd`!;{vnkMec@o>o7Q$-Gw{7o zN2Rof>RNJ^!9M?Pi0Sh)(h%!=fz!%z3WtakRd3EOo)uX+^JuC5mu&w-klqN^#UVLW zyGt{m&^e`IvN`9)&4G_d@v2b9!8`Kcfn>HvD@1sn?HD5{s6I}RLkY5$LSTVgq3^@y zE1;ScZ_4^O&X~*zeA*s&OSNIZ=_>EBmC-M-lkEkY?2V81z+tLBkhp3{WL80DdBN|? z@J=-cXCJ!9M1GPXU}s+4J#%)PF*!WQO6kc&h4$1F_~^T?Bn#17;^@2N1StkU*{gF1 z$9dprwvPt6g)|&3R&O9aJgU7TThV%zWA$^HkK2_}Y(1O7UY+3Kd_;bbIXjik`w@iw z)BV*9$6FAuqT^6&I9jYeG+WtPs;}aO_Tr;iVaMr;)nDgY9jLG?r8P2@LmI&ff)XI{n^Pj# zkI|FKI-UCbC`~mE*XlZb7;rF&RmP9*+CiMbr^fO10FY<;a4+RWpuXZaPW>yrBq_UqmTD;#2N~2;NUVWrp^&rb@W9O4n zl->-!Csk_*S#@lHs;+IKENf3|K3p!=aRfb@UFbDA&>%j(0MsB{PSlj);o*hZL*$6<9ECp=*e&%>z8^o$X&#F{YB2X&cRoxu5}LfdBd^qe%QTA9It(O=}mY?f*h8^<{;dy|k_Tck< z_4EA8^0{{}1?KsA@j;%>3kP}1IL6=e^oAa>;S@ObFFWG}S%0d6Xg*{iznpUZWx3~z zF1IoRAN@KBagOg&>FN;|ovCz%EkSr4{`o7kNTxIID1J?glD)ywSNUDE{p3fsWXAcA zYNEpoav@2-)e-b)I34d76fQEHhmiCyVmdV^!}+3L>d_#)Z~XZ`jv9WKsNxRiB3~vP z*7@sX>A7UZwZw<>^mqE8$kEJ-&yt_7BtQR*r1M)^92*}X4ZlXx?WeH9(( z)>b@~WbLN|gBs^%Dg_4+eS=RHZoVp&SI z0=p19nsL7Dj}hYcaWtHl7DFk66ak)b)+)#h=Ma+hVZy;49iEJe_Z;4Z^8N8nqDP}* z&lfK|O{(F+I^u9v#>cO4G|0yv+QJNiy>LAlHBZHi)6E`)^uW7y3CmcbKMztlC zueXtZW|3FdDze%5JY9$4&fFlEQvAGt^l=Itjp}^L_hl$Ac)#48spRsGwf?SIE9-u; z{qtHUpapgu-321(qtD-oYQKOZay8*RfmVz^ll%;+p3EDCo3nqeB0uxqbVsWNwH1$W zwt56--SdG){p*p2kEVRrWoRLn|D{mTbe^DmUxpx8lJqxGop2M$I)-xj8HDo=f_#mn zW4(kY)0x*N+@rZu`|!|^%Z+@MI3G=I3Z6vNveu(L;U7k&+bM7~4PQofy__J+kbh2B zoZ5;L3)bMQyXcejaeCL|G?InqXwJ$s+>WF_oN#U&YNKMgtTO-5J;sGNh4f$@ZP6;`d;XIStK2eA$_DsIhJqx@pK{!F=pePv;#TiUhj z=5Muh*EqN8!xBKC6ZFyD;Z(yC#{`uSv%QA6O@Nx8V?Tj+%(gEPIHh@Gs(N3aCm-@I zyWAhUf@(j`oj!dn>{IG#vRt0N-q)XJo;MAgZ=UB`>n9qcb?)%|a}hqdbg$tgdNj`b zY_u5aWgM*%f~=Y6dge&;VIM!^c>(Gb(1A+#X*U*we?S^pUjH3voX?}4FhAFOwv*`5 zsE#7YUBtQ1bG_;1T-UwVs?N24`aIX;9W~j{;N!{sJblmg`s!2K-t}B>apY6_P-IiT z)T2e+=rLA$L;HuyabZRR41qk0jTrMO?FfXN%u>I?aWY5=FVBtk!3LRmu2=SH5d(G1 zGX&3xYMhzpdh=U$#*JX`Kiwq``Q_r~UshZHI1e1bC#9Q-^C|_B$>JUeRuXzLoL%)2 zasV~XvmGHrW>yx}{^`>L>ARB0T@BB7tt|3AA3PGYg{9f%sniE@Tvk^Pq_15IlTYcx znUzJgH~b1mEARGi@bdh@37P4EwB_9*pe(svkeMFHf|rzWV=?%j?lL%iJ&-;ti@rvd zVjSnmY`@Vj^=Oc5XwAuJD~p8I_MBBL2ryZn(|~sc4Dtt(jy;(@8P2!-QjZ3Cw@oGw z;0E~~t$6t!t$4YH`0#3$yMG)tyqT!JPmn$`)!&cl(d`4RV_|otkU*wJSWDQ@!t@Y{N zcelnl-7ocMR&eh0Spj%HS$Ypat{@2Bpz&nVPa~WaDc^bj-d)M|?)`FWS)cYxJ(~3W zNcs*vp1-d{Pex`|KbmXJt80;;9~i42*D0pD%+exMb42Y#wr@l+#w)ZhAu8VI_Gc9H zTqDIbRJsEONnf30y@}S>6)4%RMGLv15O1E}lkDPW$1fl%uIKk6oXd#wS>Y+3?s7o$ zW9x_5XyhJVQ5JBzR%5PAd9fO4SO9YF{Cfd1|2&hd*s};$!}*HhU?dJ=KvIg&)*G3i zy6#R|CwxTa;e14RUDH&p#@vur%H2-VuOmxeL^#}9ZA9g32l8s%S45=Gy4(wC&F#_@ z3Ew1~^C=P*?Yi8XN#{?|8oWo4pZ|v-pCmpPQAzo4g6v3Tu%VWkS6WWrx?HSZ_3*IL zbQskM_Z3wVa2nZ@V(d&Bx$I7yzf9}&&LwZSE^Qg)bvqv=&U2`wV5gC~PKbMtG_qc? z8I#$5FO^Ep_wSJOhf$yQJ%n>6MZ!}^`k%-@UnD;3ldMM)&Tk0vLaoT$vu3GZwSImj z)sPRK724;}I=!Q)RGvm&y@ep7tj$8s>%2`X3KdhAjcKrjPrq%U)#GNJsQsHgi{p3 zjKlqwPY|CED4)_B`UXV6X=GKB#XTz+QSy<&E``ntI(O|XbciG9(d^<8EB9o0=dR<3 z&ua<7<4X3%ZDeWDIn5gGL!5aeei!j6I#!v_%7nwu6nHM~=R{S6Pv(3KX?P}4#h$Q; zqJ&!FH3VTF^1I1DBr5jdg{0veqQahpj%Kk@3_-G`dlL@(@IMKMZP%epkae|-)}u+^ zgK&5)1n0$riaVawFVx9Y ztTW@>Re;Qj0+9LKMLPFc;UC{Y5E*Sxusf&~=Dx&Ah0wp>1* zMx%QaMR&4zE`nu&YMZ;MTrg*>toGDjpYQkJ>|Al|&zY&X{$ZS{f^h3FRh&0081?tY z4L$t)BASKZ+~M}LP$%ckA8BroaXw75SZ^*G1GB5oeiv&IpJzP2m1cZCr4#E8GYIc) zu{5>R{8q2?z|r`uNTrhB_dM}$QTn~~Rwi@q)1nooPg*Ye@R`1rF}?>Ra#Ufe`LIv> z^-gLTwMKG?~W^D4aMM zPM>;=OYT(lmS@HeWe!fCpO-|=YfvxcqcdZNLAXp-mW$$^Ytfk+vMgWhpWSKf!1K>M za(N4lN^~i4=&Q3Vo*QJGm8d_@I4|xY>zTB6h50aqXUrG}Jy^`zV_x$f{b2#aL8{T1 zpm5@c8EVX&8_p(rGzvgY);}B{u6F8ITJ;Qko|KQb>Cx^3=W4|yWFDd8?$S-uHh~wr8Xa*2mVV2vzf)2dPG7g@QnWcbgwhSn3vA%QvZ@tq} zK0D*!$!F`MA1aRf+O&Y@@Xm@+;_Ayvfg}9b%EVXeA<3TAxee>+3Ff%}Z!qe0O|XAi z%WpHKbeU%7ykt6`EmRZZ0|>s);&)nqy;<*=X1MoZ#c#0`pvh@2Q$5-k`iz31`QZZ( zJI;LyX(is9an>K;z-HKic}a{uZFK2SKo(CZq6C`RGb+~?P@K0`;L5GAng|g5Fz*Tv z>CnCK?!gLaeRV;`dG+Av{``^pyv-fGr3oD2$BXoi>t%5UXdk_t`=N?I_1qtvR!_B0 zQMQn~R$n-GJmHuu$AHr}9%i^tT}k;^E{9sl<(2~F5HE|NBv|q?fvYxG9XhY}2>hO! zrD%7&kOE%d0@-$kD^wb|gNSIM3LLaR^VjM@wnvsuq-809x@>#u=C(j0TZos}z{S=P z0T)+zUk%602YhjcAHJE`72}}VLrnt?`V(y%wxZr@Yf|)MfOGKx*Jb@lL5TY8)KzvL zI5+8EOZj<)0Oy6n_6j&EDgnB!{#r`Z*DWPhQ94emSBnFGJzsI)nVa?4*4G;=1UlOK z0i5B71YBJ*ROBlpK%=$~0bKX3K_Ll0LcR;hZqMB4(U9We+4gHSR*JmhBYttJG zoYtlm2U2cSA43YBi?=xEDWv797n&dyKU*s4SGZ#q1M=9c%Z-C0Yy%TVa^ zIK_cJbzA)mg@BtqRmK^9NWiVzYIqZO57u8R?NU95E*7b{4`~~9O6$`CyAJc;GjQ2& z&z`R>oqpNy0%|-QZ68_J=!pyD4fqq@;N0tL6Es>+|8TrTb!l^NeTDRaLWdPQt(b|R zuCg*(^dcudoFnLK!+e787j*JXue0qNbkDtDZf#-V%x#{>bv|&YGs;Ch7c^BkUgF?o_#ORoizkNw1Klix;!2zD zK`#Y5w78fAT`&&lTYso2)AbL>i-#8DY6tz{h<7c`Vq85hL)%^zpgR&2 zK08<`akrjHTt;uT5r?Pvz?oamAG}~G63)@Gw>hJ)9}aTnrlFp|0bd-!yT!%TRBD8G zF_C+ZfRF)aaKA(US__f0sHaxb5Ni9|zn=?^>y4NL<+l23xwj(}$ePzEW-4*6P-f8Q zMOrl4amjhAu0ze!$g6Gi2hU*S)I$4?oWKFNqj3N=e)@9VAb0BVl;8b`S^@s$-B#0o z&9oRLSzdx}b^U?H))XV30KGsn3ea|55l}!D4C-9kn#dsw#&^LrTRb@+*4NTM9OpIi z1P+f!rv~~o-`c_58v6VU?Gsz;Wj~D8cR?;-cPjW}bPni;!Ryvr0Wa{yTSqk%&nmCU zcaPS))+z$};S4=w0EI{J`>6gbs&Mrd{0-osxKP{1_R5z0LMrb4mvX@o&tgIJ8@(PI z=maQc<8ed{iMyBU&+u^d4&^&Bfa7Xk+#2w}Ro&lQH22_L=yG?~ej^36eWvdXdQkd= z0BA$KZ7c>V{06s%rQnO10xMXI5AI`6Yv8u62cWnDw4UY1lT1Kutpd*QyH0-w$JMg> zGs)nyRi-e0fZ&I@J>dRL@3xVFb^-Z1uXsU@epi1c6ITl!6Rz2r8WYeAK5FY4_Yr!7 zrY~^Dl?c7od(^Kj^zj)wHjG-}zFwiNk6>kM_qvMdc3Zx{CN3w_WjFMDtNw6=9%eVL zRI!0K;2paU=$G}^;=EsR;Dz@Oq6?V7`9Su<5tVPcq1aCd95xu@LJJ=kD=>Q(Q2bES z)KKI;&zU(4sM2%P~O_vT%Hf*b7m zq*5U#;R7oRfR641NXHKx76*M`Cw?<|viRi5^v1}}U_Z!nL^Ad>L;ino&^mZDAmZ_> zpGl(k0_c5O7FrIzj;gzsn2|PBo}B;sc0uC^J5(XR+qH*?7|-u|W(d_QqQ`b~#Tjrt zo^ZFlLZ1-2&3|7GvfIepFzbie$hK}iPcfkNi-t0xiEGnp0toP+V`~ep(1!V)R%^X6 zz{jzLg5QGL0-0N6KJ)6ALTk+Jg9dF#>kWsZ)o%5}IrOW0)7;+$&SUfs$9kR#Z6%4z&MNW+Mth4P33xIT|OFI?z=HA2v#E!BV?s-gh>P?d+PDRbCAw~-3uL(d8Q>w^nv zyJTtgT=rx14s_7XvDISm#P3}FwNZecYJ1Q6?T%^pT%XmH3+~)LI68FeO#Rh?42Q0h zp}=h;WWjJ@=PJq%7WHX!zo4FnJ{YZ;4&bm+KL6HGGvFYBFKW~_Hio@jf`aBy5Dja3 zKgTGnwRkmk7<_hh1;zeVfSxymLNm-NO>W!#w}Q|SzlGVug*@2uX7&98!4~8aX5Vqd zn!Pq>pQjK&QJ)(WcCR%Jc?iDV_vnuM(P9JIucLoB)-B&23IX)(;r3*(?5F!X?W%WX^T<^=mDS1 zYc>mbDA#>(k>{)EAC6ZmOh@ zh^y}oeGlkU^#^D@=diItT!x+b6^{6|P|Ptc&OU;5_|>tDs09>jfh_-E!}s;C&BJ;Y z^7TrAIj_~LJoNY2S5;`s=e`Bx0}n$VWjdUbG>-%N!wfw{&s&*OF`EhQqs@aZ80FN?;ip^O}Q& zv~neJt1r*j0{1ZW8Tig^UKKtAZuffPdJficeU&lV!d3^&R6-io^|es&2DHZQhIVu4 zhIVsUa41Vd+^(*FI8Nn^F!-&bza@z;=AWVO)x$i-)!bX>dEp|@=04L@kB=7hEE@Dh zLR5FpXf9s*B8Pk?zwZ6)qjzxk3sr7zkfEdFF1#67Xg?h@yQA{0W4-PLdh4`p_V2uK zXUc`OBJdj$%3C|)0o3PDem#s|hvIms{%Q_@c0b{&V}0~d0rCt#Jkj-553%%C{cG2_ zzg|0Sxa%w6-wBif9cWL?chO=xZF_hAXiup)zahvyt-AYfL2e&fcoaIHptLy0Iubhr z6cGIMX3--+Z^v=%{=&tD(GS-@9IqZ~hN}f@$G~A*{oz>0(}LBr@DARJfX^1yC=NPc zzlKGv!}+QMO3vIyYS0n-qE_gGiyq%qnP#@qSPlaEJ^fi3#1;6q_aGr&0S3&^DHk05 zZ36Va+qVgJ%y$td_+6nt(-<_*%Xe?rbKJdCuiLw@8(14C6nC%HUt7*j(}D&lN|@yp zbViv3v|axPDCXb+ZP%g$>MKXELciq;9N@My7QjVa3~0Sl-^cnGm9-qOG6T4_CIe^q zeM5f+#})F*;FDcJDt=pLZl;$*UH7(6hC9A~0CO9yKF}Fb6qup#>R0uLJbds)fvw(l zPp+&!fOpy`z?Hv|aJx24=1D-Gs6@!?dn@Rw8Tz*hiubL7yPU?Uae&wVQ-4dg*Wriz zbJ<%;FRbhV`WF4+Xt53d;!2$|C=IZ>^(0yec;}qTXGdk9eV~HAKSPh~gko34mhT5U zalfCT8xC=y4RRj3*6R+t%aB96YY>!p(?JCd-x4rf_y9j}Xv;r#;%v44M)v2wDXg6j zeS7xYD}g$w3&7{p485?}avQX**WQ=!VkBU-251yG=xN!`&maJlR|*3Pp1=UTo#D#YYA?(d8+Ezq z1D){pYHQ~Qa;ZB|wQSdXLw{)7uHyy-d;qQI5z%u1w5?Zw`>+g!@9jQt@I#K&KJnaJ z9DD)|msN$}q^a!1z$a+6!M~l4#ubuFYdy7KeJ1c*LH}^9XGS;A(ry{%3vjl{(90_5 zo*DXILn!opzFvXmk_yUiKLdCF%;D1&?*FrQCeVIY)x9qYgeir9G%^`Tm=Xx%dk&~g zNWiE80c}wfThi9mLEh?8+wv5BJOyVEap-gEi`0p>4`c}PfF##dZEb5IjA0a{3DW}v z7RU^J`}040|M&go9xnfX?!CFW$;n#3{O4M(l=zr~?L11dw`>8fs6|$p>TZ{<=08}K=bS_O3l2={^PB?Gv*rtx=iBM zeeH`p?&5A-a)$RrOH3ZZt{)p~pB(dy*C=O0Dj|IQlGP#alp}t<+8*9{GAO^Io#MeP z(gzxL$M?G^va#n7*#I3nK7>N-yi?ofAPXT>bp@VV&?~yB@#|Pe)gofe4xtl)F5KCn z%Cm{4L5A9v)WIUJi^bh~8!rt)3noX;`+MyD1!J!>#Kv;z-YdGb$FEomn0^h= z&=NnAxSU-<=s$GPezZhgcl3*H?0y)e1fDfM6g~{?jo?s>Y5E!I)P0zbb!8p8YctZ> z(C8w4U$Y0R5g%6CvxLBvNmF8xd7jL`#pNEEF(#s1^17W|QhfL__< zB)?8@lsVqC(iSs@`}-4j&F!N*D0v&7FOjY zlG=2SJDPOi_PZ<<@`9J6@Cnt?6jm*#{)5aj~p^oP07nJb{asN#y;2R*6 zkfe)?2b3pKI1j>THBoW~N@${tWI>vAM13J0|6yE#)7#rqcm;ZbF$%Qwo^Xu^pK9SD zzxwyS?rfEqvzPZ85&UWRWJ}6Wz2DKP9TbG;KKpOorX2EP?%oOG;e}IQo?!Vs%ovRn zyhr@%;e%Iidz@hNPKg_+QaE>%>C!5A;4v+u7msv&gZ3o_eC> z=f_5$_eAiqlFy0c6P}3q4ez?zne&bFlxFQb#eDfjr!bmyk@eZ$KGrXvJ2@LVwgg=t ze0lXfICXDp_;TvD`9;S4?0@(4I#%0!-O}Aqy~DJjiR8^5%lyu8IqOB23?0Fdvqer} zvB+;fI;vN?O-JR(pr0Abx{g{K|G^KXj`#MOR~_s4yl^}$Emj5~y9N&J-Mlpmwrn{iOF{%MEF>Qn365Kc6t(f^KC`$}zagR&NiAJBU-sM=?4o5O> zSO=`ZPL#ffJe1lZuRQdJpruFRFP`h{$uhm#<2=@R$CoktNGZx!V*g{N?Mnz06HSZNhd_yju3(K`g4?&v*&?(FEV1l``zCj{Nf(H8`LnWLMVBxfF; zv5b(j-|V2|ikiILo>s5MgpN$Z6}wuh({eVkA6c)TvJgUzwf;YU{ipFARChzrK0#@( z}$-o-{Utl>VK+;7RnI z)IstOs>FbQiDJWmrWP4Yq4dr{7jE)5sU-#U1!%TED16`16T5MV6Y{np*9+yGWCf+R zhO>Ey*NJQIh+7a)wU0oEv%UStdsUaK{F>&WHwqeFq-zA7<8gl?=#h^8qoDH~T`uUG z9aT@(+a0~E8<#x4-F}h7qyReK<1Ul9M>x7v&^I}HzMw-_Z$kH-9{2Ag?z-to$b7DHFX`7$xsP+s|wkssH=tV9N zwB@((bu9Rc2A^u&M%!)dy-19Cb1Xf$4SiQ+vh^ZGCYvX^@L^m^|=Il>8z))9gRq+WXHj1XRzUnCEEvJ&E%cN7F|N z8ve=ix-|qkGK(Jyu@e|x!^kQr ztnj#+8e>N&uzxn=MxXVxg%*@J^kDQ`>74;Z6M$YNaT!T~vI{sVywTB|`+@@gciyaJ z$hgs)vO6wOJj}#poyeHY0#e)bHzM>_7PPeDf z2F11qZ8KdP=FA**JdtJ+$?u^ivdEM;`p6%nPjx*X!Ug1@nc`STr@-Q*wfzbzTUcD*n z=lm)83~$Or$S+nL^SxMQe{4_Dsi5C<^nHTHh%M*9h&vWD=f%D(`B}emMjgkTzODzs zY9&-XP-~-iavTS}@K9eQyl{t^2z9k0>lL6hQSuv}L-m-=@KUsS*;1Qr9=Y(L z$-~Ab4>1?GZu;2zTwtsyd8yfO^zOQ~2}YJ*dfsLe6mM)l>-^$IQSGy)d7q^=!C1{o zE%4N?6iXpcolRUHW~Cx$FO^?pa*~w^zd4@6Qahk{6+!W#)6!Pkll#+H3r1+{BGdh8 zU$u`2ea^ovCzU{zhm$o3ebz&{!8g-g^A_GONNC)o%ML06R`F?vDwJnPplED-+t6XqsE4>&vI{a)MuQBGj{cn7y_!R2d;P|Yjm}vQ(C}YEk zG?qnY9q27U=d;`Fd1;^HJ$p_Kp+nG7t%gdStUv1;s2$dLa8C>GIP2$L`<-X+%=ge( zh4v+jS!^l3ndhN#63~72`^7^al+eTdTY4Iv%k9Z;5+u zfHOR-^dS$0Zq&_h8A7gFi-0#1vqg~e&)GwL-yo|1_P2@$y;;zG9lc%9Jstg}pu0P| zTF_k`y-(1%J@i3AU*T~d5p+989~X2RN1qaO3rC+5bW=w;;-g47d_dGG@uq@4XF;Ic zl$>#&a&#L(A9r-S4jSzQZQt6-#P2!&+-em$L7x^$FoEgb*hycJDE$a=(bI_{1)wI3 zgHYrI)WAV##4WugDe+(zy?7pUMJ7@^G!L=+gZ?UWMxR4mdWA%%I9mK&k%zL1Zt%H( ze975hy#KpBg#y1mYxH=Z0@x|RVDwZ$WB1a>1U2>5^B5-@M>B57 zK(ok;oFU`MonHBigvL#Gw{`goy0<;N`&q|$lTe6NCAsDXdaSwOt*OPl(tuEy=W*2| z87rukOWY$o?ovS)IJ&Hg>bjI;J?@nf_f3x0;`+ zj#eh_)!suTUC`KLc8J7X;c+h&x(h8n(GHfl*Ld748+4;=R|tjR+2w-1+3Dum4JZut zP!Gu=|+Cfm$JR1TDG?siatHWRAc60g>eV; zC_#VZ=)Qv9;;5d9c7vmPO59&Kx}Tsc9X(ReWsdG4=v|IZ6Lhtsy9#=bqi+!OXO8Y8 zXq@c!8bN>Vad!~(SB~x^=>3jvD`>2d*VXWWzE|SL>1(~LdyiH2^_$XqS&?7Q1AZN9 zK6?fvpPm6U{W_rdi$Hg=CuQeuNB0!;c1QOU^hQSy7IcN9==Y@i?~cA+(0_7to}kMe zMbjh&Rs@h=v`3<73()rn`aMVgNYHON`hG#b>gWdr{eq)IM;@fs_*=L>+Wfu5^;z>U zjjo5ulv}3{e5CQW!v~D7PCJ%9cI`cQ!C%IM=vK9gXFAI2fYe;iS=s?1f4o^| zku8?9azWY0lIUDVe=O+GF&STCDe=ZeN(!8-3;F{=v7bP(oHFhoIQqW@rPn7e_Ed>W z`B{exiZ3ovd~u*p3c97E&k7ozY%{9|QGjnyFmMnWahun>aJ_lGiM3w@99>$apqz}JVL;+8a0=%O`a6zZCIJ*3 z>*8pvy>I+2_15@1apV`^zMxCG+(G}IXTQ+DCkpyqLllMXZuTE<%~^dU9Qjq-Xs&$? z1sxyHcXU}d55x(ZOUoAis2@t);DcIB^-=Thd1`+v6nfRwV8G^2!c^`G5BZ-*dS4%fW5_Lz7&C0l z>mQKcS3A$@5~X9Z#^0i`j&+`CyNHo+TVGPRl-!~Bi1BguS)k!*XzNQ{vP4f_j?O|0$ z+8Usw!h36b%3u8AAMeMEexjsC-?c>0wq~zzsOi+AOD{GFK}vKjVAH9EE9=9Wy%((g zcGh-SEfv|NzG&T`+XHr5Kj^O<-9^y59orMB5s;A`gwfg%4kK zDfysC$rl_wRnSj5N^h1D)#SHu@>|ZG_X~F#e+ze*xkDS#Tc_+?;3yuFM44M9?jH#{ za{eAq%+<~Hn%7ymm_@{DNj_k^(6X>v5*>?GtE3V$Z4`;aB?oK&E zKS`8sXxvs3p=WnOAA_3<}Xyox3r>u8gta(4jS@Lb%ICWH*B8Amu#byZ8Fb^_+tbT9A@JG#ucoAV|yn!G~LnE6(3X;@$C&12OFHKlv;$9j*`O3Cl=dqnD9SoM~MwRpSG z9ezf#?pa+b6xtj>k&cPaNvz8Qt!~j*x)#2z$1i;+wglYaDgVQ>u~?4}UGC9obuqqs zBd7<)Ngwj}{`RIXIH&WD(D_zV#EQ@_+uucEKo9rOmG(E^-fzEOJe1q^GIY)w-##Rv zZ}ZSwo${j+inNk`+!wUe{!Yp}+24ahmrLl>H9~*f2}K(DhWi0|A7&{O8Rgeajvi@P zX&s&T_KQ+)VNaq@JIXrAM8lTdT+kSwl19c2bZbFlG(M$+M*UoFzxX=pr`29BwMVUS z`ZjfRy&3d{_n@<_VnJ^dbU#OL5_G1cw+OnAqqhmVm!rQBbcUmM3c81*cL_Sp(N%)( z=IGsm?&9dZg6`z#{en()^Z`M4bo3!XcX0G!L8mzSsG!?A`k0`T9sRYSTRZxspj$fn zw4jq5eOA!T9DQEUmpl5Of^OpICN_l3nx`pK^Dh_lc}F)B^jSwI3Hr37TMGK5qgxC5 zYey#w`k15J3i_y{Q#xq0{Ie~ut>s%)_N}+4x`uv2v^l#BjnTjHl%VKf(8mSc#!;oP zm80nI)aF)conAuYj&^ED1rNQ?U{BfVPM#ukx`#$@L}=#p6`oW2v$O`+O@Br`@;o>w z51F%dmj~*48~cy9&K<WC1=E$ZV&Gp1dZNU*ZRabO2?^tIR!moCRP^RQl+aD z_HhcmjEW;Q%~^dFN1QvOe5jxNF~{@pPm*8uGs7MAhrH3zr4si@M|EUA*HK-u6zlMQ zBoqQwf5-x-Fd%UwwMzv(%H!54sP7~^D!14-DGy*jg0Ev6O$E}yGPWM77ah&n7ztFwW z>DFs#KZ(22gICcQJ{M*9HtXtBALIC`+4*El*;(3>1xC}`Yqa-g8sd0f3SByK)=i^ToE z9(T5&aUY6OxYgt8Eh)D<`X-@prK7V1jZ#b(^bU`EgrISwO8x#8%~{-svYSwddsZ~R zajVO3OWdD&9(ES=UPpHq^gkT^EkPe}w4MjO>*a2b`wF4(prfxAG;VdN=WKh48+X0@ z7eTLbx~~*8Zh%n=*l9?red7Nk)z$zy=$3*$>F8uZIb{M0I|zy=0~9|*_6+wrx|^V! zK}Xyfg8sr$dh4Wco1=Kl6J6;jHby3Kr+E^bZC78%N(K z=r1h3*kf zH+>bP68;@UW9+Y>MXAkJ7ljWSbGtxK?dzK0iEe8F9qpM5 zX!0=brj3q$YNS&4@iVd}bVaws{5sgtTLs<6(W?bL#8LH`&vsOG^#P7@N@wa+#zCaY zSUpk3@t{hfuI=#}5ce5-lENgT2U_m~bp9e%)aly9Sh1)*L-bB{3IkG#@R6$LKl%XG z>!Izn7g=Z}pcLsNIczeiUeI`ib28DiX0S{XgNy3WaZ?^L&-m6OcTA${swnd4~P zVyc%|TX$H+OQqhJxg#~T_#-v7xngYxvvXo$1E z*=Uc{VoathmO^&=8L6qH#%NI`HQGgeOs4*aEsQJhPHR5KWNK{(&jy5(QE!SK?p*(g z#EqKoMRvlbRVj(_TOIv7NiD{2mkJs_Je87=9ksT{XZ%*LP4(W!*k9#gc)LXPL)2zH zwVQ=!p(m9>=ye@4#7M0l4WsR%t>i0ObF9Z?^_p*TR_h_>x<0Gx9UWnZj#7`n0;ez_ zEh~CR)lqeyLQv4zhj%#LTkM_vW?L~@coDr*TQOSt`L<%TtVn1pMhhR>iqXP{@mewZ za?{zny543yP_z~(qXI_o%#kOGoeqkPl;}_Uh>JxI1?=mjfS(UE*Km>tMi8J_dKs57 zDJY}dL>U2tVzng7D$o~?tEoNhaT$*!g{}SXXDl?)$&NlED5FqP%ife>ZAV1Ww7T!0?T9GS(RM@> zK0ImqW|Clz8CTPMi1_{74U@*x|S+d z$)x`QUd7yjqA~7HzXovwJ>TjtYcp1;d|l93DcS0x)djK>v$Rj!-zht7mrjw6O|0#} zrR${)#eBEUY|piL#Jy4C#*BGCtD{?86izlB)pS(TQK^-OUk6fOKY6ogqxy=*SSO}h zZno<)UDXr1uf7&=-RiypDcj+mUtMWdUp*Ej*0RlX3XPLR?~I(2cS;RScmC>X!dRyq;__S@_#zayU6_`|1jJnw~6tSRxv{zAiJ?jO6+UG*{>-)m#f* zpQ$e>td+X%Ycknn5{U|rVUx)s4{Aq+-Pi2N!kuPM7CzKLHnGPi?WJ7Io1is?>?{A^b)=b@`CMy9uip?n*m zdJ}HkIUAv$mAsznd5uuLPdaX@ezux!+yoP$<=ZG{+%U{ejx6WqmQV5uN=8=OljRJG z5n4D0*LWhY5n68kj?h!QBoSKfcaGfrsfXHYP;Lk%H=yLENeEnM5|Z+|?j%HSw~qU( zLx+?*;zOE0;?g{JIz%;E>+U51ueWfGRUD_VSnp4p+iW;too zSttGJ5G_gEM;=;2OA@*=LQ6}C+WhW%R+Kh9ta}PIO zz>ks7IJdd`-Z+U4-peKNb@q3$i9vtnXxndGc!I`H?o4)0z0`hVILT>O^bn1|sqvDN zTRSJ$j=yM&74{!%{I|E>x6lQ>%O2i!O`vNEpSLfGtK;n1PN6>csh*}dDNM)YbBCpH zTUVk;dvA|hKc%Zaw{fdb2*1{HLBn&S6vD%z>&jzw#RHa?2>5^A(`6OF;M5$32Kz;>bfiwO;7PEr#q8 zO`frX6eiYBl(|&m#;mvAVi-3mD$k-6DSebUZi!qXbYnhV>BjAdd1fFKj`#fLZVAvh zJ*i&D^_vv)lsPE8)9Kc^Q?JcgB7HZx^qnVVi}v;pg8r_jR+rS7Lic8;o1T918~*=# zDe9@!>v$hY?G{f>^AI;bYMbYdH9oHAVGp7EOQ*Y7_^`++{H>tB=V+ai(}eC_PB&8{ z_!#?-zC~|cTxpC0)jJ-qcl7l(Bv0-6&yLn_pWI*K#x0SD3Hmdqp!YW3>}dU7%-0Ep zfAP3_)a?dpmEP+y=?VUc0)F`ZYo4I@)+vxYKx6_%PaX7JFZ=5$TIv zdv%|fw%Gk$QtRtyZx_0IdR+CYZEA670lG)A@gwXc*N|;d#>3!Xwbn%BOk_Dc6Tz30L=JUMuw$ zUci2QnRU&jwHfCIXuSohIg8ay1Cq1DjmPjAYbd28yo)~;G~%X*h%bZdOC@f2L)A|^ zXV}!VZwYxA5W4f7!ZJale@kx%IXlVY)+I`%Z-K|{ML%F0LO*?1{0g)eO&eOHNnevb zWT#1Ak%PI$3;5A=U*W@ssQX^yb=;2?r1nnCBK9(07?#+-OMel4Vcq7^`NBBE;i@Wy zdjHl>&&%j8d)}#*3N1kUteBP4KC5ntMGsleS-qdH_uVRe^DI~7tZs?b`{SHv;LCSA z1ua`xcv`m5h&lp{*(kLXV!T#JeYlTO^26TVC+#GFrCZCp6nRvQ;SwAIFi4{fz^u??D)*{n=@ny{7IYU3ggI+6$r zx~(=Y+^LVgbd79VZCo^LtfXE$E0ebQgjsfz?9JcBvg9uVJ1DkgqHVQt;S)0|@T{#i zE_~Qgq~rnT&d!3iRpLc=|G3m;en60j_r`466lPwR>)j9W=xzbt0wqn23LE~BBLzA<@hqU#5{xc zrq8`ldfxRfXU}@h>g(m&PL?96Z6{0NgX*)`vy$^~SeJqJqUDBP_1C1YNgomweL$1G z(u=fRe5GB~cMatFRAlEQdwBP=YoHgqB(z-9eMKhK)6n*i7O88}SNIUSGWyv)QMcEd z?rXXaeIEX(`re(kf4)dbKfCyHj{^K%Xb*24-OhJ(L+*KXj2^p1>vC4#;Z@gi_5FHv z3ZuP8Y^K-SRpP6NeXKg85MC7ZU`(|yhuTqL1&w@HQLe)ymFO?(UWryirEQK!4Y9g{ zXNt+gruH`XQAaMyJvXesCG-qic0uUo_T+sJgn+UpgIeZRhP*Q-?!t)Bvxw56#&>ZG zO@x+PVF=;*kYxkP%t7+mX=J4P?OC3i=REWbp&YmTM5v}4x6wqXraSmH8i>M$;teNP zjSIbV;WNg*l!dqCw@7j{L}d@P|A70i&PuO$RxuJ;GR|hIGBx(x#lFxw`?n zkB$Ld>f$PUc=t1sQVZcwr;ww2%73tlICOQdYuEzmr6X>jx%(gV7<-_QUK!A^IcF2p z-8B;DnD=wuczvJ9lCJEKTAUoAyKp15^Z^k!Jdo!Lg*b)0UgGo&LE&h7D3+d09%r6w ziQ|OzJogL=aS}uB>jsT8EwnCT-?5%pzS8ThzTdW=64z@}_piqJ=vv~)L)v?k;&^-D zPQAqS+T2&9BzDVciQ^pe`g!A=s+w}fiK~!2Pte$Xy1S$nr<+d~^iJn*o>M{De&6Y) zUlKIVQm^m3NV+6&g8j$JDm@iB&UV&wf8*rfgRFB*J$bDq358b)8s{qOO58X(_&|w! z-LMquJLh&43agz$y%c&zdz`RdKXtlZiu%doJ4+t!@jTSe!`3s}E zXuru*d!^(d&aJMOP0whL)8p$a$?Ij)Guq?y_&NpUWSk3LKcTu_iu%3)J)!zh=Y#GR z*w&;P>DIn`M{9kcI<~3LpN~B5=AK$FUd7!#uKG#hEQelB(3ofCqHL-A_;rG#sZ&8? zRi3WZd$Y&Ava6$r8|V3C?*tmXQ=M*VBjScO$~}{y(TgmW)Lv&HgpQ_F0lnd^wt5XU zYo)Z7e){fatrYof)=H6`W~~(4sNSPDYo%~!W42bzeEE$dyw}rlR*Y`zIyHMjd=^t+L*W4a^pFc?q`g?HeC`WK3ZP!e=Znb)jDz zAHGgVk&=7^TulKKI9GqChI6DQ6=Bp3%XJv%fn_ku3Jlk-$e~ZN&F3^w=|v1Ohy>d>cO~}n{(G8& zK1`osP`^p6<<9N(>{_?1P3F_+kts`G1jdKX(NF}*ij%YIt01XDOiZOa0n7aE-p3< zyGm>zCPqCiAN@?{pnt>E+wf7FP`%N-LzeiP9$QRo$m zMO0Q=NmN)TneT^7_2+~m`wUBY=!)n1ZEJd;Rg_y zo!~JpkfI)Oiqmi2+|K)gKH zH<#n9YdzeJNHrt^4RETX93ih95#5ixvLf;-(|@X;5=s4%R)6B0kh4vlGa{QrN+Rjj zF%fWJ=x}TMI|<_p)jRuOYCl(xi;44#xvECGF|}=sQ*Bo}Gc%o^Om%awYCG%OscCdC z%+|NI%h`v{TK`>=!2&y?D|SRjPQ$x{iyU+DJ&;Gsp&h~U{C5<5*b1b=FsC9G5b}4H+hf;oR<-tI_vlQQqHdTy5h} z)pZK3t#h&&5@lAcz;K)VUL3zZe)ICx(a~FilI)r8Sh-u&&42IpBVqok&8qUFs%DBG z`^s;3U*WO{O`6p1hdbt#JzoB(JuTHii;c2Q#HxCx>%(N#=wG>&)C|M}mG4C){4Ie; zE5f$AZ2^l4ZPt-((NJe^OO1?-2APpMvK9?>lX2JGqQOt#&eOnRA4hU<+iB6FYg5!) zhi9PSN*dS{r+@Cg;ab`@gw3w$7O0~#7H3V_6x*SJOn6?Klr-RB7Tp`F-G&Mq4W`K0osUXGDpb0jGLD@StH3$`}H zhwFO7D}O+_^C9+&Vm{3=8N39Q%~Y>1(b)qrKP%?r<(O;&7ewY7G20EBn*W%U(>7sq ze_`19l06$i7A&oZxpT;mnj=vWp(7`U>c}&XDj`83Ad_WV%}bXqhHPuaMtgY`Yj%!q?2&^7ofpu z{DD#kFQbuh7=K;)(XZM?jjtI;U%%wWcrCxae&vtkH#FX{{SuG8qyv>))7&zaLeji} zvpf0d%FmD2c&kdp)vsTFUGX&bX!#9|mwqY6S6{#IK}^H-^~*YC Ry%#Te0JE(FfB|XFu%7?` delta 839 zcmV-N1GxOH3atu|cz?4C3kYtIINTwgVWr)QOFtHO?Imx*Yw##5?huHB#A%P=|7=e> zag!#MTB)KL$(ixw_jvr-wmws=KUj(Kv2oRL3$*PO2-rXRby+mPL7guW_}F z6IC}Uw6@O4W=ND-y#~W=@;jZK&1R?P=WoskO0t)_W93m%H-Ep^&xHA_HtWidx}Gb3 z=qtb7eTB;+G-*>B7Tp^?l%*kp8jvb9wFuT56HMM(G9kzO+7} zn%91A<13{Pw^$@3UtNp2E|RjXoyV5Eo&4R&bAtQ#iGMQr9Q{Jt67>TxUxr0xz7l62 zeI(Dj6XM%p8}ryU0PL+KPeKIKcmu@}#b<)A3&&?6Lh>vDBzczC{~~!?E~dA}JdDHD z5c4JOT_zaj-$vdi<})RzfemY$5a=$Mx8-7bZ2d>{Ku@Ewh5d2 zOT*5W?AZ#kU};Uvy+eM~9Epkm9XUBvN1l095eYhr++IK(D)MXM($i6CPsQS$nIG@! zv^T-&*IVYXk(;_Y;>c+nGThvF_lkXpIG$Ayp??>sfW8!S{34F+Bxqv<>NYph*rklZ z5kqq{zgE!?+JPYSxcY#sVm$pbG1ucO;@0&`OdSTjIQr!r0cZW*s^8)vN;(mze*qfY zv7D4bco_|h!}#mUkABrIXnf5$`uZg|#%uZY^(%iMzoGGt?U#7$B^{{b8t0a=6cXnR zoIA}&SAKrH#!KIL>38gSJ+6;uTUW-*x_x!qb2g`40y!1;kzWVxw4`LdwuV2&0+tQ&GJ91GB9IfB_Hdu9W}) diff --git a/38_decoder/db/38_decoder.map.hdb b/38_decoder/db/38_decoder.map.hdb index b3363bc09c9f55ed06992ab9d8ebc5ad7bf66a24..ae5faccd760551c8064c07a29ed0aee0cc993b0f 100644 GIT binary patch delta 6806 zcmZX3bx_pt^EV}+pp+m8NDC+-N5jDZ(%sz+B5@ob`Jubx=u$vZLOHto=x&gf?gsDY z_xGJ==9%Yt&+NW-_K)42ot@Y0?E4pYF>kywFnNgfzfbAaf3Xq!zgYbGzxW0HAp5Bg z)wFNlY$sNdX}f>Jb36etbGA=zc)0UY`tt_b@2mAGu;hZ-2j)5uR0=PY37m21aN?Z{ z@pC!7n4DL69t5_v|^Kdx0}CN)}CFH;)X4+9s|S8%jxsJ#}Ebugv02) z?Um8$Z5!&o&BA(xY60k}k`bu@ELL9o8o;&3_a(wOwR^@aa+I@+aEW5Lqj1vlkjI zFTbX0Yp~EMWdIFX+=i*vLf6{}a4mr!&NCZQuTfUQysxUaT6I_;1xICb_kfmsD5IO*G(4APG~@j5c@w4;D0?M7*Ql)=G6WQ)C})a%PDA#MH(G@ z%Fp)NU5~W>=KTH{drG!QdxYsi6MiqYgyWtnN_YgOE&6}L#Xh;JxIfm5nD^npD8qc; z%V`*=tF$=erjgqt1xt>(-<2WCad|~)Pi87Rbw5VnxMI{yH3<~fd#nmj{of`1e>Ey* zKOuWt^*Zkr``r#VF?9Xa z(bW|&%%~g^Ct+7ll|_-9@4aRrJoYGFNOV2hY4pq9-@k}dDIxW9_n*Djx?kEqJgV?+ z$waTe-Sf!5+aj2D*Q$IroP04@Qry?!Q%YLvm$ESBuPd@V94jqq!v5O9yOVV7Aa9|( znKQ!hTkaS!l`Ij!3dv77C45fIWy@4wP-p#kBZ-tRxx<2~Eib5HwM7!{bI)zgA{u*x zhUv%Yi7WWbSD`VSb}VI;7=E9@hRD%dgN`oV(9H}9=rCOaJl^n=?2ihvKDlm)lii*( zmTl9MxvS(?PI?|A!}G1ZBUe8uDHntn(+je55(L@6m`uQ zP%#&33oU-tzUy%%9{u2}EEP@bq9NRn*Gdqg#WIbRQtscy{VTMQPo+;>?fUt zSb(%bv%`kh`qNII5UfT^KWe;@(+uFVFZxi-bo%H{U4#N5(AI~oZ%8RRd@Yy?>zah? z>tZ3#EFqE^dzoaMD%+{4@}AkG7poXZJ;BM`A<{c+`2lsVy85;8n)xJT>F^W2x7_^);R|DBL!%61nv^CHkQ@ zdWn(21Tzp4l@gj@FgRr^b101;J`x6b$M9;PU!T_fGk?FtTS>aOehFj3kY*OV^q{q_ zoE4FH{ukAl?NcC#`=n!Qw2!QGsO2UGt^2tNJ6?m7bSo>|l@iyz{ftO@QR03Tz-V{v zk#MSJPEv8dy1LjpOIIHOQ!cUZUy@KX;NTK8C^zV5<^h%OS+q<<>9G=Wk^R16wpiby zQBW&g>w#5dzlGRA#b1H&puB!DfG^^sI9C&lHkb9SYwzy;(*tK+tFTt{;|cSk@60;7 z8Qtk0$6gBkWxQJ75_$0<XSX96kBwbJ@2+y#f7`_BrA< zLJFWE*Oim6xiR#24YFTz<0RV%r4n8-MEJ21KSCMrQe-d6@q&!{Sc8|IMh1gS66wl@RV6txQ(*66uX7{1?VbPzgPHcYPHG~XxkJB`$MvAc))aV z;VBl|Qx4x(y0VfVyh}>cPf81S6~Po4P&Y|UdYxrP<65coA`wr3JpA#G3oh=i570UY zvyPn40}1)DkaMiKU6TpBP$74c3JpaUbHU6y`tXC1%`?i1IJ)V*PRE zJ^rVuES&1LtP3bMXo#6hVYDF=gU(?8j^*Y#2pSXZLloX( zJeb+8*-OQJM}FatILfKRWs0A1HV)?;_8@G!nFQ>%xiZbq;I&c)-gs_@HP5Hu`$iIoA8n9yXo=}2)~9sM9F zeUy;kZMFFw1kH_JBIp(s%1sFYGc!1$2@?4h_XcLWW`UVA1>etyU558y`gXJyZG?$w zG}Xw2cPG$SqXEGms9s^L#iSgWUmfIchvr!A*pUYPmDZc9FCGtOvm_xL@oSJ^HDF8Q zd<%IN%WY6fXE%Olu4?25>w zZwtOnbN*(C)G?&nW}3^iqN{9_cvm-(2On+OCRXZQXyzdPIo>RRSBI2siiY*~mw=!d z(OECBfN2D*|9U`TBtGGKP*z_WBzCZn!-}_y(dqiNW-8ZBjyNZ0~V{Zk93ZKkMi2T#c9Dp*OynRp=_^i zM*F{Ro2zB)9B0!|8Ve)*c+v;y)Lp*VtH``?A3$Q73CY}ozcd{A++2y?p%ab`TW=Bo z$o^-vSw_@!^#@&lf@)lcG!F+SO{hMdIw;oYyqa1oD1(Mufg z^W&O$VarWriiW}HKfKrX{$p3H8@I#2BmJqrfuco_T7RWccTL6vC55M~^c#4BOu*EU z;{r?DzhSnP{*}z^e-JZ_NW6*G!-pn&Q@1tOLt6ah4)K{Q?&@A>yjH=2Z~Sm=_1Gm` z=&Uyvp=4v?Fqug?wk?Hk6;M5qS4Y`?=nU6GD?rq`b@YXIS3|kvXr~Z`9ZSH|IM*JY z43+D+S8jshGgkR!Q59<{FY$!r7?t5#o^dRjEj95{AZTPX8$7{_LJvc7FU4nWW@Sqq zDy{^YV1rNoTT1B1-@czXqQ4DDe4{DehKaj_1E!kf9gC28|Fb8D_S?pHmZg*hMM>o5Hv)0GaOSWgVBz!XWtmZ%qzali${VVN!jgQ=fI5h*=ILnb??0>ot>TzF^`qiL z9?-%yzX@A$L7oA0+Z-R6wf*gY0G}n2dAZ&9?VdZMGz&BKcV||@s6TFRa$$n|22gkUbkbi zTTT4s*LZ+50w9T4lv-ye3_Pqu_ODg_TeBo--OJb$L#6=C1f3{&@YmN8Y9*?g7A&Q# zFQ=&@?Vn1W7V69!*ZC9c9}|e3*fcQRg~~Rw5_^l|wLYCl>Uz)NgR(8IGxZyDgN#iL z_>koADDQStDWj?)L8+GpA5r zsr797Qw~BvwcmZx211ek9{#gUmWq}bC$bxyARx>5f-K^rq)jQ&_i0(Cd7cAU- zx44%fR7}J&QQa7N2^NxcatQ*;jIpmGNW^gYgz+##uX}~}C{JR8QSbU(UE$2bGNzyY z2=Jx|)fTd*9}K({PGzE8P?qE4Xe( z-F}$wujrd`+e>^-*(VAX0&}%)GGuByWXj`Ny=&F+wT#)%lhO9FJ%SqxnbI= zOADtRy=~r!F!9sh)h-SW=C+v0dfe644kA4VI|+qBarK~kZ=4fse2q&~*(}zhjJS)j zaxDU=<>UXA3~~N#Mec`h=tiBKZgk;7*gS1GbhX8utp_$y{WG$2;$>-8qM+%iBDuOX zu;BGY62e5R5~S);zsxTab_eQFAGzW?k)eY`R1i_ux0EnV&x|ikt*of!+JAQZ{K>)I z&Q685j`wcn_D%Qq0IxlVieIn!|+A>#1lTGU|jN7=`iRd6F9j5c>RGWq}3NOz3-7 zjygFyOMfl9tJluR%=Rxn@>Eo$4`H6pX4Dt644lo{b`7v|i&w=Upo@A`Sqq zrffeABG+PjH7Aj4YYA5~v9Sov#nLrW>WVV$ANJJK2MGp%3xnqGvaG#KznfKp9Qsfl z#~-WBj_f%P`P+0bu!)*JJH2f`D|4eaLU64Q=;wZl83|T0LFEgeW z32F4{pQRnmrQ}OyKwyXqeW4`&`Ce8Jx}Kujk2dRtck>74NDDo+edDO_j!CTF;B1-7 zZ-u3m;`AM-+`1Larr~QI}D0(ZdR8j3xcH+i+ zm_37rK|_3FI^J_uQ-Yo$ckZ17!J^2|glX8mN=}Ga!9HfwFv+S1BaR)$U?5;4HD_K$ z`e7&M#+wjT$m~bIE>OmDuB)Q3anL{oL3b%vP2T8Zi2WG_eGuq&fpPXFg>RJqq=JyS zT$^AP+Hq5@@1_EmRtrazw={7~)?!NItW&I;J~u)>1}SNyi>8EyOu!zObdbKB`qJRRBqj^Q_w zeLn&73cISAp>IFAz=JP@&PFhf_9|jn6n1nbQY=lpoXt}2<0B`(&%6i-am&z~_be(r zwmWpJX|uq&%yZP|g;%!R{K^M>(hsZ?X?T|zR~y82MSdvlstxj8;G~RFi4ftXLtscLyFG@E>u{%3c7VWqtP}iB2^?Cpo;w-`ZJG&59OXK__zg zP7ITZnfe4XuaO=HRpDjPyL%TW?vwlnUH>LG*0=Q1<3e*Z<^BS8vsmmUnC;EIJRoe7 zIa@UJtz7?*W+(ly^Y8XWgRrT1Tg7|NzkemXMS~yf*U) znUm72rR7_f*jERBIIQ}1sBX8o$5*qZq&+IXTsD|lKD2Dsw!F-Nxa;xEGAQfo`eB|r z5DQs+sA<|!nz3#|`XO4I%BJYDa=>^Qe=X`igNGPJ-Z$QuSmvcT_;zYT42%n#Z4XT+ z3+cHRvcS~$^Oi^NJoyZc|1=x*SOdcPDh9#_wnh$H^B2Fi<}J0)zCda~sje5?1gkwK zMqOOlR)V83H=fMP5GTz|Htv3%>yMK|1!eu$CN!Ys20#7*{!@OP-Q)g-te(Puq?F|J z&ZDSM_)trywrIN`^FTX$#6r2^Dm#lR>EUEoL#wj*P62Emubtg@2q7k51GX_|y$+r< z!I!OdVmNKs=k)G-XEiulygzMyq_J~ij$j~1uNF6_bbcFs-=SQE9%HwxZ6gh8hGxm3&AxWyb)S`DebN)*m0^+(y2UdYLAz#Z)dG>2oe+x zx}o1F?jm&(HsKkkNIKpB@M>4-xN^hTWM}$JiE8rGsYXK4VAeCeWG?DP>G4xa+tGa^ zkqbYK!;L4LTB9X!;iRqXm_ou)x~`Ilc&)z$ z?ri9+b{j)3Z|^x3>_n}R9-M4Rz;~)6ud&8(F{w1aeVZ4#CsN?kZL?R%H-c^S{2z5UTull z3Y2CLVZX3UWC$oyn5@Rs!7e&f7@mn~|Fz_Bx3#$_q$ZI!n)Wpyq`6;ZF<3<~fU||R zM6P1XXEd8Sx-_=R?LzwtWaA5{igys#R^bFieWSk6`EZIGH|y@z-iDo<<}K8kB3=l_ ztBzQyCE@|BtXVDOrNZmyy6B!@Hu47EDvx}dfb~JC7ps^ak=P8%AV~AchT#)t5Uvl8 z`Ng(mr`6o%$=G?H6;)28*o}I7!CGd4+z8*+Y&WtA6JL-RJ%Mu*?b}ApN*}(jkozeI zJ>An4-NHTdYE_-OhKsy6YUACmveSxfQN)HCI;()WP9GUZiSAQp(~xZj4ZPR>D-@zI zlA4dL&OQa9We#}1(@?JE$#QE{_`k=_;*%q1n_(}S|90xGFFN+Z&e^aEBna@HEqPrs z3iPYVhM#71tKMhGt#fGo3-ZCRyJuo;HsDwh_7aZO?kqJGg8% zdbw(L4G+Jwx+Fz5h==@FHri7uy!91c^dC!_iLs`$SH z&D$#7-nw&1By?K+Nha>QKaB1Y`?xR^00*O0vW z#Bm!^UN#)rabDX(8%6$EALy?DhU-5j0wE0WrjE~3!aN3Q#O4D|$dArpL%>}X3sTTM zP(YRY%S29+E1qZAdc#rs17GMBvEn6GpS4KMtx{X`Q*!iP)lgjkkoAS;B|2pe2CD-$ zpK2}ESRu=NE^d$=eE+j@p552@=&lSKHdij%Xe?w)d_E6&c`x{6xuVQ=%IV?t^+a6d zEBO=LhcF_`N`cqn+A0*w=piBtk|r;8(qjc+a%5-~>ln3Yax5D%eNJ2MI)Jw>&65;% qK9EmdrVR?5Woy1w^?ZcoAd@d~|B+xN^-r1NMHq>m7g7Le{b zJm8S{`TU-FXWp52|Jcv$XJhuC-I?7IvHFJzT0r_X-v1Xz@P9Fh=)YJG`7iq2+0P>J zX6{YvS3{9J=(=O=>|8w<`rcaLjW?P(?Fr-4I+l^h@c~s+#gv*57pde-$@d>5*n=q& z?kkB8K35lKW}jnj9Xv#L$j+u%vvVr2wPpmm)e#OqFkOjk#$N%d&u@Qn-VMpSkWW!tE=OIU!ZuyLxY5)ZY@hf zOH%7aI^Qb3q69!G^O2sEoutsHAIpe~=Dz#YncYkK+BXjgOL+8ydIy7td0*;tV&JRh z-F;@^Nn_RTz1-1WUeOXbVZ~%ez1sL_nJ`_CcwfYDl=9i{fn_OU(P4+nUZ%AqFE0dH zt%bl?d)0b)kVEhf{Jgu0WT9Y?AeAv&y~6(xz<(GKF|Z_WEocwVwBtUDX|tuX!}UOM zaB0+3@KzRm@Xnt!!=^BC(1OA&QMWi1Dp;w8LSv*^CXw-f7YYT>0%S54K5hm!lsP`% zcE5d_-N>lf;!nCK^FuZw=56@Y+TF3oWFXfZ%X2&E_EnRV>eIi6*1&i7|7qp_^!6XZ z{k1?fC;VPKgCrR!gIGLvb`0#dy!ZQ4cZ6D_`JH$-Bf56%ik1PIeC#zz@OqCfLAN*J zuUp%S0&66;m|#sdE-FGaJa`#jdey-`0?E=ZG5(8sdR5I}-I4qK1OFIBAnfOJr$YkB zS%*{i@XBgH14TLyl27fDHAB8E9RFlA+`!o0=&tAx<}Dd-Dbkx475RbHZhGDlby9#%|tC$c); zyB)kv_0?E7kCW~~fA~2MU~8%ztNaH-a#l-`(cfpSI>e`q6e{(n^WLFFPa1Abq3U}A zu^i)UG_em>Bco_6jI8%6gWBhtno>EOmrcloD#h6h84}9w4Tur0GZJ`e2AS@Vp`wiu zcrN`qjx81mMU>32&x;KeBU>}mj~c}vK9drI*WPJmbiN|-)_l1JKxXBL7p3nUD}W2M z>E*SoWKnNKA0K_|6NySJb%<#q7acMS|DaOxz?zz&#O8wBB-AMY+?90@xfC30NfXHO z@uFYwxDIMwv<~(?^jiIuNniO@W&c1YV&Mv<;JVe^>_Bv8c(kJX~ofoZC{xP)oYoVe&~yt z4&AiNmc4FXo2^K_XEa?HdYTJ;d-y&am1{kBKvm3m3X^EnmaV_5{X(D$`jRtHT6K`O za8@+vq@1kt;=l_w2G7R6bT>f0u~=;U(BHN>p@Y@TlG6hq+E8ALq+mHL({g>$xQm4H z_(65hK@P(TwWiIbX$>;I<=NRM`PDv)WaRBf-C>@Er9-(1Xvclq+!mv~5v#Y+q{7N@ zY2|#090QNu8x9dg)h`61_TJ$F>u5M;29BYJG#jY85`2^o*$7YAwWl|%W1eOcB8VRt zpoiB|M*>C*g0%@AIs?|V39|VK3^wduw8vm%XnQxC0dJI#eW}sxEncQ<7F1*T`#sUmGz7p!+Q-np*5=dYqK82)>c}5 zDyV~w^7}?jS5`UBF8>)x9Q*@h47pty5J6;iA^~K7aO#_D5~_X$TJ-KbGjiy0Zs{)qyA?ch+Ag-QH7hMDV60#0Em1S6bG{pMK<8XKiLSL@IY^%FGP2 zuf~hSm3s+*9G`Xmur#J^wyA+T0o3;^gX-3kQkOhaS>pdQoY z5`lG2h!JJaV~RD!N;2A(m-?w&`1%I?*ALObhIoAz%7XWs?;5RWa~N!cX9fqbaL*7# zz%x}jL724ddY!^B_Fb94x;O*}rqVj}3ubZA-gsVqq#VG+{60|A`!qEUXCi{(ygFij zTFe!EMEJUcOw|Dl2*YmKV9UT~BrE>PL4zqAsfEpbyRGpDW;ET29$18X#v`s?sU9XF za|Q;qZP(=>%@(RG3CNtmfe<)`ipuLKKnsj%L$pz@KdR@-kxB{7HqFo|kMp<C2D< zR*pc)G)IPnsH4_YtRSE~>1_N^{>g*pb=);p%q)vxSAU6*jEPnZU`z>fCg>g)P8#I) zf?TU?M||_JiJ4NSFb3|^@o4}L7AWz6aD&cxHlym*oJhqRy3mG>sY;8M>xUu&QdDU6 z?Y$crwzswlajd017KKk+OWI($g`IfZsk;Smj3}g;5p)yaq&ZS1A7|tE>?#UxSnN(} zC;7JkIG&do-sUBMH%xISRXdnuVpa~CumDMD6=iEA%lwh(ivLkQM>V&F!p)CFQ4R(J zxL`R+$gw*s>V;VzG{Dx@{q#qWW@hzzFUsPDQuyqjC%x zmdOu0Nvwm*)J`}l1JCp)+ zhWEfm11W&b`b)N-lFKtAy_8vfjWN5wR;U_wpC;1iSkOmFKlwdolj0Vw7(`+P2|Y&H z1ALR|&waTb;*?wDznQh%Ceiy5g0wMGpf z=Ikn6X)@;82T$?Y9^ikyj|-m;)^Y= zsUM#yJ?fPBD9+@cqW+7MMk1!iUIB!u#+Sq-{9A%&q6b%- z>;Uqj`Lm`=?8|}glvb}$H%SN?KG?s&8HKBi4ET_n4SW0^HP^;dwg}6If<|&>3?X#r z_Hc_zj?ApCT;EEl;iWX05+gVM8+Q|#tb=VeGl!JEtq(;#Vp%Rb$t}7@zQZKBoY<6) z)bEDjY&X*{AvruWN65M;{5%we*rnFDnSp{3Vo4mN#(nFBw8@3vPy20 zBu^98rVXw&RUJ)4(!+HHVf?}B6}3c&=E2@|#I8-D`S6z~oQx{@PhS?!J(+0tpZXeo z4Q69zJ^rI*;>Pd#o!iT-7cpqBod4x~rqyQP(LAEStp zGOVVmO{03I@a8A4$Oi`j#cG@wHb3?}gZde2YW{aqMK7ww;vz2<6D8`Wsq-udS`|1i zl~)y!P3oNDkv+u9tE9+Tkm6%0z?r59@sa9*n29nwYhsvl5>h)7X9^>0)>5rZL1w`{ z3lRICkQvOJ#W@3kkVyaXX0y?)GPvg#M1ZF1jjC$L-Oz^^Z%<8l1yr<)w0?0LCb>=|&wQd#@xvq`n8bQnA;(plKV! zTEVHZEX(!>Wv9fDoi3cp{Spk^^A43)+NBLU!_a^V+>?ar>jPnmZ-0ni3w@q~fY|J) z5OeR8I79_#U~Hl3=G?Fp^uRmF92<-&I5oERDY({%4kOHQQpMqsqTWWLSbc2{F(Q8t z($ylcLd|;`sf$thHvkT{Y^4vV=*g6)i1K&wb+ExD;RD3kHAK~g2%Qr8NZ?)4nvCTbq`M34JgvrvUHuk)j%7U!rp=$ZyY8u4RUxr#Vg ztFY5}p5VhCUK;0;!85ygsy{wn9ycO}->ErHw2*!bARe2R9l)y)F-&{v->A;aMWYte z6M&RdnYcyQFb;!OTP<#g_+GeE*oqtBT<7@f&O8Wr91US*XNDG3RE zT{pEY(k8K_YZj6s+GZ$KnNgSd=#;)RJ=vgUwluuOp`IW4<^VDMC<{_i@fFKLtR=PN zJQHO|euc%f0hd9qnGyA6^7q(~AsTgOn^!pXioR)-(?)Vd0qcDTBdZCn%Z0$CzcIE# z;Fpi@-Li4{Dh()gO^C0 z*CSpE{=T-|Zz_DykJVun+|mtDM6Y?4*L!;Ge61O)|s}GnxISPS9_(DjhXq*b>WEgBMSA2SnzLX=#)mHzi3qP10YPn ztkd|1j6FsxO+l>B$cW%nP2Xi--zz00ufX!+=B3FcmM%3@Q)5WTm1*ii;)7W$%@6!8 zrA9}(jTsze_)xh3Pz*W|m(YogM&d_LooH78?GNA-n(%a5pr! z=Q8g{$&Ta0JBe=sF0RHf{ol53kDnBzz6c$nbF2EWa-qC+UBbw*dPPnb{sNmNsW{XN zi8K$`WeeO?j3o^Lbv~{W&<)EV`Wc$xsY93!Si@o|LX-p>YFfJT@>Vwcl9P*HO}69( zC-?b;>@lj*wf;p}EaB5%5INegiwE3WPU`*f`)v0hLwr?=&57lk&hYIM<{W7EY*5nU zLaMPBoN~0QtaX2`gy=Ej+^sY6a!og_g1Dl#EMeBQWAwSe*^MOaj-R*GmB4X*ry21Q z(8isi${U37KGBtnSCn`4-66Hz@TgDAS>#M>f$OQs`y(0;hF&}&)@#cdUH3uNoXR#R zcd!a4joC1mG5YW~?gzDfHP`UJ7=)h$`HIdN_NQdHHDgQBhBMjTR~j)ha|hHp9i?Sz zdM2Vpn#I}ZhMdZPjUf{MzwjvEl0-pZzr~U)st2cJ??l_uQvVlT^{vg*JJ6ItzS+}b zcY9<;AVP2ab(^d6c<&x&YEHBNZ|~U0352kOri5MUu92a9i=TWJk41mVn|sizn8rQ( zak*d3u}TzZ9aib=WOA@uN?GDe@80^}h3DDB0JfL8l_{*UQ_zu^4B;nay7k=txYS(`6 zT@Ad69vGz6nI_c;@+J#$KiNRJ3I5L2@aQ|J8Y^pespOaA`Z2UiHESug`qJ{gdwJ0r zTI&RKy#K<+bWoO!H(P_hRS%f!zWY-%$5G*qo1TW7MBvauzkVJ?bodkPslAEvI=__Tv@(d6L5oZ9oNdC0vITfvqdCPeqttx% zN4Ea^aBWDda4xQY3P7iAOfp0poq0W8;w}AmG;j5KgbGEPPv?`rk~4YX*iM<)X7%3v zs<4%2A$Wp!_^lmwqgrl>1*;Xq{1NDofQxc+%7_#Ior9?9X3Dk64JXzv+|y!{Bfj8x}q=&rA5lCZ`pYt=n)s|IRB z+jn1zE-s^dgfe+e!{z)nuabzY6j}PESJT&#F-}01ti_Mj^-Ge5OSit9o-unTX1A;G z`4hVxX4{tdhdZ9tg=x;>i;l!GBgH1#da@dgLd91a@6snU;AD=|CZisd+ur-v8sAfB zWoFzF_U31&++j7HR7X$=QqRY{;-joBifuj?Vy-HWPf!6zSa$!vmuB1+{mbV0oq}6V zb`W6eUe?nNq2)BN+suqWGM6j;5N`^!s?NkwW=tvwD=j7U7}vGNZ&2xpP4P>7-MKF? zsD=&0RAfti-WT~&2+Y0dI-8g1DDjw*eNV;;M7A@^>|6F$-21UAFaZiWWA6GVvy=|O z)zDD!>hvd)55fbxoIoC}Qla*m^X!?jVdAMM-xmRbYmNc*ztSoLqW@~=frynuhU|=u z9N+0dAMvt;`1$s|2sd+^%c0dF`Fvt;DWIG2*!-Y1FllpZPqOdtNm8Vq|4T)%tp*TQ zxv_mA_H#=tj%By$*DJ5ly`Rxg%)^>hutNaL>Jp9j&d9(o<{zaQ6@yt+rVx$uoaGDn1T;^-m>qi1{U znsya_uOn8}b}d4>N@Ty`;jV1HbN3eDr2h)D*ng5CxW4G($k%=4I;1~9VXGCmcu*CK zOClH&X+V3<3wIh?ekz*CJj%yy?gcy_kuwOZv#np1F|h+^qj}@hJOm*3qwN#wP_ycEls5kLev+9zmtu zZC}rK{B&LfX`rF#j?8xpoP3}^kQ|5CFgHw{_{u}A-_M2B-{|g1E(7+&1&kcdTot58 zTg=)-C_Bg}oJJj7vU=YQJrJJkzDd{;HX>B2Br=BVelimB6pEG1H(Q z2%d3+iq zN582Q7K)?wrWW$1#C@QHdOoGpUshhaJt{bw$`kcNptYe;hQiT%xhg_7Y36h4i|E^Tw%b%|`e5#DhH}b!fhLi&p_rnfcggBlJl~RMM(IqFkbFc7`CTFx ze6~CXBq5(IZtnH)xR`iM)fV~lzu9f`%LL^m8*U|FI)bK>*(2bMY?K+|MWH*ysl4Zy zYVbSl{Z%8jioDX#KASgHf8WykY=3qd%=5)b zPKNW>oXQJ^85X==t6BfDP%a@?W%4&;1%EW8P4MUV<5UtFi1N>bvTL--RXu!Ehw{#= zK-+W->SmmIGEkrC-Gik(0!uqOefs4Abg*mo_3805rWnXa>mNbxcGK!9CjKI~WzAWE Mp|IOK|Cy-&2Lj!?$p8QV diff --git a/38_decoder/db/38_decoder.map.qmsg b/38_decoder/db/38_decoder.map.qmsg index bba0833..7d51101 100644 --- a/38_decoder/db/38_decoder.map.qmsg +++ b/38_decoder/db/38_decoder.map.qmsg @@ -1,7 +1,7 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 09:13:04 2022 " "Info: Processing started: Mon Mar 07 09:13:04 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 08 15:12:38 2022 " "Info: Processing started: Tue Mar 08 15:12:38 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off 38_decoder -c 38_decoder " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off 38_decoder -c 38_decoder" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "38_decoder.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file 38_decoder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 38_decoder " "Info: Found entity 1: 38_decoder" { } { { "38_decoder.bdf" "" { Schematic "D:/projects/quartus/38_decoder/38_decoder.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} { "Info" "ISGN_START_ELABORATION_TOP" "38_decoder " "Info: Elaborating entity \"38_decoder\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_SUMMARY" "19 " "Info: Implemented 19 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "3 " "Info: Implemented 3 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Info: Implemented 8 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "8 " "Info: Implemented 8 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "248 " "Info: Peak virtual memory: 248 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 09:13:04 2022 " "Info: Processing ended: Mon Mar 07 09:13:04 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "250 " "Info: Peak virtual memory: 250 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 08 15:12:38 2022 " "Info: Processing ended: Tue Mar 08 15:12:38 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/38_decoder/db/38_decoder.map_bb.cdb b/38_decoder/db/38_decoder.map_bb.cdb index f5593b635749e83d0861746fff95ec344e605d18..52e352091ecd7ae525da1486d92040d6ea7283cf 100644 GIT binary patch delta 241 zcmbQjI+b-on06Q=0~lyBLFlPax`OdckSE_E10J3QUL1TL9|WUzO>B^^w|t& z_%AH;IL^p4dL_wKEt~LN+vUpVL(Yj46#lwKuAN>yE5GUF9gg2TKL91 zwT54cA<^^B#M^fpR_jfSpV+_hTR~p?1nbP_-sQ|Oi*{NQC*N7m zt887LT#lC6;j%qi~t0~Wi0>z delta 240 zcmbQrI)!yYm{uqw0~lyBLFg$^x`OdckSE_E10Ei4RTlFf9Ia*(8>Q>3->V;+QT{sq z3(Gu?Ga`*%X>wJ|0zP=VT=}fyP&h&HuWRJm>BY11n@;{=Xg@wje|ziJ%1F8Hyx?Qs zS1<}pTOzyjF7J+4Og}|`PRQbaZ_crEa@oEu<_E5xS`=?ON6Oj4#=GR&(@dYb@DDyg zr+aO>xu9d@6%@?l@mfc7Cf8j*(pC)4br`6>DsSomD7LF%i)^|{U z0GZgiYd{#od4Ukyq1vWm4TP2U-e{OgkF%zY|8+c@9|h;a4~F5W8DaX*>ZnWzD2o&; z6Z_Y&`VY(XVtad`nMo{ROoaA{Gc)oR!RPqjQ}uZqnfZC?S>DUpJ(P){fjRbnw~4dHd(7V_)2-(St;pu@PBM65)_Zrlii7?utl)xHvOp$ceqr8ov9W zAE(;Ad;2R{Dha%6s@I zEgm8~hTm*NYC&ijo$aioUI0jiJK(^amYqkjb_C$^^LS|v0daInH_o7d3mwK)aywWc zsw1v!!Ds`oy~*OdyT@H~D!XMUA?&UmUQVqp3>JeDefp+otHN3bEHn{zA|W!-p{_(Q zo0gTG1lcCFr0u^d|IR-xqnj#!APS*C!Dt2IC}KBN*sQ)3Z+)_wHxa(hqHrdgs72lL zTIhvH$Ppr_S#+!J8yA}HnBMt|8`ieKcLK1?#S-k$R50@bQdzdZH)^$Rs&%>W@)LE| z<0sv+@x6Z12H8Yi%E6No>w9QP(5M<;duob=?Ux?iHuY^2Nm#Wcm+aZ)M?{^HBKrgl z`iMS2pV^FJ(O7-Ssz70BD;Hk(5HM_abCNK5JbL+C^Wo1mJg(;ZGP3r|Ld$CSnF*M{ ztE4Vat&wmbzdTu}Ji}2hF{}b>dL&89G5@sa2i+?V)j#Ena$SFt=*=D12ziBku~O4z z(S8*Q6$Ld(7dtNHhr}9$>a|VMOHm1>9qA_AeyRq|1kprbx+~2*g~U7(p%*4qUVkY* z6(B(FRuA`WEAiX~}(*%4PlW&3P`Dbrl=j&~S(dm-hPdIvX7GCGst5 zuP^LMK6F{h8-H-d!KWCPbZ5i^1(=to4hmDNh|;mFf+;hO^~01D@2%mmcVlXP6}$@O6K67H-96R(D>b(F3Kt47ZGw6Q+pHu1KZG;8{b9E%`^lmk0{kO*P+0OZb3 zrRecSG=yljs4gb@f!2s`*>|Nap#Ku@xjZgzgzlMWXt3)H2N%gJuy`${uIzF9*;_l-h;O5N)soJ z>@n-V-#l58znf)pJI6J#&ZK!ZqRN(%a8{IzERkeiS#011 zpOJCC4S-EchZ^L~e|pO}KQz?9e{M)|ml<;ih4LWrH64uC##apwHGKu(#MYT^9qona z-;KO9ZHVqW{gq(VNd?b8OYojs-`~VB%}`!SAg6+n7(F52BpDv5bCP&`h6<_ryK*uej};HH=rMn;(wsJ{(dnhx>%uAU1{ zL17rBI(C=IKvWXl2f^p_!mDU4uQdZjiXSc6+w8@MeU zmwR4QMmc(O!1!BBcUP~-rRnQL{i*r)f2rtB?WRYY6zFe4H=f8pX%DAFE8j!RttyKbzY)&2|McqjM78OL3WfkVNTQ*``6SLD}9Lw(`yXzVnePHVSYGb_;{aCZKusqVp?BU{epU#Q`>O>vPAYL5~kcKgn zOqPHg0&o0@>S2{GZ--8`^Au#L6)y$y6kep?z(iERve|gd_br;27kRs6a=&>(2D*4- zPi2ONRQPMn6hA?s^Vaa-n9~lsQu-0j3e8t#JuC)cX!Ig3-?1|W|DO7`(>v2BMNDp` zR{L_vhMW>3_zQ{r?t|#;taxu%B-xIuOfr=}k)I6sI?HP`oj60fyhNq2?lRHCBubB3 z{=5$aUkPPp@ce#7mGVsusXNEwwIDmrB6^rzqGx0Lh|Sf-GiKMBk&qU#NYZ_1Zm#mv zTAwS@tw7S9d?ac8Eo*+f40?C@$ro~i4#Z?vHNx6HfF3PKlbR{(wXjgAh$>% zw;Z5==0l0aNAUiE3VEu?h@3PJBbc7iEFQ{(vME^rYkyWjx`pi79b~{wIu5aZuj{nD zC!NOa$7%fxg{twN3sZ;@U^V1dO)cBd?~D#fSobABnFu``c;dg+BX6o(?KvPd2daT- z=y*C=8wsvlmW4J(>8g=pKHrNQtPf4RTI;(KB4bEmFfMa)BPf3Slzm{!&U59Js^ZGJ z@<m|YRu_4PIVr=nJis{1iKmYhf--3 zg=}g3lUC#ov%4K78NB`H(tPslI&2X&L8SA1SpA`=vyL^C`e;q>sdC0EH!vM7Z^VQk zBCT1L$@NXtWGJD|nHoil>DbOgZ9MJpP!>NZg+}Yf^8}-(!z^gu4R6q&@WU)v#HnfW z*eg<@1$REObu#B&DgVnWOkFc$ZEl7B-sj{{a#x>cBgG(2MuMRs`FD>G1=9z;wed=q zkqNbA;+x7G89ft*9LpFbRlc}+)6tiLCWk6xM!u8g)Pyf()=Y!kE8Cz5B)NFmlQ){=A>bqx>?ukD0;!Com?m??K@&Hgo}BPF(De zuqY;EV`;kD4L}V3)^0}1|Bp3DFItpIL9zdG`@kCRN72$POLVWhq#vBxb~wKs&_U1G zG(2QB`Y)7of`PE}9BMuc*drw75h>Ep>*W}W@A60@7}yWfCcW4~vOGqy;y%%kJ?;2l zSN3+bz_j`>5pq!*1Lrfv+3KKUJVCLn)UM)!6H$O$bQIU{6dZ?d(9G{qcu$fcGqmr< zkjU$JZY`=oAWA$v(F6fZNPSHxv3{)3PaRD ztdA2ImUBxjweiL_aCTJ_i~fn2CL0gq;v)R0fbrRL zPw*E=Ou+ImXW~-Ah&@@^I`aGXdY>=fVxxRcsawK~A-?l9Y`^#HP}dYXhyINHTAKb& zhk;O+DzXemVHPu=5=HBuT#D@Gq}#eecd;;= zCoCHFyt(N|m}+^MVyogdC&+nd0QD&Vf&rK)%zUPHT**)yOX)#K-zx_9d>|KD6bXZS z0`S+HC|!mcBPMmyC?N)SY^*#l4U%t}EJRN4EwfDGXWrAhLwyo~ne0TLG3a-lGY~pk zt*BnF2Xutu8r7%<2b9)DBt^w)&Ys%t2U)$*P;OCco7GWo^J$>Bfn`rK zllL59nw>ItNNj7w)U?!Dhg9+wiY!Ws-u$CQk2`z>;`|q;Qa;8|=)QNy>V|x7vq-wV zv*WKS2e!bCuJNfSEYTcsH*C+b0y-aB(UkoOCSz@%9?9kG4_g%5&Jl9$*H)W}lE-HF zDR5SWzaI#%S1otWjyjI5E8~S>;FbfTlY@Yy&*iP2n>b=$g`H4L+-EFC%?JpNCEkWx zSkQhKT^A_GNiGu~)h*l;HN*<)3ZcgO;j;UsgGiSsDv!z^y=J9-jUYq*ugyY$pcSq2 zmp>`y7ZjM`XSx243O!bv)jWn-1Z}^aGL*_EC~{FNKFi3+BuD3<7*UnWHG(+_2j$a5 z0pn4d1L0r0e=I4ic5Q5EdRVEbXy-c|n1fZe=Dt?M_C`xxb-k>F^_(H^EN}pBH(;EQ z?ysw}ZQjmi##}YZR@LkX`bbE>`cBhqrOvL7sA>QHbPZw8%Vg!r!NFB7OM7Wf+S%b| z(iW>ztGmUr+{g}c(s!krWN^t+=3c3Q4D18HmzVA06P(Xv9>3MClRv|=VANaO#cCSD z?JDkd!&&#!RMq!(nEx!uk8e+ZFk)Q9xo!V^_KJ!c-2Cm}^4d73rp!r6+l;SYtt6{= zUqipM8pP1ioRCk$Q3R=WDcJI|5_9$`+Sg?lYi)T?RynF)B0_ya1`cAI=nuMcQ!mwN z+k=PAM#SBO8Ix1$*FJXE>`a`6`nW8HtP`tSZid%^1b-D(=7i(TUlC^kG}@9zi1p&) zs&twwGc9`UKGGDz&J!!01loF+D0@47w=BHtl#6VKlkLR$?j1FhjBI9hM}@ai#n?-w zXDH_FWO1er)M)E+!6YB`T7a0v!^TzAfvRbKcI%;m(;tf$(&|r#KXW%6Is^$h2kdmh z2S=YsrK3#GtyI=~PV9d?E{HarK4db>d{KG(Sn5CA33!HazeJtN9Gq`qgkNQje&K=Wv+?Jl*MyNMq?^MukgAs{zBjXt|BjYDMY$D#1 z$y61Zpo_T(?43_dAP*7K76;B7W7A`g%>i3MVFm~y#a`@3;A{MjZJ)x&V|g8oHUa~s zXquHkn$?xRATDa4n+iZfQ9KLKU7w@W+V_dFg`VD zX94mF=FFz*Sn>74VReE)oGCkrMSAa=RV_SY)X5>>1GjYL>#zBJmQj$5d}2PyN=umZ zN~N)(&w|=~B+tIsFB;ogL+V0mPG%Wr7($|ICZqCG@?S5I=Vt5qt}Dh~kJou`_yK%U z*Jt7U;I@V1C16;;q^Sjaeosy06QV2=N60KITer=;U#oFVb5peBE<9oz2I45%FlHMNz#5+u#*3Q3Ie08%1_eAC)Z(%{i5(Ulab(&oM zAE^2jmNtvV4{t)7+gL5N_;o|rSV+MTPr^MU0na}K4Aj+B3Qu&?vVRCNV~2d|L6L}1 zd+C3cmP~@OdVgelGDyvrhp`0#k4j~3n}$o+mIa9D>oz{`$O;FIOy-YE29aw%`<=ev=`E^exFr*JqML6vJ>h>a z&N_m7bgBd~_8fn?OYX2Y#s_1r1c0ezngO;ZgL({71LWY|uEsMug|yg|Hg+CF%=SCs z^)kD~OhJL#yX|7-p(txKCon<1@rU(CO9f3>%gkB1l=F*x;OVGXg!p&hbKd8{z1@X7 zhD>+YS2N(dhG@FL)w}(y&m9vf=K)(GL&Cge&W%qm|MW>;UVM-Pbku+(T5mei)6;*> zstAl{7hS!PkHuw@9rV3eqw=}v9Sh7OR8`2Rjm(d2wbwc_eKT7ogALA$XG38OqqEn| zAbo4x0L;e<1Lo&kWTibI*r&TzBu-XOf^H<%#dcWA(4@vM8-Hi0d`C~`RvW}Op-64y z5i%A*nE_oarU-mKcu(7)&DFaBA&H9LnMICO;E#kCo1MQJ7kY1ue|2E;N7F zq{O?+tokBN0uQ>NZ;Gd}-%E|QsWnhReEey=TEsW!Sm2P(8(p$FB zZ3Uc_TW3bm?-#26Gt&T-=kQ{9eKZxo*06!RoAn!YzKPd8L5W^kvnP3pd}a3~^OyNY z9ekP%I$VCiH(>}HoQ*3oR_%2<&B#wQ--30AEDpP3^}nO^Q7OHok7@3tJN)GnDBjfl z_1t&95j4O~qO?n
    c=nO~if!;?H;`@RgbTHa=4dZlZa(B_W~kk?)tiNuMt zn_l}z4&HfOrF+e--$Zr&iG0d^>sOLl$#B;%)8rc&;;?9O|CD z*FYBf$1WV~cuUy=WSbT2*9klFueG{Ar_vsOSsL_k7Vq!&a%SU{vZmX#DKQKUl}mWGv=1*B_%r9-;Aq{N^>Q0cB+ zT4I-4@_N7T&;4`fnK{q=n{#H)JZH|-2<1THwSZTc8~-sYy8p8C?f-HP!++W5=1!Ks z2eaXaUc=ZI%r*EXd|e{9JnY5O?MuNIQ!xz*$rWDcd310Bb#98~{aKm>iXu8iH6-zi zuMt1CnTbTG$oLxyT@~NLwAIvU*sG8C4d1Za^+ied)w^Zyo=5>wlj$r!J32vj{6;Ga z`3G}^`H_p=y{lcz-MKWQz4Aie@pa^_I!-E&5q9~M*=v3r)}8&aqhK?43db0CB1`MGS}OXQ>i@@6R;r3f^B4<> zNwrW?v1lzyC(tw?`m)i*b1CjMs3zkG=|tRgZ%Wi`HqeQ9)XD6?c(t-{g82VpS;kd$ zoJGGD8@5DJK}n%uQpci-w8eAZ4lS-V`}*0hW9bRA>NGR=G28ad{})IVED~Ld_UZWg zP9B(&t#K+ibXFiVgN$FD_DyvaP92=zSxkAjpa)F9kPC${eeP8Y##;yHxJ_^_kl4|e z@*r9KNaAck3%%}|UUQ>~KeaREke5lQ`pC&5AIEfv#ed6Bb%K@01bT= z(|Woxo=*Jht%eLCZUyrZe?NrRLkb-Bsg#0j=XL-)lV~aB z%EVooMI80NEGxx_f>es*ic7TT#UUCC&ru~^rPN7QXa7&^HG<>cQ%68i?`a}zXu>Qr zu5gupu0GGooo|WKP2bt6VHP(ExbAVITlup zEvUo|`K!(pgkeUHhXZ0Cv?7W-u(@0iELJm(ji4ci4%M8|t^3qqn^x2mGb%5(g?hPl zZ)7>Jzuz&(a3@9%0*3VURhx4@8}Rh-LHn;VJf{ zI1J3m@7E`gDv+sV-$zUH@fjhLe?q5!XY`vfFd872Q>Tu9=T=(Is;+E$fI=N=BxQ|9 zwWaAj8_FI1`t*6uPs*PUNY8Z(|ABznZdg>slv{C&_4j#qBd#8hd?*yi&CW~CTO@WB z$(uI*B9#^aG-F%+|D;(7RkC>oaLgX@fm-g8L5vC!wy9Y1T`&4^gL2<43LGnF`FWz& zudP#vVVJpyEDgCx&fAB2TX#QvR)XRQ>l+|Vgv&)nx@AQ5YReBzXvONw&}>D{Mfko z?SzHE0(y5RlELp)saIi7r`!X3BdF3l7Sb-`o>c{9hgcq{tYxJ`Np90*f8PuFA?t`i zH7yis6uF-q%s_DV7!uV>^@78pbUrd>7u{ndbEdhqBVhgajfQ=FXkj=y8leFF@)+D^ zg#q~FhmQO@DloS}1lf3{dA}I%f~aThd*oM?%>ExV5$6T51b;!C*%?*Uqfw6oQjS!B z1S}H8HqaMmg?RuXfT#tSvAX>lt$_=Mx6(y`mgKOB@|m@7_9({;dLLBxB{1nM+Efm5QaOYWRk{T*+N3H{FiBl z6&E*n4~^0R5gMX*h~R>LeopT^SEW2;uC@e!Jr7)x|53T(Ol{oOzb2?=+mKl1fLtE& zP`dUMs@}HZUW`X=BY&+}m{Qr^4ZjuoP{rLD9wFH%BC0gftg<4-zHf^gJOPxsPl8{Q za<>=nXxq->N_X{|Qil%1KEETlOb0F!Ef;>~F?@XdP@r3Tpez8g_(GbZYYxMD(~WLt zli$qGBz#>rt0T=yHhV$)+Tcal5X$VfV2J#j@?vfF{ymDg zFwE7BSce>$enpOsC-jg4oE`81?@!e5tlEihU_TM1Cr7VxG>X!@7NWV-pi|0@DL89^ z9pX_N44l6qLC{{oqy6hdREiab9^|VJH6i|P`cbKO;OK$BU(~@d!jE>(Qwra*P|?KD zE>Iqz1U(gh^lEaA944s_(BU_a7-=KV-d+ah0c*9=eylE$>Al~6 z4iQQ*EeEW|*Apklfp;mkU5)PJe5I(X+PyHk z>qlZ^9^UV$OYVHXV>Hc0eF1u;{cpA`@;>xxwo#=B1EAwnP<&x^VxsGw0YE}$TxvQx zb>{|fJ3}tg>RS{52=EdZ$rc*D+5fHg6%mEHRwxH`(Ech%i*^X+v_Abb>bZmag%wOQ zC79OuC`B0e1VrFtKfPP>yz%>)9oOh`QFqKph?(reAc8U38lEGSPf^e?)U1m98{(!$ zYR_?Z{9IN1+&D*z!`C~Sc4E{Qw?v4@vRo@GFzUiMYY+j5j7HFtsdD~}IiI|-1Sw)0 z>5RpU$`56han&CzJT+LI{ll`U=ieT`D-xYKsSvX? zQ8Bwnvt|Ic+2&>Yi-(ZQ#<0@5#@5?Z5d&h)c*7vQryq+;la zWsm-jSRciucp)*PEsAxsMrj+nKh63(sx>>GdOhC=&kA!B$ zAG&CfTABOh<7fs5D@m>iAu} zorLB^GkI+ewNT=kqSz5-?!5$brU2Ns59sp)l_sO^$rl(H5%Gvb9V*S*Kc^armb>l_p8oA{dL5^Zl>n1dJhb-QOGZ7j#ykZ{JS0;U(a=no z7QNhB_E&@>gk++>SiyEP zcYEeGTU9u>Y3?D}IBQ5G{z>5Qq`&ftv`|XBx`+c0!!6X~prs_J!~5#;JcAE6zv9pz zHLD^+g-h3$5QZPGC^Oh>QXLAlxdf;q?y6YmamhZWNr~(-p#IZso>5{=5h7U0#(+k^ z41_trIrs5|iVBPxXqp>rIN0|b5U!h5u|KMBa3K;Bn|2u_AXK5w$*4{g>a7)~_g_~C zBUMbnrdKLZXJQMl`OX$K3NaNqV$L-Gwu-e_YWrOh%cheQ_S3*3sqyUHajn8+f6p>R7{}!?w=wL5CviuyYb>ug%x`RmLmO zU*#jxPaAz(_pBcQK9tVr`WfO(VnUh`BEz$3eXj2Pa~!JlUT#VHOFgH@Wdd~iY?1b4 zD$hgn(iL?e!QXS1TgLe~56WN_$~%L;A}`@HtX-c=>wZKtk{Z#Z;$C_njg+MNP{#E3 zyyxC3ttA^XC_Q~{KbM7=R#)ngm)xC; zB|%H(t~afhs5e4Y<@Y6AWycd=G!^cXBbva8J?dlAS|3kO;-GTaS~Dr>bT)W_#mu2yt?CD}!}|eR+XpJ_7;=^g5+C5tvDn+I%5%p!Esq(t*`*)Z*AD5s zB`dQuS6>}ZP0i~iYF`Ko?p}HQlx1d_Cd75NB>lWz$zZ`)?-25OSa+r!38voo7}9~y zLbCI6Zt-8&=DxPdiFGUSbV2-j*}?Dc4sTzL+8%`IO!Sn+|J8x57AZ2Ll4npwJ>POC zT4#W>Z@Ib4$wd3Yf4C>m16I+)U9 zf0p~|OZXcxNt4Nx`~g1wDz(kuG5nG(0;XAu9*B4ZU>p^UTTXph>NmO>u|>sFod~3C zt>ZdQ2PBaz;z;bD@eHjhe4Lia6B)_NR@@dwQpOx(CeSwLJ`x{DOv`P^S@)XKNBx=8 z^Pxp=!3??&wYK?{b?X~W8SVo?jAbJp!&sv~(|B`;)qHdw8NJRNhtzIbe?#f{rBganN_c;!Ftx_NQ{c!k z6W?1S`5@gAG-Uz}B|Rf;rqs|na$)VXxOzC+)?UxLlcGC{o+%fcn=snn&gMQ z@$Jt+&FdW{Tu40=?**#@W7VQRgdN;Ztwh-S^CxQd6qxmdB&i|I(}7h zp@?><#XKC(InS6RXimm?;4YokbJ7E{a`Zo#ue}m=(SaL3BgX0gzMa`>glnt^J*ytT>5Db-9JuvMF7YfAMb61x~RTDv%@bQ2P`210%wY>n(!GN)PO(aj8`6X^tL6U*oAdN(QenpGqV)s9b1{Hg-li~q(^ zf?1iaoV#plHR3Q-Ap92kXG7i0VbvRvC)Sitq&_eD^L*LIrUl+y(aHKDV?`O58T}@MmloOpUb^54aVD!Y7>5F)k}caD4THfpWGpICf#VJ1Kiu_8(LG}h1FH#va;7@o=#F4PEj#%&5eyGywx|b!k z-nJ;SF10?Vm-y+oLVbo!OFWgxyYyI=&%%OEruI$FBt=*QHAwM-GmR(7SqL|icwg8> zg63%+?dhMpXVsUO3Ba4fkakiEpu>tmO5o zMi2|=wk*?&nQ7EMqkx1oZpR#)Q4FU3LRVvU`pE88qb7m7{?M6CDOOotd>l#}9Xsg9 z_UteXLzt}z;hJh5)pM(T9bUe8;pYPIRXFxgmT9Fwr>qKhc%NJCEfc0!uFNZ$OV}R^ zy8X!;O7(70<53?&ELD;#)`>#vOpb>sl@1+zlbJdAArrYGUQK`h3{RWp;`%Z?z~tlm zA{L2g`p*YjI===DVBrVpT`y14Z>#hg`egb8_^Ii@+W6Kgu<1#m z7ZP8eWwWsaA4|9pDfsQ*Z2j=Z592#XkCmJ$RT>zRiOy)ubYj%>Wwe0_b0H*2@)vwg z#T+7QTH<0Jp+~h8GLTf*g4E?2C>e}5`^9?WeT&U9iLf=H@YBi$6GK#W%02b->^AB6 zn+9Ay_r`-PZuCZ8et`=H|FV3g2Y}!eGrzs09SXr%WLla*Ea}SSx>Mk!r=>RSLy2J# zB#JWpQ;KHujIYJh?qsP$mz28KyG$cPOMQ-c0$r~-N>}7(u&2Ezck?2gdLxK8d+%|N zl(yC`=JHl$`2X(tr4aO__BT~ID$Au#xL=5Km3Oq9?RKd)Hk|-!fj!o@1^yHi^EuA9 zTwqG)5gfRJR&oeQvm?dK;EP-GwTxclW#*grCpkm0-r9ae@5+!nG}B~tbD**20~R|W zy6JHi-!RrD#v0vBu;+3J??j1pvh%U^NysG>iauzJ6#4aY6xcPjx7BgI7kQW4P?)^j V!K37iZ~R+-7yA0&fBpLUe*h-C>U01A diff --git a/38_decoder/db/38_decoder.pre_map.cdb b/38_decoder/db/38_decoder.pre_map.cdb index a1e0f2b5b8b19ccdccf31306038386a0c313f533..89280201c7864e3bc7a6b6381aaea0d28eb8d093 100644 GIT binary patch delta 679 zcmV;Y0$BZ<2%HFzR(}Np00000008X)00000000~W00000004La%$G@S6fqQrT?s=N z1Of?^W6(*u6Lz)D5jX-HHn0!3$tmD0ut6Y%5Quq5@qdnA#r4`wQ&fcF$o*`;|9_K* z?sQ$(H6etfB!5eWhLv9$tw~z@nGk8dF8M=C);HYT)^mARn1AnGcc9*VmXw>8E;lV} zdH<4de=8dTYV^1HR0B0~_?BY+DyeA;=9W5ge@h>!twprWkTo{zkv;QhKQH<2+4piZ zmColi-{A25VAs%1I0_eSl4OkyF0u!{N3#cc=jQ|WHQ(T(@2ut_jAn1+!`f)}jxmv= z+4D*4Mb3VweSc)fU&qhm%5Yk&Q$;(mjKN=$eE8_`lfDFg<0!8g@JA0L;4dd5v;GL6 z$s6+$+bj?Qc)X3_TKMtw{3|&g9>{+4R^<-fBDk+{Y*UQvorRJ97Eg(!KUhM7)~Q&Ko@uxHt=;YOtjFNu`W(mD$ToozwX@q4R8gje83DC-x>`xb4U#{1totDC+H zTbE~_UwF%~dBcL8HvsF~N9O={--!LwLA z&ukKl*I|=ALRZQioBEfjngcodHYBb6Oo%k!l>DJ3>zCc!)^mAVn1AnGx3AuPmXw>8E;lV} zdH<4de=8dTYV^1BOanD?_?BY+DyeA;=8`({;az>Cwh_@bL)O@=NA}F4{k-J6XWz-u zR63v6e1pUH-hD$i;V4|RNs=`-xX2#(9?c%)ou3cf*L;JEzO$N#Fq(Z2AJ#^*=f*^i zW-lhOmpS{L_J5Hbe;q%ME5m8AP8IFMG6v77ef0Rr)4l|L<0!8g@JA0L;J1>IS$_o3 zv9Kg5j@a1wkbw-&%#K5i>E}=AFL@x`m;U7 z$l!YE#KtF$sxyA8*Zh_GjvirZcgU8VG&@R??VAjCf`5o358F=G9L(NRtT|OawHtIc zFe}{}Yv&opJ41m;;iYy#!5hhj zF3&!{LVrwE9oTEh))=0A_cnd)u=7Ft@KN302Xu5Ufxl9w;~rfRCeIHYaq8QBMQ5>~ zyXko7K52cOO}+F3f5xl%yCFO_y+7jAKO_6_WW%=@3mBiffUz#r%oIWJ@Cfj{+AjmVx0uoy4Rg;uw5J;$FRB2; zWzA(SXT;4`roL;dK;l51M_in8Oa*nW4)6@>Oy{AG$2d$CYmOd=3pR?N%E~&=TA+8t zP%oxckh5$JM+~5*rrUx;3OdRoOL5V*4ieLoP5lmyP@1^JrU^gu;9RI58>z|DV?^+q z1Hx85Bc@>WGo7V6{71Z0vgF&;Buo|6#?&^dexw$CI#M3fpAchIef66jh6Rnpu8)kr zm6a7Qmqg1pF^xiP8(vv*^VIWFG&Sr(vJV^ydUW*?cESJK@C`u*7Ix>IL1~QPK{)&# z#5HGQ*5b<6(xtwp&N&CtS8`meC?-Mm?-$S~1QX!Fb+`wdjuIW^#~zwp#jNv+LyadF5On~1FK;vAK|~BXlePQ9m)h7FvKgLQ zWx}axpA&T>s8BIUfL)O}P0?|ku9C;oq1{fE(W|9tONiyjoBxOzCU_^MA?p-0GkHNs z&BOL5HE--1D~3_!+ppYs!`Yc@8+~ZqJMjhjeEhtbOLPH*?ZsX+s)uZqTXWuEKDF{K z>pVcsR%Xj$wV8oSsqc`WzsxYsNEA!NW5xtNR-0#Lt521iKb`mmFZ+>amC4vJzJSS5 zG=%<^XC|gDO-VJTp0l3ZzFcLYZh?2gY{4;sXwnK)N6uE*qr*30#-O=HPMtO5aR$M9 zMa3T9_p=!4K3wCzH-gXa?VYo{G&jFIKnENyy&TRKx+fkd=4QaSRCk3E3hGCGGL_75 zmX6oKdl^`E^9mf-6cNL* z_NqAURv!@K;?EU{Z=bC(V8R zz$z|Ks0FpV{&79^M>*HaL55PhszvG!cRQXwtKkMUY{DiY=e%5&lDwS4^0A;BAI5jTOl8#n`Ixv5M z(IVd!s9VzK5`;ltN=p($Wk#-?EjFAjH_$0=SPAZHHTuZS3KR}00m)um%#ixb4w)np z>iDxT-Wse(!$r@UgxLIq2uu3WXi1}lFr?&_sr(T&=j)caV3QZ!)SRHBM3^dtFm2@W zz4oA_(^pvLFQ^krC%R6$sSCBs%8L%`NVO*uKNszh8@Dwc9?Qa}dU)8e;pASV1o2v$qo8 z*DW4CUmAa=Ve_L6--AT{c-;>P(2gbA($6VzjvL5Do-)$gTGXb~t~~be8FutF$rTr4 zxY*cwc$q0MeYNd+G`~S094Zw&XC2=y@!{$&!e7DLiTh|03tkkfJ~KT}U^2nL+w z;uN#iz{;va4nS*6D{f>PyRL436fZAEWQN^w(ku``S?i>svpFxMQgxh_@*)SD{E0VN z=1Xw?tx%~@t-F|J$4Sflp#NOg72j@I0LB!?L zJMiUg??DEx2s`vqko?B#mR9T|{37J2$K;VPW{e%d26|!ytt7%|QC0=_O~kd?aq_%B zD*^=%4Fffg>atF$Fj0$*K3=!tm?b6<1O{ud+@PUo(v}Tm1zipFKS&(3o&96(&U?z5 zFmEGDi0$=PtcfvKKZ&}-uV-l$Wx2O&yZc+I;e3J28aWJ1^LdO~8NDkO{=4&jzMvvD z0DBa-yzF7$(v(sK5M^~oneV4INm!9$iH_(&K+1GW zG$Du1iBx_91T}A_)Ni=F7r+Z=!pNuM1T9CHyU|7soHVqYa7~&Tj3W4p3gbhF6)t!v zZW?0@(50JKA1rXT)OpgB`%J`%Lz~3V-DPmL2Fa1uojtana-#N64D{%y+*F3SyU-cuPmD(e{RC;;u*6YrMrs-JKwm%k)ZzFKHd(&+NDM#IE6 zt&X-eLXO@E2g0cI5~IBEMax2U*=7q1L`)VZ$okp)pB%WShBXO%mK)2wYyBVa{*865 z1^qh-AAH04L>zwN+&5f9x9R`|#q%!QRowDkIc*2Mtwh>JcGPwMUo?Vy{udk_xd)s)KXE5emw(gKS_`3_DuaxTV=ybN#+hTpXpS80hmsihqq(Ol;+H zo$E65UlqCLWK2>ER~{g&d~YdQU*!OTotZ0j<3?ehD+Oa9^dZILRKnZ5oq9A}aUo>G z11)bo`U}{!z^VwN>}A$OVAo=poisv2{S{{7Pn_6IhO&PXV4}m3M(*wSn2yH2<*#So zdqY~i)~xAl8n$HD6(_31dTKK6?I~_zW5gIi@z0{1oEBK(2LVYMK9JrZvBYJUss2Z3 zFFQKVpkt@8_)@NF*Eg>sO07F@k#PRsnC!ik?mvM}mc6bIZhE$(!L+ z*q_v%z}%AY!ZaW1>OFg%iK7~Z<}HF%7U8n)AhAy3Ne9F-M0IMDQ8zX5ouLCML?5|B z0t0JmZ7NIC14l_=NEmF=!lE*UzrSCMPOW@n2!6>t%V<5t0Qy&*Bf~f+5p@kiHjNsU zpi_be%IbAcs<~fy%ZZ(3V70P$$FVPh{jUdwu$1g?CXn^>)d%{&Vs77^&JcSo=59&~ zoUal^*_dak6ZcX2`q=iF-NcKkja2QL9d%|p}mLL z)Cg5nj!{;6%>V{gX4Lx9b0@PV3DZH7%%IYWR+|YSaI%|;k`$-I*5v}_lAPTnv2VCh zG)V%om0w}ymK(1rnr5UjkV0<8C}y^ zT*(bGQM}yr$Lj7C{lQTq0wlrckz8F8nm`K7J!o!-p8;D~TXrN7cJ&-)cN)NxHcpPb zsS%MkkJLr)b!y{*k-v(1Ng%1nl?ubQun3F!kp$C}IFQxlz}5v}-DtzEguC71?=h=L0*4QT zn2)+}X=Bo;S!px$x<7Kv0cg;*uA_L_JNd0whF7SH*~rWfaz3ALUaozBfrmjz`F{6z zU9C~Sv7Tr5-`AasJ*z}bI=v=gG2ZL6EX)kM={CZ3CX8zM(u1J;mf$#P4WXDfMs7cY zfmImsh@wJe)(Bu=4MsfTsBW1x022mQV~it;DtM1s?;pdmp#uXeF~+5m`ew2)vJt(B z?1^G+5bikih4VcWRd^AB?6=B<2OivEDdo83EnQ%krQRABws>bXA)AOJIm^>AO> zxjK)BUPa0+P4Dc0RNVFbMrX=htug8gsE z!C1E0%Dl7(XwCU%cMdY|(G-f(J(eojPTx^2C*f+~WOroa?C4N_-+{7z|Ch(5-crTt zvbyiROSvyML3_h3>Fwz(s?Dg^xquOA%Iq}m1RqH+Ei)uzV>J~8Yo|F9R%M?HSl%Qs`>?HvVy0= zD$7RyX!%fwk85`Ol5*WYCEe@&9=;L`&EgZD1IHAa?=jwc9M`q$V|2DQ2*j!7Z=`p9 zhth-xiY-lO4h?$L=_V~RrWf(5_p$imcpnaym*K&WS+WN*9oDz}-D2-qj97DrIg7x1 zDRl>`Kx>7OgRk^J&55el&-vM&H^V}2tF(_M;Q>-g5;cV(qCj*h>`8aOVp zrUHvjnUWJv|F(%As&MHydUlh2b-j)lnPFD&+`ZhKOj}x|6&d8Ya8mu*~BvLDLiU#eKSc3bi*-Ao+hIk~_R+D+$&e!T@d#812Kr`Y0` zU6wDDVBy!FVLjRZQXJ~plzM1j=$p=c-ROI&ko(qHz42q*TQNqticnlL0X|W%Yj8sn zFwi&eElDcKOtYFhESsPg$zEmHCl9uc^Vix>V+rf79?1D==04!Il2}hxQnP?7#vW0C z)r~!>w~z~Frlb3l_d#xG`7KYd!v21#^QzgN)d+u4&^r>o#bK)2?KIZ<_cr7>8g26; zTWLW-)N7qxZcMbTNq?8ZZsg++=H}f00_Qg;;RyGJ(Rga6?Z8}G|KgF+fJgLeVD)T$ ze)PsUmsTBqCZGGHaZP)CW8Dozj6!Gw=PL87Y6`Tx8qT0A+NW<-z;n9Gl5TG#jcM0g zwm5U~w!>=?TcHhzEvEv+R$LTfE2RJ--tRq(t4KX!ND?s20R#;H^WY?^G6sTneIPr( zN;BUrWocewwMYA;gvJ^BJ6An*F(sEf{4MmF6ZSf8@a1=pct~~M&HNg_&;f^B1L`&S>jm>0i!kkKJ0%2h^UWz znHR~rYJ68@8bG~1zh}o!9Fu{86scnT)QGESkE|Ac;w!3@?<)(d0cHjiqM7Ctb4JX) zsd1e8^&Z{5RzbSb5fvo`lhRczqU@=|X;T}E3W)t4Wu5HzqQ z!o=r#!G~MQ--__U&ujM)a>Dkgk5;V$s$ecJ0=^B5up!qwPf$)Q@=uhGnip_6tq|amHaUCJ${| zH1^@}I0x6oGVA(-`Wwe`;Z?j zlqb>W}5y=kL63dgv!(;Sfu zh1LUm++QmJR>(E9-{tCNnQ^6OMW>*hZJQW5@^6P^Le&xK97`2dyX?^gSewa1xI*(4 zf?4iL8V^pHREhW3s~4==Y7a~_Ole8kOgAM6jliAxgbJ(e1xG8zC%4&ZiXk+F%?w| zQW{Oz z?Fp30%)Na*B{X^ai7(SGHR>gK&p{K#{s}vAoPt$U`_n;E8t&MdlyhUdSwZse6h1f; zRlv^q!VzS@v7|Q2+=s+Co;%z6orP-e<(NQJ+=@hi(f)4U-J8Wl;(_)o5O}$5wD8(J5+&lvsxuAiy`bE&$Sk%; z+Go$6o_tWC8l&>0sw9YvjEvoKet}{B`W&+o*k{I_R^PMVlrDkX?#3)Hh{ucA^KA+^ z^)#ZrIKS8>lZL5$APFXvB&aJ>1OSP0L834^83vhcQNCHR9NzqQUbp7;EDU4X?BgZH+4wiQna31wj=#Su z6etxiK4@#tRMjMehkM!^=^T4!nHjXeE%6qKU&VqHfN2S-d25YCNI+AbZl*cq&U5_Kn`|clY z@PFh7Yt!S6|Nc-L^e4RWHnkZLZE*h(mR=I8WFwxY*N?I!3X=PKVAfaBigl9n;Vqm8 zy!w>GVp^>79NmQu{q<|CAHMTq_qWl+1GIPKlil_^_yRF}E?3L7&w_i#U;VZ(8i-Hl z!L2$UOr%$dAHs5CJwy-=!peO4o}gBgsHQy<2)gBjKYmkReO^!OKy_XM1q9TsSix>H z<1xZ&A%&}!{L5*OT3(klSJv+hJJ=IwdT}iTdxQJm*FGu1Cis;~KIvvqf+^f6JaMsb z8a@Wi2w)Ro&YsqGmK4~tlNpavq`<{|DjKkLR7dQ|>1t41vVKB@n!h&kdtoK#sg+SX z_SFg!4P1;2Yeso>(fK|ByPnmYul;oqiR%tbE@+C3??=;}5GUt4)cRJtE6kQZmpF1_ z5vw<+`2_M@;Y1jNoPF(3BO*%&Q5kVMrlo|7tX9f1yxO(qP-h~8DDjX|ivn6f5G4es z|1}vJYb9&jjcLN|xd|Esr2X=HhE*Gh>0ORvX7lgfEEE;9B<40io8E+8z_&6P^_hfk zCtp_pb?8FVX_yg?s57aLEnx!)e?Pw9TtQU-**sUA9KkfaaPj#a$~f&j>12{rm9av; zu0cLg&}Y8@gW&?n#B1x>6u`^H&r~ZUe!=O&=&GZW8_LT%?Z9*{AvF3FAf`DQg@%33 bY>_E2k?Hw6*SH+p(WGK+5cv0NdwTjW$2-k8 delta 6717 zcmZvBcQD+6_iaSf=)HGAv?$SAltl;92ftn1K1uv`ft(E{tsH<{txOg{0|0W9l}C_ znYJPZ!2R!gA{e4Y&}6l^0&W3G{0$oXZksc;#x+D66wO?Ac#H1@q(wX^omJR~KYEvG z7RHsXqb8Uut_?fayk&hz1=kFj++rZ4&;n>Z+BWA`%?4$%8 zvJ;_ra&q^h^KWj@igcshKnn9>{ZWPn*QbSe5GZ~C1ZrV=UlK$n10&X?RJUEkUVPHr z!j31)zcLW`VX^CW5AUfSy;rf9Z?xpw_)$f|_JrJhJ?q((1T_LU_&DI>&BkZBs26d? zmW1&+V0}~<2QX@!LSNfJH#WUw^!2j;LXB)jtI-Z+vg+=?)Aks79$1fK`?QzlgCLn1TeFgT9~WKE+s zOb9dCi&~&&d=@vC>S?Mk*(7tySWT!0w4j&7>VlIz$XX)56Zd+sd1ANOG3xDvW!KO^ zxH(q_{$JX_*~h=8@7jgxKmHQ24zM2W<<9q^;>{ox8~^cvfcS3zEQ;1!E+Zf2a!dLD z)AE1Bja1-db7ilcJqCiXY%V^%DH&_Pxet=~UV2(zFPLLHAj$iDW%snd(jjiAzFNuG zwE_zw6DJRqWcrS0+qw7CyU4Ee$JvfFIK9Dkebf7A%SgM;<%YV&#@uuAja#*1<$WA+ zFXijIy0jO5Qb~0+?0($uworFGq?Xn>r|62qB~|^QW7B~wEp>o5+rz>zanm{R^;b3q zO~Z$Y_m|xgh=3{h@GSw=M$GwgL07ZWQh33Otb9LJ5k?7JZHYX##6gKf)z@4MT(;vH z-&o(GGt(BP%Cti&Y#9xWJ{q5Q>DkI?6QW-_jA*z_2_=fmmQmQbQ#VVD!l9YDMwIqR zE+XWwq2!1@Ix*m#?PJ4Qh#?JeZy;U%kwTw{bF)=I*<&Vhv4~{Yk&~oEV^Fi0hV)Ak z#Nmq1rps@K%e9Pe5#+ERc#kL%tEA2A@nalaEei_C9t6$N-qo#Ylmt?Y3n12W)6^sL zV7_OIC?%=<@AH>3ioX|Chlm>q;QF4gLD7u1lydWNF<`*Dq(~GuLbVK0sjRQ?JmHH# z_a$zlJboBM+$L6_f8=%Le|M_GB(eE2Wrq_iGNMLj7kJ?B+_>RAMgxYsZ@J3yin;=G zC^m;Rc;FUPn^jscI;$%DX*fDRO-H+mmXK;{gWKOWT<=ll-1|Nb$A%dYp+)`ld49u zAPytnPmQK_{!5Y1d;!%LIL@-Wy7PQxTNF(R9#0vP*0!)^BswMz+Bl#9Z&+-6_U>0F za1tin2)F`e)om))OjzZdv?V*iz7dzVt``CUFOe>{EQi|1Eg!f(jgf|mcvXdQ)17V9 z4WR&KK1YMy<4gGOYhk5YxnY{aoarATLx9v5=@+GVuW_i2kXA$>)UGPqHdH4)Hrx<& z{|?mgjI(73<)L)y^lj;dZFKcU#V$GVhRA6-)ciRoV`OdC@AaB6CLpm;i=^{wh27SIet!Se4SoS$iGWYkD!; zPy1H!m4LL!du`F>A^}Gu63k?uy^-vsLh=*!Ov>NXPx+Jf zR#x004hZ2Y=ANQgm4Dd`0Y2EtYudIoV*g)p7zlC7)UOVI00<0thA!fBoLWfv$K-5j z50}*vy$$D@5LP9>v*nuTOi;ZL<@2YvO5wV0N!tZ;Ow@tCSYb2!D_=C00jqHgzJu>1a`to!GX(!|wN0U!ZcO<(jc~Bj#0f>nnpGk?7*FNB5C0Gkj#A2O*L9&XPCI?L^Rl0Gutr^B(G{EA)k{3PKr$>T8#~EowgQz>v^Y>6WUQWfF zw0L`r4cqq0Rg?fmjXs1DMc}N3d=n|bkxkmDGOtLN^KwC>k)8k6~$@`+Lbdw#MM2pS0I(;qnyR#qfT;WVpccNxF7 z`>bbkErynO^iQn%+9J-mD-qx&yy7Igyf$iq>|LgIeZwfZ<9U6)3D!8KWS53qPyq=N zM_DM;UpS|=#HO#t%}tdZ1= zs%ult$PQNFqWQwB>|*LrY5|h5#~9E{zX9ps0a3P$3xDVlAH^In(W1748Vnyy78~r@ zEtI58icCAB6vrLxO<2>@AZ+2awziw7@#`8lY(Hgdg86#~chrTqj$t~nZ*5U}+Ck(Y z4!WZMI(xI~+#A~yAA1=eWiBWg`I-E@9W~Cv(da_-ju*enqD@%RPXUIOPLL zRG^#txK8^0oEp*aY-eVg)gY=w^jzxW+BO_|9wUI!l>bsHM{KX_4(A@;`D06F*Cu&7 zY#1|jK{q|--(3mNT5D{oTEFy6+9BkR*P~r1JBzjE^9j%e*OpY6W>X@lGE46#tYcUj zh%~-68TJo+=ndO)Pgg4U&;p`6$UA;fPn8!Pv-0nsLriiu%`LR4fvDVx1P-6{>LJz- z$_i{xrAAA`Um9gTe5;83-VDz5zn8}wfJ`o^GFU6 zUW%QB-Ybs!S6m7L6hKa5FNkb%&?bY|fhguh1wCzSxGD`o4OB~Ufi$J@O@^BDbIuK; zh(&!%pi3AIzB|?9Dy0#-(Ia04tDH116iU(oQ}^E+$ob2`$jNtseDmhB@!>UfE7p3v zuMt41y3hHrmMCJ-r8tCwAw+|)M8KF-dv--!j;vi46JSJFbbJ9Leb3Ok;Hll~WZEFI1$=+LyW7oX$ zO#}L&mlwYnJ~xQs7DZTsBv2?2n`4Q4daOH5>}`g|ZG*-VAB9&mnR}-NDElW%R3_#7 z+?qfHAW+Kkq208RgKCB>@pbGM29>vOip#h4477MFUs46&@fKe~NS^?4W?#G#d(AlN z@fz36Ui>g=kM5x@jUx=Xqini~gzH@AYb04H12{f08U-5^*W8#bq2kVj6{5#54WQ{a z#iLqmj94(3FXJRia$Khu8gghZa)z*p(&_nr8IVsYktwweprAg^=kx(Q=t8I{_ zNf~gFP$u-K+16_?u~TTNZfKAxAF*%~nmngaPwEvlNwZUB*Gvm8(qQ7JIKg9|cc+#i zI!O+imRxfndN54c*%sg#4UerX-kdhrpU#HcBP1;j4>ctkVd?Jf&cDB6e`WG&KIr8K zaBu#cw_jsfGeH}x6iGSKqqW;Ew{OuOY6fNl_7l$p?O0iu3k!_T(rVnAwLM|RZ#xbS z&t_Ah7abm-7x8R#r#q3ew-LhscDcJ4O9D#fKPi6=K`0t_NCqFz;*8YMD$O46(-Eay5g* z*Dm4N24?Dh`e9+}5tU8Irk695lPd+T&R&;IE$XL+lCFJoPOYL<4c|0^S|#%V#wFS; zhu{$`DKDjWoyx3MF*2kCPy5)zUgf!<5mgI<8He%s?V*NDO#6qLP8aq^{Ahp3=W9)x zXP;eRd-r4hw66T-6k#rH;<>|mGI3DVpw`a)!q`d04Beuv=-s7C_IBdJ!Jq|)__OG- zHtiU?2{dbVF|qRZq15r*^bv00VDyIYmmWiDT@G>L-?&lr+11})!+M=Omd=8E;$RBi zI&zInYFPt8Ovbl#jEt=}KQ#Zr3)HO6qc-O%$pXuLT_Kh(F1Dopc`9UMZ;)JaM->yZ z*-bsn$rbRXo*vGl8fIpXispbGHyP8p8jCrZ%6WCKn?6Ck$U0mel7Tqjp=y25Hu$Ld z+9O{==#Bdh8%y`w5z0WYS@!qUi>_f`sR)83MSnl~`|mY7q~%d+7P~{&RLz^?wsaQK z1s&e&4^G2%+pzGH36yklPiCKn3_PV<_>u07Y{1&DuvVW;3NBq*p{)zL-<#W+wdO|f zlF_KcXM@vOc`~?zcHiv)@b!>PZg;f*v$}@ljFU6N^XTA;@Mmqi8|7xx2)r|RsK^#M z*KjW$y3gK5xZCKTl zg<_*-@BKJIE}OIXvFT_20eioEql(}TV zR&Ut7OxxbS&!;$gpVr@QI;WOj9qg;qvXo|2+?VW^YzD0B+zG5i^1D9mYhnK5)9o_o zy{?0-46*pG(~*+OaGcp<%4!j8a7Ep&CYyCL^>-iQP?X`mh+e0@EZShLN4BaOcYLvU zGwAcX7+0ro=|Uq1s1q{hQKz+JAFxF8*+vMNF#YJYDA;tE#rsUiA8oev)s@^{xF)N= ze=oK{C-Cxei0QSBh`ZBYWAOLzs+~k#g2sjgViIGLVId;<+NNnA3|-NqRV+2r*T)6D z|3qt!UU@vFeJ2?KXUA#R68W+GTjYdAzfYo^4mZNEt|djGAKS-}b6!of70VH*`?pcS8%d}P;^ zoiV-NXg!g;a0#bd+^na$n22`y-a&*B=qTKA06ntP}q z8cp?<%|BLEGE1|)w3f)=A@S0U(}jg%+p|xO%|~)m>#d>CPjxzD#|*U%srr^T^cKUl zs#fynU9W-8DYQC|qU(PQaSZ<|B8W61;5b_aycJ`b-QYO2DR@ypJ=P8suTg_J(6 z%K{Wqn8GZ6Zmhi;xbX7W0vG+=$q$FYVAl)L67|lEGT9(-s?I+@hC(;?SH1;RETGA( zBfxhi8vgd^@%w;mSEg{Zl@hKJb$Gxzg zojk#~DUlRJ)DZ2!-ck4Eb|bRQ1@xa_1C1uqV^+VQn<`Xe(Ny>Gd3Qc={~4b)mAkCP z9jkFD4Y2E+azmN zW!6CIHtm*&y#AbJdCyjfMZ?Lwh2z?Hao(Zl?ur*X-ughUZfJJEnIh3i5v3uJ8UR1f z+Zei1tBT)i{)N^73t2Ud24+cRj5^ACg6q-l2QHQI=-Ix^B28WW0!>Hhl)tZr?WDt) zHcttNS6?#q!LLZxuF zm5&F?NUSB$%y_1=pvn4S;qT+!E3Mz0p0^CKEj9l(D|ZsN{OdS9ki`F{(7%Xvnn;1A zsyP44IpJ#XssE|PVvsq>RdYi-VL#rtQ1_4XxVsEKvbh5i)~v+GUxDjfbfx|e+$<5M z_k#E1S3`Dq^IbkrkGOf6mmcgsT2Qkr+4f%fD!4p9OWkH6^bE=sWc^59 zT^p=Pq*Lk@Jv@-U?Cu;%oFPCx5M+?m>3ag18!Oj$`1En$xo(&)^Jy9Mr^xjK)}u!c z55ZXB25&T|-vU$}pBAPBtja1}92IA^h+c}4J%LGjt%PBFL2{9l3|*>ih!0CA{z$mj@XP2(k)rTMgP9v)m!HE?_H&GUt+KlFnm z|EOq`+t^I!_;OQTszI%)-EHi4bOjFa#}#_%&@kCpHY;%jeJtw5Ck2;4D%j_p6c)A= z88$I7&TPB#`}X_W;Ozm={@p;fj8fQR+=^s?}6-6g+Fn(YKP9 z7b-#5d!t(y9niO%G;O5d#1rY}qWt8CbL*>vyoqNi+Ebk#&ux*6=8O?N4Hb#_TA+8^ zO6aZm9Nnj1;_SZi;Z?^l6Bbf_Cpgido&%V}VUEtMU77Q{@qbXWf3Xpfzdj^Zy{_w| zD^-v-9-c!PD)SX-X4HNu57F2Kl^-VZt%!}riY{&A;7$0RABRG zC8N-Vc8D#kH-QeZKtJ6w$P%UoFoDDDnOo;6l-j)nFr?Rg1=9d5))J(g z)$L3{)91^_krCuRgwIvnG3$DgzaAp|Yw})VKW$`YGI`;9Ah^U@E#CZ%xPD9VKX0Lj GhyMkxdoYv3b$kX?M}uLv{f)+pw2ahi;hme(bu;J^{g#$Jc3#=U6c}zLrvw>iYF=}x-VKU zvxrxuDwP^D=!xr>@QRCn3i?tZP?u1X3Tt?Qg`d6Wa-yEgZQa9j60q|3=}xmyj-AG^p;BCuUc{Ib`rT58>etbUoJM>dQXKn# zXLX*~1X4`Vr6#8hc+mcS|JxOTZo3i1vHxVW=h8Hw=**MlPr3mv$YN74XPD~z|8)Fs zVaAhWBJW&?03*3GGOAfFL;jQYFoFlN??d=+Dwd!Ost@HZg z+|DjYEb(^FTtv((pBzBZ8eLUOJErkaRcHN95a|Ph8(vhlNXi|GGpqjU2I^HK6{<@0~P-t4((7 zIjK7Uoakw&$nciUIxJ6{1}`6wMKHsx6T=DFy8=@Ng}^0k;)BU4Tn*Y5xPnVe%|B-4 zt$7WF6eFrF3wm86P0QU%diC@}CW~g0VPsPd!HKCtRJpx+3~&3=VPwQ5eDJ;Xx}uC{ zjiz;DFYy?O?F`%GEKLvppUo{7M>~C^C#o2L&918TN$W;Vcy<7x=vJm__+40wL71we>`s}%N|EpO&J756L9#6uPPv#8qO3vt zb!GkI{684?7J|N~Y_1DhXzeh{eNURfEqO=J5|uaHT}`@3`<)-R#|e|=kD{Mjbp@L( zz^aY}6ExE%`_jU7wu<+~3bsq)9?ttdx){T^2qq zuT~G!&c!aYQbm`GoS6Ept&9>$@?Vn{Yaud_NB!#lIMiZDmpU*`#;J33P{Yd|gSNb< z2WmNoMfZ@G86$ou>U%bT(nSi-ZfOJL*#@72?-M^Ly+4~h#sPn$Uw4@Z%kh!HeT##r zMHzFY>+M3m%A)!xhLJ^8BNhsIks+I8yA~WQ?6}DH#-uZRle;GtOEzRG=2N%mqTG3r zZ^EGPd5+MY_sja;^tq1|mOD3u| zHD$i_{t6w7RKtC`w1Ml?*^JzY)vZCF$h*BVq|{k|xbIQOk`CIRIPgi$l>9nKm#6%B z`I7RJ)1XC+N`-e236BISR%-V8OXV?@7ey?rsMvZV^|~|PX5tSr&|B(+^4$RZ-12Dy z8(FLFHS&o$@s4oN_nUmL$M|UA;l)V!mpdPyG{!-R7v@s3bpmz6hMAmPTq#i+!4NJwiuDsd?G>q=GgMm=*O;!1Mf-N?YH&FnY|Gsh&FEOvDotC<6{HuqE%-+ ze+Kuf@Hy9}j&ah@qp^9F4Pfx3-5&BjG2gqN1&U6HnU95=RY@8wE=i+E_jK4;QvB4rQBXRJzYg4f> zF0ezRn!D_}M0}+JUn=Mjw8Dj=i~H`qGBJk!t)|qPZ&@Qx;u< zG~u38MA8p$D>M`<>S7`H!I;77C|oUgCsetzg`;PTiRjUeXkzRwK=jxmE1>(yH03-* zHV?=N_va;i{K9x=G2R`1_lm+xPTnX9HfO$tOR>NV^7T}imJ_XE`pm;=6SS`wcQ$Gx zKPhg>JZ4r^;B}NG9_tss!;Aeo9zXRSlr%nB{Ug&$Ouqgj;7+e=B$C)Wp57~Mut#+= zl>EwjSzWOF?n(RvkjS8Bw1Tn{+#flSWA6JuLu2|@Tvp1!%K zj#qG_wN%3vjj)ihH4M<2@^diDK!ed)7WuGL5Mg?+hs9Qo`IZkK5=6gjNi)0~8NzTG z^V_EGSqKcw0)zd>e9mFf4|?(^iLe&)EfzlK#^_}b=-*(!n#GnRMKfJ^n};MjwwJ2B z5jr20J&S4AXckM#mP=F(nqF+GnE1~ny&GS0fqHhIIz?&_5j>dS4`M*NX<}9^Rm!KX zzS?o4*+jb@2lq_gm6aKVlU+`~NogPX!+6MPlq!et@H9-voXPNwccqL^&I) z@%G@&LudC_BtcryPrui$@|w9B>-xPVo!Dbha1T{J0r84HxeGs8ypKLyNa;(w)PM$+ zNHT-yQeZ;?io&fq(Otf57#I8G!&as|DRAS;@Gb+2;9HJV5tV%x-sUn>XI=PKB`S+R zB48W1o>ORM#Daa>8vRfh?fXH#9rHUP+KJ)33S}MAC(dHPy^FL^3-@|`Ef4M=%8onG zp`6BQ(iP9^)l08ndRA844_uq z`XqA{yuv)qW%r2>wA{SdvH$~YAQ|ZXM)!plc+JIdqq<=u7kRY2gT|qS22=e?!FOL8^ca6rObX zLfW-PNfpfOf*z~NJAAInvQVYXtudczXt?Dy@t(y=L= zGz{k8^4vyQx6(fs{tv!g+(+-+>!$~u zWn$3ZmH^b`xo~QHrp3kgh{lM&OWSre(#7`r`OSpc>k&3WYbQCZ2hQ>3Lj&1d4UsvV zmadVCnQUc9mb^HR!H+vYf4>bMpRPQmxj8LCi8j(g-zUK~p8p#R_MbZ;nDv_oJTOcZ z{~aEcu;QNpsBh;GuSCy^XFZ?P{4X)%8*vDk$Dim-2GD6dj6d+~iNJ%l`Aef0%PiUHR<2X_ehBl69mny4me9{u%BS(jmb~8p?*WWbl=VH(^&a?rJXm1|Ik#jhBFiuJip(*-c*E%jDUuf z`duWtTO(GnUS#z@)Ln|BRH7v!Z%9}n2VE8g86melM!1fI5si_5+v$JC*-u$XQ7k}) zcTr#>>P&b<5y5hs1TYaTCOqPZ9=T0Sn8+7^DTXK_{{v>dPc-Y69!x})DJF%~D}$AZ zorop;Zv>+g5oVWV)VN8L@aoKXxFb`LnrQn7cvXPzM}D=fzvk3KL$X~1xA1%$&(gfp z*M{b5(Nw%l_hmcbh&h~5_U-!vp8zKwzRIWNC`CNJtiF-kTEeA(e5hq$TZ*}b{8ll?N_Q>)}!5x}&SreM92sN>V3&7zHsJYp6_bqSI<`n5(^bVNy{Bg^W zpOZ<`&d{mQSK__DHQyf!lSq?!?74Xnn#&#HFB4!A8?f25JiR)xx;U?M8;I6-yJEKf zZRqFlkx6Xuy3U2M|IgIJQN&}=7sqNKU<{;${Sfppr^cj)G_RwTEK!U2J4HW@*Z6OH zZ%^9cx4~~e+=mbSW1H6UXeV|ywg-FP?Dvn3zFB{Bv_G|Wu(3U~`*v)NSAHQ8PWP`s z=b^NarK1TY>T>4}s~6@s5x`j`in5ErbahC#{_oQ+qsjAn@mF=ruMJ1(?n6v=z{?xP zZ&33(ACpRT#F$+;eg)f$+tEFY0vSGchn?{5~0J%M>G z^WYKD_E^VZ&-{Z@?S$*5saN)LfX0jlC2g2gV*k#;qbE-#+vvs&VoDWUBs0%hT5?Nl z4!5-Yxj`2MrCqdenphB6U2U){FKaHbSeTzjj$T(*#uUYnnkls`>T>8$NLDVGH9R7{ zi#typ_`?vLK=Ia)sog?yD5tFsUB*IRu1IKps)BZysKmusJA}J3^nwjQoZ+vG;sCPy zxAvv$>Tmv(N(L{jyOGQ5;_hm^3ysCkQX}jr`l9ywzyc zlFTqvcADn2S;+U)Z<_~@;qexT{3FxastC1o$4PI&ZQE~Z%>Jbz8Ap9|qd5PxH6<1o zBRL%yuQI3oi;!=V5|AftlE>=Y`b};D_S zcXa9xZXu4ufLtsp;@Gn>OOPU`Z1P;i?%Pa$xI~$oa$>j1y!n`3b+dWM>A+WPE$}f0 zZE}xB$VP}2=dl_!F#*AudO`m7Nn)yW(bd&-Bb3*T--=SRlQuH#*fM6pMLB7aUH}K9 zbk&Z=MOnHN@7&m=IUe8Wv&TvIL!pAZ-I1ur-GiqLu-3e^3y+C+=@^S`)7;&a1kfHj z&Th|-b{`tYV&5&@7LEaI5BN6^wHqBhyE*t$cDfU~3mWV#OJ41#?6)MW)JNqG49 zRk7!WE-7kZE>cjy z+24>V?(T;c81|dvLarP?O4^ste&LGG@#Jkasqsb^lq~|PBTBuWj*EnE7-nxGuI zH|>O$CY)L#N8IbO8@%Ty{>&q5_idtT2g>`Lo@?GG*TQ-6q`ZkdL(N1koO3RNTB&G{ z%CtqXagj4mB|VCNN*~rQ7=WIYU5^A`V!-UjQ)Q+ak60M6XNOd|Rjr3MwinfM#IPmm zatSY+H!ea)MK!L(ctx3S&wCEFD+}(F#6R=A6H`KJ_?0}2*D~mjjMgs=r$231qQ9DJ z|0Od9$)!7A=Z?Ku`pi>#ZB(6pLRwJK5NQ1VsvT13_Yz@LQ=r-53T(E9H+ncOUGKb& ztI9;^@?3QulzjL?_0zWC*w|`5AdCACTDqCLmPMK%CLC(+b`!>>PD}bTXo}n-bo#xU zJ%f#svVM|qYk@>rr35=o)l_!UH3yvkgSRf4OaaL58`^bP9Zi9d;u0#S`kXs~fAVYB z+V|e>T9PJ*T2a3>1%P75qN^lJp&2e>VxI5?7yelUn3+0lm2JwOWFI00hwXB<8+OWJ?EiNK4Su&x9CsnP3wAmYv2%;(5=N-3Ntw|d+; za9`vu{Sn~n-%&0U3kPtvsH24<@s8JB4wSm#Vx7ImQ3S+GADAKRxktk~r_nU}+jCZS zDYv7u!s4dw>t6``L!PXT3ddO6 zr>2qXxQszy`N>TfOm*>#m9=M9GNBIAPHvh|h`1pvZ^z4Nqid)=AWe)dH5)f!VG)gWn4Pfy=36A&B`sLwVnhJ9z+YxTNVrGonNxIkE65>FIy zi*5ND@f+k)W9_5L!0hW{)jcYD24!O#XnT7B~!olqb`eq6i$vz;T5e|=h=$y z8hAxEp0im^eyP8}fYM`7Qeu^REr>tdMG+6s-IGuC*zVyAM)O1et<<6f{+)QUYFjiA zo5qVY%|!|LfZG!gc5k8RDoh{7(j3~)FZF2jE%(=$EvlC} zvwyU2+#>S`l(<8QUJY`=JA93ZEbPSOBP{ErDYR&&XKJJj1mCn$E&;mYV=XBUqzAKQ%G+e>NTv1dPV?Xd`S e>`P|z48h3^x78d-nZ0qv<{$>}-<$3E`Tqbgl;qw3 delta 6691 zcmZvBcQD+6_iaSf=)HGAv?$SAltl;=f zrmctpaR2+B2!?18G+8aKfLlNke}e|U+vZHIaShQ1MKhNj-r_p}X%P=fXB9T$kKSdP zg>j|ps0k*INrk_jM@oZS8B{F@uJBHd^=kixuJf0UuY^=Tm<1d1O3fm)c}mjsc?z=$;|)omBC7oRk@ zu;a<{uM7l!SnRsp!+WYn?^W#O8!h=ZepHdLJt22r&w6$xL5%P1|! zC1HFHSRd8J0gM`_(APH5jZH5ZeZA~IQGytDcHp!eaRuk$0E$Ah&y5J-avX;p2#JwJDp4e@6jCwm^*)=o} zZqAi~|Ccs!_VKUjyLO@akH18$1FT1Tx$}Lfcr!@F#(#VuAimo_i=y?G%gBeh+*1Dk zwEQ1&BNaH=T-j@9kAWa8n~P6xO2!&+?t>)0m!8(w3+C7kNb){k**)#AbcoxjuU7JP zt-ylF#K}V?nZD!McJBT3F0w2Aake83PH(VX-}L_3GSY5yxuI^cG54H&<5sO$c^^mI zOZob)F71V%R8n0HyC3(vEz}(ksik$!DZ1itNmYO7*mNLEOC8|N_OLKa+;mQS{gsVD z)9_*9{bjcVB47$Wd`m#J5p%v=(ADg;6khNmE8kC5gi%6QTOyAwaZn;r^)(j*m+iR5 zH`cf4%(R86GVPEGTSh~pkH+U+dbTp!gy@$JBN{GKLWv@?WfXSq)Xfs3aA;<(5v6^S ziwOB^C^@2!P7HWw``EA+Vn{>W8%URbq|hhg+-wz4_LzxWEFu|pGIp*axLRq1Uc*n-XluHDrxh2{1``9%Ys6(2SIbRcXg{8C4m&<0*Lk8H1)_l znD5ylN=YjJ`~0Ph;_pS(A>u{?xW4CWP&A`0rQCd63>dI3DH6qvP%T4LD(fpePxvCx zeTmyBj~~Vmw}}<#A9-E*-<|3(No>AM+2I6>jHuDs1s?c2H*R>3(SYIZTduOaqOQOk zip^mS9=HY7W|bC<&Z-K38jj9S)6uS?C8V0#;P$r-*ZWLo1(FeI9>U}3IX|fSQZBYnDK*Z2ksW%W669UjK=+UwQKq^i*@ zh{MSDQ=_S!|5D^LUqJN*jBD}a!%TkonYUH%UjnA16~41ms^%Y?c!S3-T{P(r6Qmx!D&0)^;50N22>WlP?QoPqV)J8}vA`ogUhT4GKBI_I(7QC^uji}dZS{OoOnazv>a;woRcv!w~09?Ry$FdB_p<-i!j851_9s; z<|iY<*m8P=#+i9r?t2_C9Q5?*X-Sc}qX0|*LqdO*o%^fh)i`VlHb{KO>`!xUWoGf(_5u*UALs|f;lGYKwqq|nf;Y78p~D(0n~x$IUL%~ z&FO{Rb>l(cU)`-#;q^K5@VO(np{iPd2KRiy@-#&tv<>Qg$y(v&r<$1gS;#dCN8_iP z5*=6mSNYd{hrUpPGUzN#nChsV&2PC&=%)e8iHmtzSC*uYY}ZO{ith;IpA26o{QW|K zh_$zBot`S{{aDcZsPKq+7kHC>-CCfhn}hhFi_9sHN6|A8_J+?&6UB&^DK0t4O!aA( ziS7rB&^!x`i2nf4JJF&`dX`d&)THQ{0 zZ_F^^{n+YpuX#V`E7kmdf#iY>XYkt|0h>H16Lzn8cBufym|mJ5_z;oU9cwQ9%pX?% zVil*n(&$(>;ju6tv@^z(S$Tw=n3f z9tc7d6Xcha=Pv{-rmhr=P3YR2JUrtJ8b-;Bp6k;i!Jy-ew4y=Oo#^>{s2ne+VoqAT zJ;sJ@d*v!h0Ha19LWv@9*23}=+K~&p6|dd`$U7senB{SqcOl&Ijm^90)5b5~gsPRkFK`U)z1w zv$+;SOFa4~R()*|=iHSD@Dg5el3iXKwLtbRQ@g%ll-%*WKHmgu98^b1hzQ@LF5jP1N{xjT^R~vNgf{y@NaI!du5Mo!GawC_U{U@(>4I z(SM!2S#|D>?TL@QjE^!Gl#KjLe%_86aqvej6A=OMurVu|i&iz7b831dHU3K>;!7W( zUghiA(HEJZ@2VxC;a^`*%NvYk31hJ#euJ0H~(DF-k5@#dchIEIQ{y_1oo+7McP zKoQD4j^VlLPzGF3-_lRp1zdq@$c1w81!6tfE?+$ZEkH6vqRUnhtzv<=<;_T}|0{>r zdK`W@>hb$A+4!hE=Ba}{F?|wC8FM&`M9h+sCVRk`R)@@XBR#Q| zaZJpc*3ad=Xlh5O$GVr%_u3UPYP0uBY546djNn%{Q$H?=`&S~FvL$e3|J?n>9e3nh z<)x5J=pE%a3n7b=@8ISPO1!#F62N%UBh^92Go1Sz7 z&3#-a{eDi3Xn3|WGtFucRU&#W^>J+*4n2<%z-Y>UDU~C(*L8<;5AXc3C9`XjJRLTS znYy5x9`o<61Zb@_HdU=(dM51<^2h7ZE|i_cTJ!k?=z?oYDonE}5mcF__Y>AJEDb~& z-jz~8 zwx`l2Af2?dEzx(voc!-KY7kgZIVTO3sOGVFVtL=8$SkS)L8sr6+6A+7;WJfkA`@-0f zLf-A|qAN9HF;ug}W5)vDdkjnl_k$hq;XF?o2%*TSKZ&X<+@EHW=w1(2M09a~V)z>M zTrPscr#V+^f_tIyA`gE#UR(<^g^7buRL6HUdsG*4GPw86xJBE2AhX^ml zPD1Y$NBt`<1p$hjM4%T$HaTdM!RtU2^P+;DHa1+92B8M3rMN(v()cDr&G|X!hEc?# zz9rBl3T#9Qh~4OsuYy%hnimQs>42&G?+xVqJ&{ zAXVMxd{|2qG3ZhpLctKCL0BSSOsYM*A}&YPE{n;CE}-%ONeeYuEsC^$bLrTMz+RxA zWkkqy=A=OitYRW7xj1(oi{!ZI(fAfZ3xqjoAE&vVe<2&u!{4?wfxTq!t;VryUiqd0 z{m{#cUkslcL~)BEEI|?|6o}2S#63OMohJ4+L*uqVV~LN#E1Jx`(*l(JlO-yX@_lYi zAVQ!NVENE)+Q>mQLzehD_6vi`+c(AK+j<6Cyp=Dh0`Pc?FCnB)fH<=+UWvVC9QAmO zYi2Kgn6yXt(3Zv#hTKs$-9*B5uJbjLER+EppBRmT4T@`S%$87bXTl26W0(fe^qb;Q zEjC6h7|fS(5+yk<*nQPwPJBY15TR;oMW%Z8PikhU^sj_RP1s7>B@l%}OvCq3x%MhI;2Te<^IS@SW0Qy9||0J;xqQT>U5&cD?~}z&!6R7OUO0$GM$UJm9ItdTR8>_X1ZBHxEze zw?ztXC-iT4x(su=BpQb0aH3j1CFOOPw~9sYJO$2|kpe5LBXtGo@76|WrVfT!V{f^dLE>wd@N5G!bwB;EF!hMaCS=pgnaRnO0#|3R z%cd6fQ$tDDzB#8>(W-`T8bPg+`HV}nSq{M?SW;d}?>d!Ptzu+I37+<`hrP;kK_jXb z1c8jhc>MNILnfyELrtd(`y+m|KjibZCe5?YuCTrPF@IWDeshX2mp1X-VLh2RsA^Da z=YC=Aq+*6{QC9TsQYCvkap7Rlfa(lAzlQZXc`Tg;_r$>zya64#MkckafgmR1TRKL@)|(%i|KJ5`R_9Th zbCqO)<-V>EOBWYgQvW;^vavTvF1e$MiP`L?9_Hi0FJ) zoJ{4sy4Ovgpk8DhE)U5-+(Xs+pl$F`^R-95gwPxJ9X6KkwD_{juHI=LsaPeTTt(k=W* z_eM5g?N?ZNt>?aW$pBY4SZ)Zw$i>8v~%+(EnVcHrwFncVJZ|7Ud# z$r&eShUd}272(g?b~nn+rV)5&a3EAd8W}QM31coFJFYS^U`aGyj0S-&>*2TK=i1 zOSdZ2J}t6DUOENR0K3}PvgaXvj45-;g00@LdzrSqf1gir^ggY>-E>YZzXA^S)oEEu zGb-*&_DePc)^+X#RwDUbpZ2vd|MBT|8T4M)K~{!XeAnqnNo6?BY%yiE2sXH)Zda4d zx|#aB4{<2Ua9>2PQ(qQsu+}46RgF8oSiBkZ`CW{wQ@C`Yky9sR&ZACi$v$9-=Ch3u zGGY4BYf-T2FpKw@kU!dN>nq?&ZZBMu)!)At+n^J8c{#-N+D63P>8~;PdwA7OqAo#W z!vZmhG0CtHk$i2_v=4@^=+P>cn(6D~g5H0kHAk;Jp3=UPjDWM_v}=j{SpF??!lK_N zQBH>&VOZCaqR`J{ZA2o)f02S|>+e#L8Xn`UDNdCCv9Vu&&boDWQJ4Y{?i{IY?ewLe zU#z5``(>G3g+yy!k)Hnxxp^JyxAnhU@D&maS;71K;1E=c=|@wtYn#K5iI(Gov!jnW z+{OL~1&}18o!m~C zfB3nz({S-5I6MAAL#D8eiZalOPfI?s>&niU-fy&?$X&RE(=Be+(_BnMy3zAC{U!eA zhWV&nDKn!_M%kZy{GY`&c(v{sqc!(XK{T4`Et`L=s$`aCfnHimWblx9>Bi~8Lb2`H zC&%U^xvBNmQ0S*Rov~wv+J;np%Nu%&;aXKI`SY&VK<5-%ok!92KZZDle-)B*?U1qL z0cyJgLT8_c*A6vRVa)ht=U%6bdA34IpVnn5q%eh9{M=Z3HE`kOu>~&ryOSRdgTbyB zq9y8`8D+8o5I9xmpC3b^8~ZEYf+`l!WY!VjI};6mdvy6B%#Ybta0t#n{Ph=W?I!b$ z!NJL{onpTGTRP@4ncJ2S=d<{f3i{(-SkF$LVBC~Q3Lk5; zG?&Kb*&3QXYzbTScQ_{53k+(@{SWn7f#ou5Aa$E|%R^p&&a%8`tHh$=WZuGY?YlVd z&~taiiyd!$AXhguJK#)_=%k3!Ff{;vp0_b{rB)Tc*Zd2u0~WGs8V$^n${2N&^#s?W z0rvx!%6Rl_UuKb}u6}{0qjbvOSHpJFVN9E+1jMT^nR@ba{K|HAVBUb0;PBoAs^z4| z6k5tZ{MU+AbO{NW8=O090q>olkm+cX+hVc7xh>h%ZrHWyPlRz`@sh9fx=fp4{6zt! zLFZIPNd~JzyU|u+WoK{66Ill*O@&H8INQp{17#%E5@=>T(^=4D{jl)&@$Qw@Z%)r! zhS-*xf18y%iCg}4oE}Kxe^cmR#5zr+z*1G5|K*%;HTcy3)M7EnoaCyxp`EZF?^~$* z$9ddc1|Qkn0SRkX;^VK^x#&v$AGlc}Oz#En$FGL$@aDUGpdNAaGA}*YeYBu}S(a>j zuY46;o}Z;|vyl3)!0^jga#fM+8{`trST`z5B({vbNAGFMU1ZDKv(Ew=7+6M(6tZUd zXl-=F%RS&tS#Zf2A<9j$5BF-L2im9nZoEGH}It?H(wD}fW`B^Ukc zh??5wEk$g^Nu2g^-hi(1kQK>&=g&&{DT&bEy%$t8_ir)K>6rvig@>cG)wpjr@&iMb zOJ6PS)lXTW5{V=p>qke!{4I7}u}4YaV5Wz9Hu00J;S;`fS#B|j+TaTy@eU$eBF~li z=HE#AxmK8$3`8-$y(M`xrfBtB9s#m`B(JUw)+Ev?^@<)INMCk$jwH?ypdJV^$m;Yx zfy|AS>pOh0{t%cy>#PecmV7ZM6ZGHel>)yQs8_%)KohqG$)c;)}0nH4|zcxK1J%s=hXZFr++2q@ZJme0g z8J&S4?lO(ag<}Gpo-4bITD}qu4t6VN+?CE6x#4oX`GADGrdQdE157_?8?ew2Ib&bj zOoL}7s215|*!2h(8TbW1$6e2PyiK%PDu&z4*XfY0$YPH=qr-nkES@&uW73%sr;yPR z&YH$cFiZ1k-y8@JE~px~z4zw%!qgx7!I6JdG|FvkrgMC`DKFKaR@Lq{c00NPhxp?P zy>w`pY%H6VxPm?w_2QF)OCS~O^G*s2TZ#;um>6faUHN_c{cZ5}fM@^jQ?a3VhG&FJ zAvfZO8@yb-!Rof<5u;37A{X#=L#q(EC;OTvk#7+zSm%tNZKto|+E=ihIxS zh$rz5>B9v?zsV@|rZ?4UDme%M|%02XUf&gyoipy~7F&Ew8Cl6hM(w67RzY~)zk*#hMaY1szZ4@xwZ zE!QgZWINmqT5#~K@)u@`)gW-sLJXU9XR`37gci<+l2n*GrIFpg$Fn#6uQ ziT$Kxf6zX%<9~1Mb6y!vs&}gBCzd(*YZ_m^+TH6)5I2tUx`BA?F#`U2HnP)7Vm%Syl(m~Y(k!0 zemyZ&b$?)QW?N%;^4-~twZqOQ?ZapFcpuQwxdib_xsH2uLzpr@bi}D27E3yh1>K#% zL-$4UA)ESsUGV3;n!nq^V>9|APW=_xhbJ4cSu9{;?gCm}s9DsxO==eX!Sh%m&utP* n)M1l7Lf4l&Huc}9ngcn;6)fLwdH*^tbM;6*M<4swtt5|#QUOA>KeMtHSCrB zYsUTUXb#QNEN#4!6*YX7G4uJGM)q*}W*&DQu`_QghV~U>jmpYoGxmb*(d>n|y>{G%nr(1qyU2M;quJYThjBFf@g(+> zN$jU3`&8%1iGRPdzw^vcsou$=S1fbzRPD>X{evzA@!&|WD~M%}67aXOlC7=;kd%#e zAKNwH0(h*A;kx@)J^xC!;(;8GekgYE?!gPqtxZw#{4$huw|I&tU13d8($)49B@5R} z6>Co#S!aHt*Zi&ehF*Gd_nIR+Z(d3ooA(9m9AUEvdw-a<1(?65XbYlp={M+dV4k`G zZC4q_J41p9;f+o~(}s0uW!`eMO@OeJ$QqC}^}GGrN*Lb+4~AhM1gji7W!{`LNIGo2Ul{4?pI0TW{v(DFjgqRe%YvuF>V`x1Gs6JMeX kotzP}zSz;J-#*nCh%pA|e#UypIE$~Q6MN441C!GN_b|{-JOBUy diff --git a/38_decoder/db/38_decoder.sgdiff.cdb b/38_decoder/db/38_decoder.sgdiff.cdb index a321ed4cddfe5bd4174cc6d67265696891ec3223..b12c7750c3ea1810b54e286f36f26b7b5115fd33 100644 GIT binary patch delta 456 zcmV;(0XP1~2FC`Fa(`J)12GKEltlNV$-?b&ON}M?FqB~Sd-GYf2mFC_enjl;4$6HCVmf$3VmAn z=hyRZb4C5L`J)dUVK_s+JJfISsNJC9b?s=s=Aozv980&`XaZA2&^`#0hlAb{4|XW; z!Pmg#mT&O(9e-gGlUzh@fnOmctCl~);%@&Q?8+1{i`y2K)obN2V#Cy0XyZQx8QY$= z5pIC|BpdT~G+tw2e}z3JHlU&qkGmgoc9-X_&)JCI#OB4N6QB6=*X@Q_bv=LrPi;Mo zO3{}4)b(;+Z9V1XK5Mbmd@666PmLwtL(OL`mYPrH**rMccQNPTL)4Dk({M&&+t)BN yjW)JRbMFTreodw{lI7vmtqfca2J-D2OzMDU#&^6pTb!Sq&gU0&`VO-z0vrKT0_<4; delta 456 zcmV;(0XP1~2FC`Fa(_!s#4rp^hmQ~}k+{c5GZo9;tjFOLa3TZ}3;s-aFB8{p(qyP$ z!Ox>8PW+zZI8Hj=d*3+cHnD$0?;6g$LR+90d5MTP-b4S4DQQQ^Smtei3e1!DJ=}Mt zc5m#!`dVua;IP3@gxX__^8r1E9Rp=>V_7(uX&rcobANNV%=EA*loB_`Z5;P& zrNm8fz!f=Lscc|asQM*RN>)O+P#Y0gMIF|1A(FCT5pnVV~4+p&^9_&!w zgRg45?RxN*o#ohir*rh387Pl=dtJlh5!iK4>(8hlXGPXT! z6Wjp#NjB!~Y`Vt6{tA0cY(PaJ9(O^9Hckh2NDiOq{kCqD7#uRjd2>UsbLp4tW) zm7*>8sq5vu+Iq^%eb!>B`BdIKpBhWPhnmk?EH$6XvpjKb?_$owKkshho`y3L+p&h3 yX|%Cjn0r40@oO@tkt`3dZe`?hG>~uKXi^6>GrncJYTM;{eZHd8cL1|10vrL75$W&% diff --git a/38_decoder/db/38_decoder.sgdiff.hdb b/38_decoder/db/38_decoder.sgdiff.hdb index f17bae67a7a63d7bd9ebe2d988b68677abec1dcc..7b35d44ef6fb9c9245e39522091ac4ae271c6814 100644 GIT binary patch delta 7074 zcmZXZWl+=sx5kx5l$7r7RyrjG>5@ij>F)Z|2rRKu(gM14OQ$r8G{}+?OD^3AF4ueC zFYnAfU!Ixs%$)gsIWs4AUNwlOY65fDPyR0)DgL98SpU%m>i=lK)5CncJQ}6^VUR*9 z$H@&dLw|oSWy9j)4b}jiAE?ngJKx+ML_#*ly11IEYO%xkLlRRbY1mrx(4&OCT@Dl!6HBIR+Ww5inM(E zvrySl5!~Rq-0W)V*~y!s*5s3%tOc&7Eqo6=32|rg5yJiiO&+QzPkte`7EY5_g~)?5 zI%3b3(*KmR>rCz*Mb9e7qCx4bzaE&2MQgl%JFX_!*h5jFz}=9LHXOdLk_^baZxMzAWO>AyTC6~z|vK1|g=u#4`Bj@sS#(5^<;B`Egk zESA<2)+;A3TE!D2fG%2K&#RePM+~6FV-n-Bkg4*dzGtYH`|pIdC0<^h1SRr`*${=L z2(TSnPfAjmC8BSL9Tvw9gzmJk=NS(nR9#ZPM|^$3;>zHN-l0ydeS^oNC0&yNM&$i> zy~6@Bxbsldi}LJ{-KUl4>Y`?KSlv^fj!$A`QgXp!*t6wELGl}J6C(JZk?PVn2a=pG zJqA7#j%&OXrx7w@GDwyJ4%aCipM|u4GFO1b*FFN-CfWq7vU^4wKN>fEK!cUHLHC@Z zts$7+i%mN@pWXZCBrxlzDva}=f(voCb3;O|#)`0-E7TI}rom)a%5aB9(SeB(T!jZ#%JLd(p>AO_DRVD~gJX<`4ATdoqxyz91(UC5+Q@=imi@R)*Wz_LI z+ne}(A^Bzs8)db3r5r==v(&)#2JoftIYPSDOB$)X&~Zf$LFExLo1d!jxCYra-vJZ7 z@kb6bk2)#*;ezw=*JBgqlA`dH)j&UQJ&-@Z9+mFR_6oFis;Q{e_mKv!HF%~3uOi6k zi+=U{BUL>Q@q_+_o>+39C*ebdWCbHUR)je+?cy2D?)oR|b0?{m_zR-qmAlqD+}Gl8 zj9E@2NRd5N?iY|Su|-1ELb^_Nq6<@5m6IxEVcx3*A-rKShhV~qSI>tT^Y-3c84QX5 z6;%wDqab;N#QSqh8q?I=>L#ss+Rm)dF~eblk7*d=4=u6t|4PbCV0&W+?GD&4Ft z70kXqN_zIZ5_5{$G0~b3+DFGERC~zHs{-FXkzQeU$hk&m#qA+w$z~&V*tYi$(3>!+ zBB5oFAr}0#_V#ePcC#^VE@6^RhFd21v{XyHxj3nEp{ukxu9VN<`9#*nx+}`6TWqPw zp0GnZD=_vM|9m<0AV>M2WDbK$%@byqoNf48{@Lf8Cz$UvJkX#!Y)|z_(!=knVj~S` zj&yj-+l>X(oo7vMZc>NT#>rIl0sMy~BH!@~buqM$qB#rvVDt4rd&jW%{Z7V!#_8fv*RstJPTALJCEc*C>f9N_|P|Bu5Cm%C(`1u{d`b` zu51XM%TQ8gKrSLaj{_Mz2z$ylrJuWogf8J3l*)^5@_TT@CkY25bb;p7W4b;1pX3eO zoni1c(_ctB$~JPGQZshI@|(tKNFg`J?E7q@$Pq0&L>R-XNW-B?bIRBsC_&0VnvZS2 z3ZHo5yriUqeG?>2_ri18e?l# zhmW~dSi}RJ-b>tWbi!M^_5LeTYs9iCp_@B`c(|JcpYNG7re+ARyrh(y9^`@qOpmG6 zY#cOf7$$BAZc4|1C}~XxkLb|8P!Vy(iFIv;oy9Ey!kNFQ4ZhiY-t9;j$0Lzd>(Y{> z_Q8;pKF8OwyEkqeT`ZQz>NoZmn40|Cox)>qJ6?jX_vd)`GYV1Sf6>PvgzC&xI|n7< zpT`1TeGF(}ZnP;C`(-dEuuRX+?2c{Cr*1;#RSf=#X|44d2!J7nlZ-{Vs?HB9EA;cV z6458o!9Y2TDdto0M4^1u6mLx`gxe0mMR`{R4$)Mu$%ODf5nMnfHKf+UMq0v8*^;zQ z_SZs;DWwBYk{*Xw8vC!QBRye{t^()dm%lqcy+dODj_-XyrzkQGF;Yh9D0j0n%cAwU z{?g?$9U%aU{5jGvCR@fDP6HBA*N_wY18gU5o0XRVpC1^+l3lW)-{p~saz%ZY z!i)A#Zb9$6Iw+bXJe*MgN#L}igp%$>AIzwEc*(>?zx@O$1vP$ zHscZQn$!bBs=4zvBlBV<(;QwVL6UjyIgbvo4@@-+%##Y4wL?5-;Ak$A?^Y-Cf`U6u zm3b&f(FC1?GkLyZ3e-#kMAw#EP#vw~bJW9NtY+r0#hF{$&=EIX@^TKJ%{ zbZz&YSdbyC&4Q2F2z{e6AbV%1*?$hPfn`R5*-n<)W>SML&;0W4&}d}etAmaLmF-v5 z!?NQW#e*0V@?s`*GNYqJP(^EQqTHd z1^&qm=VTF|aj1^=ARMG=Q6otJ@}>?Yz}d4GIuEw9Gt&6pN>2|@FOFsR zliybarMzBOraZ}$o1CS+(>C__=GE&w|g9HlAa4=s)s8)aCU(U%jQ=TYOQZ^Y3SyC)E zXOXZ~k}&@&P_@dikJfkglz;g0x&PKY%&gqfw|6}-g6G(WQd~iKjg=XMw(*zoSgK*r z?Qi!%2eX%5(tS1~gd=J_D%x=RgOMWi{5aqXOZi~U z$6r>_=lq^Zdkei2MDaurF(Z};oY(oOo?-0Ogu=NTnTuin7g6xyBm;Mkyb2%l)(&*YJ|DOoP;pjfmPJ-0cviaset9 zBfJx&-eWwIal);3&@Cm)Mm2DY!VIzS;=N1gQ z8r}S8!c-CBmWCil48gJ9&()%A#9K^)Pv4a7Zwq-vfU8m=8A1)uJ}z=p+A%sSGCnd9 zKXMVb#1Bw2U@W_5KuW9#)aZB#CqWe^~=|g0CSe>NV12Kud*pxX19N*_vCaQi;-bp%G1I~_HnYNWaVi% ziA&p}!*Bx&)b~WEP36Ck>=$NQJn8ifx5h6-+fI;feeT;R0DP|8sAf77fxyWzUJAMX zIb>(PPrRdHAjVD@kZ1C&Q>9@dj!PK$z~l+Es?snLt0WBQFnPYhDe_Apiup+vZ=~ z3*CUV%U0|iJ^Z`R-ysd^9V7pI>8zwJWUD*%f#Hw3CYzk?528_Zh4k3%;w24gJb*O2U6?15Zn1SxZ+N}H5~ z*Z3{>+k1Of&H59$-B>6FM5*VilBfR7-|ZyYO-v;uJE(+@s*h;Ld0k!evwtPq|Cacz zaOgfBX#}022ur{={%hG`>6B+}q6#F^H0_?YJ zTgsq2TB+ljufJ^2M|%7l0zId*vmM+`MSjiaIU0+Ih^#HH&Cf&j-^(?zv)4pmR7)yU`yl58pixCGoGL^akN`P#;w~EX^>T0BfWpSPgc`N4TuTD zjeM^hoVcN_qjTP7_xmt%Bh5a^n?K(bx?DEqUYM%>!TfR1ld}gIR6K)bRJRw-=_5mw^*b=iFs{POOKR(-v$JRf4 z0p_>vhxHd%)$fZNv_qD4{w-6PE5d#GekP`Cts9zum9w_y)OqQRl9OwVS~VwIH}vL) zt%IwQO`YaHJJ$ zl|59QgdAv9&ko58W!L{;vq|)@=z_6`2ia~y2H=9meJ8FZ*wj7SIw;ARag7q-Q<_CNO%*A@<(gB31R#RZhX~cSvNp`v%hibn;bkxb(}}Wexhq-OpV0 z@>Ud=23>0se<%vWX*YlLd9l)Wrn{{s-Ki%X!U1^W@%impY`1U9a0)Fe@@WcvaOtl} zX_WFUuyMAvIQhptgz0T4*OUKT zK(#ZB2)gz63pMEX;l@r?gVC+|KqHOM!%}eYUvj>9v*jH_>$rRyZ1-Fh;TE{%zWqAR zueYgo0CcO{AvM23_A~h~UOPw7B3_`?UHg>&)n~r_sOxpHw>LyIvr5B?DfzQ;BpoNl zegw&wom$0P(v$kjZ?r{DI`;eqC?@YE0Euofv3aku%OB^bVUT3+EbHQesD?RH$xbaW zmkr392Yq>L;C5!(t}@dNF4i8him6T{2dc-MKC5}}NX7GdYwz9jlZJgZ8$0%I%)gCK z&Fhh8wN-v;MN&XY&u$U`WS|7w0Xte{1VV#;%^ zs`g>&E~tHUVR5lMtIL5TvAM{`(dTMATDD}PEtZIFI56P@i}^gt+u4FE*;%yd2SGiV z-K@d(1&qFCi}rr*;AGFHC(aGfp`iUH@lbtf@}Mw0_uPLo^K{iWal;2(QdM`(>KW8g z*Cpe}O}@4Xxm5-84GTM>#@QVT?W?PN(0`@#W}WZ*YXIx4(QDb++lG!| zjbHX1Y7%a z^2Bu*K7KQ z-89{nH>G}MU3yWOOcv9rXkv}tVcOuocr^A`?~H|)#gWm4)=|F!4%-B8dA3NjRVI^R>~Q=FB{(P5oapQywb@*!toSoZ#gjHnbWiO_*^K^p6*5^9YDKP>8%i+ojBWz zCugmCOyE$@;5`Uz&Yj$%yYnWxmZj@4GRz&@lErGkSEg2qYd#gfV*Rm2yIy>)^fCUB zA+0t;+s`R6cqqC0$Oz;z<(2og?M_AFqTfGrRzvukFkpr&YcIq~c{OFD1&IjK^L07< zR#paVUqiPDkOKKF)$S?IsMhi8)ZCF3l&`1(^+hQMNB^A6)_2Qp3hPhbHgAcl+VKlk z>6?WQUcMulu08)gIrmhyh)BZdLH6IT;PElwX^8%$I;qaf?wp*Q@(d_+QMjqVx(pJ{ zvey}Su}19?Cg5$!fk842)jx1BB{ckKxReBl>{E zQQGHR@m~hN8>viz#nqmVG!f!X^H}K$d@*JIe1ecJu8_<`!ee>&7aUT(X116Ud-&3Y z&luNBbkrqDa8ouumGsvZWHtn{p>3!?osD(Z1qUvt|Nc~2C_(APgBJO+FG^*2`yv0; zW!usiffa7Pm8`tp;NTDD8oG=766rc1LD?ckOfi^b94(T1^T6`pcx8f-)V`QcqUg@b zi4oi51WeP`m`KYWK)NXn(RH!$sD2DCj?RCBOVJxa;o!hgw_|s4isaX10S;~65!4pR z&IwHPuKG`+VGyfW2GnM=$=VOS>YU-$ij|Y*<8Cegey_}-Qn54saFOl$JemkVzl-!y zh(zkBZ#t@$Tzp^!zwtTc!hjiT3Gb-leu~YS_OU_zg!O(HDo z$O7TjklFqEpyr=5J>xLzHd86;(Ef{UCB{sP=dAeY=E=ZaCkqVjmk=VS*2;(!4;0;@ zwIB4w{ypc#`UeO4DJcvNcKIW~;Qan&S!>1RPMrL~Y!vAO*o-yZDd!5%jXnx)c0CB9 z_QsNn*U7oGhzXCGL$qOFKM6mN1V!IVU^RJf<8@K^t#O^saJi|_{i0Xd&-QD6(qKuG z!cgJ*wgvMVy;!z^8_F4H(eqg`u~m`v3GUUO)*O`>wzJ6^-p9lB%f2cM`li)`uH%TP u54X1Z6^m+n5pD&K_W>2u5hQqIdm1Am&R<25ow7ZK3nM0j8IS+hPyYk2tOlC^ delta 6739 zcmZvBbx_m+)4w3n2qN8ZbRC?Clpse-iqdz0AR!V8M}uFa8%{~-E@?R6NU5V!y1PL* zq>-P`@A>1Mcjn!hozKS1&gY-qo!PB=wkZ~?1y~&3`~MBeKC_#Lnhu!S2ui46BM02*Jl(MS%Kb?qkR4Y+xbsy3`%1MAu6^bu34%Tm152vK8i%#Wn!bC^{U?MA<`h%9ZN%%6!vQjXjC+lvZuL&HR& zC^}1J;H5@pUu}6`_Lu30memUd68M8>C1r2?Ko+Pv(ecmvmI~haY4UwVpJJ`fqZzfc zz|{m9p$F>Q`wRDyVsAfZlF1=xh*~Dj=WGt*wPxc~IbUUl=ij;-=sKCGX5SR$lI-9F%F^NJQ4-AV0{D8ZY0{HlGX zKfnXt@@ix6V%YX{YU5gK&4|bTez7A^Zv)q~XOM11-Es=6#5uPHgxI1*GX3lCato+i zBG>M9MznH(?uhbu^QI>@G-_qmw^!;VP>oQ+wpE4N>3k$jPOeu1WED4LIcW{^ z_sAx8kW*ie$&25gO>mh@rp*oyz&z6G4HNtP0_t6V{ZX_{LJ^C?pwwHH-B~6i2UlUl zcXJ%d-wYJ^z0|fb&U|%DmQcx(+Afw?SVOw!G0$^0kIS|__o<)8D5+BTW-gEy1+q9( z#GYqTI(NNuLeDP%iJh^jo%hoBc8=5%yrt80v=ghEwb8T$1pV|(xSc+el2n0Wd2$0* zkR4r=F}-UO9ckiwba)==klCeARcgP@^;es%zJ=a0gz#{S{*a^%Pvegbiz?~5D(RW2 zl!>~A4)z3PvDCK2d!mWQgcPIsqUxXmi9WqwBI<_RAeH-oXd)LMiKMc!7Oq7`_DA-q z03tX(^=9Key@|ft-dD>Te#ePA{Q;)(sJrS=YIN5G#5pPwCIHI#h8*tiafO^Hv2A)a zE73TYMEZ~u^0+s>(01GCdphZUzlA)yxrM#K6Nb5YO6N)W_gNX|_}4aasE8aMNGzf? z9@+X0$=VC_X#4$kbmU7J+PWH%MsQyh3GOYuTNkEpFj4-@4La}XX@;D*&^Kr+A0{FR z-mTL@PBJ59@H*$EGZKTE*g#JABv~Bz%!f8od4`Ab4Y<53qbr+Q4W>392IX5@#ZZKS zlq~28v!q!_0tHUtdHY5IgJjPawszkva*#06KK%~F2H8Jo9|arm+NeLcRoYf*`}9uz zDJPjRKt;u`0dUXZ-vfg6TGby386L+du>-j6rc1kH;Dx_@bO}tM2g_s#R+3&{8BUB- z`YL!dAO5CACO22x@3F=oHTuiANEi+tfK!{lIgz1UBgWMN!E@0g+#H6AWRU%YG5a@g z;{h%Xgqtqr?P93mko7W>UO4O5Mx4}1m*ig z-d56k0MV_{nn0-RBgKO&6?E)Ac$q=)+g_iQ^f%vz_+TW~I`omowVna(EyJ-xRjdJ= zU1*l0b(2!lc-0kY7@AF(_dXu!M!yq_1YW;ee?u=xMi}m3J5|sR9g0Pg_k?nR-m_Ud z495i_a97^>I^PoieUTV%<*TE7<@dWG{5Yy3V6U!>Y=G#CmHD+^h5T@b_v)>rUhtoUf0*al-qJb4h62Qqnh*SV31?ytsqYAeU4Yqj8d2>@{> zp|FFoY;RbEXsyjDx;natDgU|9iYjyp?R+M`Jp3;4C>;8k$bvrbH)_kgESAs8yDG?8 zN{((-c;T*xScWu^=W@})%yXpT>+e)SJGmd9u~2rGossU*K~OL3Apvy=PwcOs?7~K# zacW)D-s$(@?ks1U;$E_Z+t!ei2LPlBpHL+c>0p^-!i^tN%bflQ>b3_n+6%!~snd#d z*bq8>QHPV|FIYDF@iP4xiNR)s&FZ1O84A|T@xd%p70)yzswC5QhvgdIQX61VXS`4E zd)LfTGt=`dv@?q1(>GNgoIvv!r~V#h3)wpkk_L6x%qrf z@o=!SH6U|=fwAUW?w#wSj;cT9@7AXvC!vut_?-0(d^s}0B%aV1UOsJ{na3&bdru^r z={lzmU2mMJS88zaYoDZ6%q~5k8hZ#iLsro4iL6ngB^<6n4KyW< z5^;RW{?W%823-V`NUAAoB_KB-x?qripK56pPGm!G-g@NscezFb1xlR8O4*wFxeH1s zx3kis%r7Klmv_>J{*pR&*r-1*zOR3zjqFO}2Nm@8>_D9HDTc^Jv4AQqCG1vbh>W*eX^42R>dp%O% zlWYK^6<@Et(}^!I;2ffK;cHM#ag!bAp|qlCiXGvfJqlMXngSOS`(vvGvKzR&$t32e zv&`;Gt8n5guELNKfHtRiXlIDxDkXB75Go~UPnj3#PhrhynH@{s4@LF##9PQ3^g_!L zkRRTyOVKx&Dm%s^8R49H89lyap`Je)G>5hrAt##jmkE(K@&B2DHtU!ByY{Bi7B}~( zBH*piXKXjBL%T;`RDIW3VWPqO7b^4(3i_K`Y@6XXm4d)J&x>5j1)+#bBSO)0KheEw z7m6Rf>Gn2dX3`yAaQ!WE$XEzALPRItYMqH~6GGMl9g0U{->u`a>1vwN`O}mBgmIQs za|b5Xnh#7eQii=n(&4cGI{io0PYtXOzNP`Idz-$KSt0J8@mb}G$R1-|$c0@|G!P$-kT)!4s{g#J6 zs-Kb}JzjI3`O)~0VI6ft*3etpiC|QK5GT}fA7eGqv>PoA;NJDM`=Nev4KFTBNFiXW% zUpBmyQfSCZ^KtYQepBR1Yv1vF>PBvIxz1BW>erWPV`Fg|XSwRhhwl{TdV9Nrl>sT> zW8blLqgIneg&BCbGn6>(HuTHO2qyk$=Y+;fo*>eV__ZtIqLM0j*O>0J#!izQvigKTRhS+ zpKdz0`}uC)zQEa<9;5P_Z)UH_HZcH@QeigUd@%Xoq7ZR)8Wx^DFNafxB1I*`H`OSb zCSZMMo8!rX>0n;OhKmPKUE!?zGJdOQTP^+JWC!Si9_c|7=o>QUTkBf!49>r$Q7!=B zoqU^S81vO0e97qjnqK?DJ?B#wnP9fAGL6->SdteVs-XnB(`Z-g|SA@w6f8o>_yc z9Vl?{O;F>-1Z1a01O2#`S~#q^2F}uB!^fpN9#BAho?u(VAEtr0 z&Ah$I#0o!VS4NzLSlI?plmS;Dv3yRKUN3CR{F6BRojt37)#kTYkg3Qy-HHsl19mW+tGK>+TLd{Om;8qGmIiD05d|J6~;7t)Qoi#lBR3?79sh0Hvll zt9i`629*kZ2$5oVt@$A&IC#!PT549;VJ~lC4TuWBu#3BylMkLeON~#RwKhboM88HC<@_dT8~>}te1T23W6Hzp9S>5!lp(&Y zm&_}Pi{G)WW@}V~xB^!B(efvBhtu&b;SckFv!(U!*{`j8!=i53O!+f{?VhLJq-w%Y50i zN`~3%rh(UM0ci^eADs(a-of96pK4To-MIeAAt4{@eRKEf&*(AwW5H*dqc-Z=pw+qP zD~Xkwcl93&V6{!cFdj3E3YmMKNlA>bOz{o>Vs;w;?asApD{5E`b+G@N|62Qmd=3WZ z5Hy=84Xdim!_8ZWaeaj&!|7uyjA6FrpC>RCX7alr>?A_`F#s4h5dR`guwtoa=a{p+M{rV&T=eW4S1qAMT*@6d=7SHg#h5jnr=Y!SqpoleyPD zDuCcqLYj)P0=2hS*M#MU+}PUIb7tzHxrn~u2(FE-=6}jxcY?+LcEY-Sj6I7d-s=00 zTYlnFe$zz$;!gb#)*DsL15K*eSfoeO9t#pI1WjA-nh|$8JEWLYGH)g_H~HcWE?LOs zn*e^L=(1v?GZ(4FwPI`cvwA6TGzjtbw2IcM?Ia7CHeuP4TaF^YXrp5+Q@~Q)UIXPV z%u|>{Bi0E^oGoocD#?L;nnC}jPo$%Gx5v==_Ql2YP=Z2UxiI+_5+UQx$GzWToZy8U zfW=fmHosfaPn#+SPmz`_)LZ*ld|a3Yx;{|6u&I17`U&+ztW@~v+O`I%%@X2uW!1Ma zp1ScYS+s6hmhi~58r1{SdEaqT~WIhDapnU^+U2+o(DDv zp+-vIKRrAnH@8|gtZ6reD^i!}lyvm(!pmKcw3`OvsU1o9ii=(uoNH;P3XA9kMrSmq zVh}6ic`+c2DQ$&>$H?)Gbo0rF;cKjB%g~UP?}2=ZVGS9xdQOQ7&y5k;aBVD`+ z)uB!708PgZor;nCM#S2w+goqIWp*Aemea*5HT#2dtK6phn4Ne_p7^d~;IG7M^lbe? z)?fYuEZA20Ux(DFb2-8_=Sn+|HE{s+3`#xE@xxvZ)ib^40#@aNPQ?)S5JY1mT;pG9 z53TY8^2Ts@{_LH`TK^%-Grg1p{v8bmcLP2JiRYfEj7yjLBDn#c=YRnEf;ISjILrd5-u-3ixy^A@!Q}=b) z&wataBgyM~CuvSAT7a*RxshO_j$=dW$Fv2z4$mQ?;-#YA(Oz;^S@=eHacgG|p0t&X znrtyR!OYd6NB>Ekn~C9gYih0e-RwE;_NBln$nl0DsIK&4&2B3^9#PKjkVkegJ~K?F zg(3&$1%eSi_*`$BBMSnK=3CYvEF(sy57q*v**@h|Tr16s0-M<7HhBS$25{l`p2Tzl+pEOin8F%xTGmu*gWbC@>@U^GfiZmx{m5VhO zxh2zO$e#iPci~pv2}F#4=TPTABzZ*FMppak(zNS&^9rgk3nc0e{LNBlhkCFPQ;eug zXhyV1{1&1oJ2H9XX?XM!)Yo)lH)~AuoaJb7W+T(?SAi zuwjuS;{zlw?r=-aH0HKP9}mAayih?t(KXWC;F85?99k6k1pes;<3G}5AlzCIcoa7Vs9L_yjtiEGJssS~c!gytYD8%r?2fVv^^IN;1}Q$GW5<&(nXR#%DUMQPS4FChUJecTVySl8)1;5m zoQ7@>-CJBz?C_%CV$!xWRmnQzc{|ft0)WE!S)TQtvaPYB=$-X$hxjY`%yN&;oFM(b zJ;QT>!;)FxW|0!L(lv{vX6DG^n9BH?Ro3NO|FzzcNkPzn^%!=Tr%t4>m=rA(yg9%j zn)wTg2y^=)NQ{rLA;qS(fr`HM1n(@T$7c8n#P>eCTLWsKFW7Q>bO+QxOLqFM!N?9J9{ z#~Q48^E^cQ(T2#Rg4mpG!XubV*_W>L!$F^1XRhz7U@=w=AzQ!Gq3zMRIX=A0?BitO z!fEbh<-2o5YM!9SB7XNpM@Dqafx&))o;|QeF0gN{0hY`0DSVsVmR6_T;TR2tM!Itb z&rS{KvOKY2F#Qvc>Bvlvqo(6(bW(K7wOb@j*L1STd`T$zb|KV!=hs&chOzC>Iw6@9 zuSx@7NT$5!W>RB&?;)xAY;#DM9C{Ym#pe~``XymaI1+thS6LO!rJLSO02J#cYzAvZ z>vqEfa6t!e{a&U&AYz(2!M+_GeJUPNPD`q8!hl{(#MjTgpr^;RyO+v)w$+Uk6W9FO zZe=Sp5sg|i`VdBSWo@%JV@`1-*Zgpj+7hg5a+wOpE6XE#bn3r@?_ksYT@s>jhbjr( zaQx_S8Z(f`&ml;!mVDnT5m+;m6$)pFPRnPipmgi;)>`In0_nlrw_QOB*F^c9%5pzc zP|d7yWIoDoV0vD+U2K1fvW#1l%P3!7YJ5jfE0s!TB+E>7F=lHeEeA9Dn~38aY-QtS zR?)ec$6M`C)vc1|d24&+r-1|ZAMseI7Ck9+`u6-R{EI}{S&sywQiIFy;is@)4~SeV z>%Xf!nq!sfP4IM2N0wvy-SxIH$p?HITWJZ1)4M4X U4>m&wJ1a(ue&FA;=uG0001Zob6q0bECMH{+#>@%3n5Jm6`Br@YLQ97;wxq1{$z4o-dR; zaT4z)cFHevr*~`mx9^d7u?Vu`ww*-T)~!44ARQ!~Bc1a+2qaxx2-fWB+kA3&zZA}_ zPlD8HNx#UV*b>Z#<#;}V?D^AdK3Yy@)6y)YD>M)Tzq z1hZn$&1F%Ur|k*<^bCu)0B) zH{-u1*JI&nKD(Qb9v@NC3|cgKTs>e_vhqHytHDiQEN0h}(Qg1PyF@yT|9+Z}7mJ5)!eaIHzsA=~T*7jOn+1k@T;QUwXVaTWW}Ljqxmm-kWr7FI zoPxp8-SO({;(9)L!j+hJ^YIup;k>w|H@+J|Z;XXbZl%i2ggi7i>w(eJ)5GL?^z~sZ zJmjLIwODDbb6mi`C(C;$gH7WFROACt($`WMpj^BBK?wXFmBK)Ekq}UZ`S=!e%uSB_Z>?^rCT{WVYL4x3GhU7+4~t(EHc;XX z^nQ9hALBvrFF|OC%7tiL$f7I@lJ=V<|E7u!LRobCmlcfT)k1LH#_8!_T;ETgkQMr_pQIhL=X%3*;6$+-_#i9h8MZTUEvK5% z4gxRroy2qFB)tp*8?_-%w%hGA?pu}<$DO`MWOUqQb4JJY-MH)6l=2}baZS(t)3MX` zFhyYr-|P7OUfMQeCvW?6{W5`m>_l4yLNiKGjuXn3@kJI5%OJDT#O=BMC6WM3WJ#_+ zfcDspyrGZ}R`SeYoSMELBxX|R5hoGc3%0XB=rS@Buwa^)(WL_-xn*MzJ^NJ3LUm4@ zK=4I1N=>z?!)R!tv0`5Zk)8gYn3t&)*bb`U@7w%;MT4UNYU!Z{r@c(3VOohha8fV> z7_rdIx10cF2K^-LLl^pXnR6AVegywnacZHqHTr(!z}*9y(y+dM^t|+08&I%I?Z79+u*5iKnZLI)yKWV(4Pu)!+v zptM1w6FFZ}RqS>DEW(=On{Cg@`rIVSC)3+RIu6CCD`KQP(@%Ex&qDEt}&BxEs zAMfQd3;qd{2tkPB=bc#1LF!pu*Rw%@&~CF(W*bW5a_khIWTI)s$d6OcOsp=9wN6l} z0Sg3Ierm$N^dUyY^=t@TZpY1_*tDFwfMY>C`s{}3AdKp{$WvkDbzCbO0eK``OQF61 z|8aXLl6E~bVtQ@(>0^`qB9(%eoIo@Mw=f|FqsF9R5W7jiQotrYPHIKA{BCVRz=kbpx^H8l#Zp3C`QIy4Rt>L4F1GLX~3{dnYK zB9dqPgQMl7qU9vh()A1dkPbybS$%0T3{lw-h9HWeIS872sG;U|62Od9H!`QtVUJ{W7SL#`g=aFGFPGk2H zg#&=tHWcXkpP%0Ylmg6Ip`2lG1vD3MoJ_OavHUEDYv?b>dFS#;L+Pa8eo0LK<-o-Y%gFCOaovdF0C%iQz1 z=O4-Qjh#JT78&sT7C5#<^L&NyeCc4%XBG1m?)lvFkL3BS-94WH%vVKlY?iH`7eD3+j@qAU>-Sc$@JYNFGR%o8D5uUFc?D;K5JzwLV&prQ0o-gh0`7H)K zUk1lkX`bICJYPN3^A(9v&u?%!>39}f#t82pXNbg`7g?{t133rG+Lg|%Eg zG${1Ykl*{#)B&r{3sUx7pn1H=AiWeBAe~Gu2W%y5=!&8Lc;qlMH9@WHqo+0lYDuJ8 z%~m#yeoU=)saEzyhs~N=x*{n*u9p3A!@TL?7>|~yAS*{hvJe#UAe_3`sO0KMEcq;N z-w3X4i{SFM2rgA$=z11jWbuchq(ezbeUUQLv+eONU!}1*UgLp)SFYri>6!88v}dB8 zID-ImE`YnD9~FMYPvi}y!78_iNUr#=A|FKVC0+-#LoI~}Gzy6{)W&&3k&RZfL1Y$v zd)5#kTSQqE_(8+iLS6->oC{$PCwM_ClKF%etDr?Y&lcQl!z#JNAUc6nYFI_3u^HU# zi&f0hSdCSzYDtG+Rg+n)QV+o@ol&fkTP==N9|f!AtyqPgFlu16?WyBHutJC{^;&2^C5i8eRBDMp)b#-H zQ1Kk86e?1+4nQhZWE81niCLuDW1g%;Dp_V0skB3oN?{eLq&;5qaHM)4q*AvcRkIFM zD0;mXP{~RTA+m&ibWJoeEXT zAyB2V3RMcTP_;+!TSJw~EL0uxL4~3*3swA?>cc>lu^p;t4^`?bLKS+0q-X_*ywda^ zr50wO3WnfRmNp%qAd5n1+8{%oo_j}9a6JA_Rt!Yg)CZ5;82sV9P@J;_Mt_Uo2lJGJ4Pc*198xh$SS3CqEE}pZGx(zGH#t3l>Ngpd*N;xk{WL^DIF-2uryvuUo?@Bcr@`?&J-I^604}E~hZ56yA zkyZ1O(3TV3X#Uk`2T3<|>`NymiYQK}D-fvIb16Gvt{)*EhI-(iOLVu7*+oNEduCae zm6SIJoz7FZpYjCdd|DS6po6d*=7E*8x`cnJ-xdyWn8}GQE zY*XFi-7n`FoNI8dQR5m4g=;7*at%JO!MVmy#5L4Sr_uonDYyoG%j*5P2CMteDet&p zwbt5WF(&64oNI8dQR5mag=?rRat)nx4bC-w9rhTMIN3M`*=SP8Mw3CZfg&L%8=P$XEM!C9blNx2kb-Pbx1FJ% zjj$8hV09_KD!=Pe6p@n+PBu8%I0D(wDP%)uk!&cOY;dyi^N=7l2x}vL+A**$MBC+vFDOjadP%y$K z`)xJChDsF5rIzhy{QUN!nVfBLw#C^N$+lWGwq-~!ldTutG)aWWkv17&`vckBi#XT% zuw2V_I%eNXUXyNN8|=uo6cGj$b(ayyS+gzLJ|k!OiQ@Q_))qck2>ocqrr3DTQM`%U z5!ejSebMXa$ceTVmGZ_l>a{z90uEzY)% z%eEvKRMdS|6q#UKw4GLfZJ~KANykSGqaUR>D@$(SjotCyxyNB!1{2f-tWvHBCv37G z+hRi{3fpROw#C^NXImecZPjy~{yHE~B)Z&zbQw~l!O3G2+ z8cwEEr6$tbk+NU^)W^L#LTfri-OSaZ9S15OzJYQoA3y zDC?QEb~c18Z8n7Q+J-0^{3p=Wdqpp-@ttmu_C{^1W1}?PrQZQ? zJ&e*GlqmGx_Milu*S1Hivd?Ae17W?$PL za%m5ZJ$uM+Zx5xkN0U8!C~t2MwX}!Mo;}pJut!P6#L7wTKf+v#C$(cyS1L!N1k<-C zt6Jp*TIOt|v0x*;a&jJXHe%wTr&T%ehdCRuF!g{|DGezkh7lVnTb>!;&PGaQe`V%u zL;>`-w~uG0001Zob6p(bKug!vss;=h){?PNK7nA$>bas!QzL?H#9@n?% z>+R%^>CHrVUd-3B7{6>jG@>!-XuflTu<$oqOD_p{Aj++IBds^b6Z|1YxX=G(^Yi2MX8h%G zB0OfI;}@~QT9>$he@|BrU~G_lnx=YRLW9RE;cGr9rb}dSti^H;Wj?QAXrzM$6+jw0 z?b5Utmcr9`w!RzRtkw%K?U%2)LdZZ+5Kh7>q{+zIFhs^{XwPE$AJiMu z%bx`yc$|!(+QsA!bj(bS`|m~FLQUM_yY&Lw<94zdPal^*pCjo%CbL_}`usRK zTj>4dW--Bo;9r8!5S5Rj{!tcXSrA*lNXjpw)*zHckAGgnI9@LW$7!6O|1nuCku&|@ z`Pmkcv%B@t|7Ducu%SS!oKQ_EAC=gRe%D1s=`8_~w5FS%`iWt|wmv zI~`b1U{+Q#TR(l7V2z+CNdJNgBT|dPi#((*%6X}bC~i8to%{*8lBOGqtjIDn9lVBU zx^n*4`T5=WX$o!trC48Y9_O=3YKWVM=`*sz!13awYxW&?lnm`Ka(oYD#Vn&^4;{-c zXS99aO*}hxohVMOe7}R*kR^B8?IaplmK{aiflFj`op^gj*YTXFXLl&&Lr(0NuJgOy zN!p_Xg(du~?G5@#+l=h2?VI|g0)xm7cM1e%7^56JkS*i0EE<+UW+k!Hcf2bk0hUOU z9B&Bi=`^y2LOxi@HAhimdY&Jfajr)chwv=e&H|yU(2T)?No;* z*-;F^7u6_fs-{WJrU4`RtaI&$o#fZpyh<#;W1|}WyvzQVH#qd6mOg55(oba?rWHFw zI{`C*5p&Hv%l1*GKZt_?bfMQNa;~Gq3*kR2N-Wg2#=r}0czS3TGGS-rwFl9Np4%~F zQ;&UVd#*^&iaNMWjt5ODy3d0S>?DEXMfCQf@^(}O4Uy@&UDu3y$Pn~wKkmW6F@x@w zvMu)$J!cR=y>?-K=u59-hA&D6PF8g;L3Wz&xuaat^1ZHeHONNI(6SsqaqXe);$9uZ ze$f`Yn?PP(6Uugnaa?pZ8h(!7HoZ@1$R$JHg)xMxzGx_kk_;P{!qz`t24+#zFogO7 zvqKbgegdP4RhtDvgj5Y0j-r

    BC8xkoo z6anF&lSG#3ZAkhykr23Uve5<<@sf`3kz&~I+Yt((LEwjEOnmiU1U;VCqo ztQFW`rJzvQpwSKO&xtDbd%x$g&GyW;Yo~o~66KTWZ6Y1VW7HLq*|)netnJc6T|WdY zp^R*zZMZY9Lx_YfYGE9w;TQENE(PV|JQNvzjsO?Zhf#NXKDZIm$PaB|G)RD(slcxT z&q|}P-4ASN!5}0a@0-7ElQ5bHN#`k%v^wp?jQxJnb3h`rdL!XkLQv|rf3>YR>2=yp zC-Ff0#6kY}AQxHiPY{O)M{FcLp+`lTAMfPd{JCJamuVpLSkhS247ofMBv%dQI87Q~}ZPLK?Pu$qfJ6-HjyvCmXHJw{xe$`w{5eR#k*Ay*`q!Is9#{?y0|YwA1@jE# zbMQPK_?V1j8GqqmIV~wUO_g-KTs@>hUQk+J5|08@Hbfx^qA*xd7Wl0PRtGynO}G*m zghgNh<;^G!s+2skO=nSHTPX0DQ9p5FJM0%)(Cm!cnd*Uu&-EyBu18lyV8NBTmhHM^ z6pfO|xkAwZ5Vj2kI^L(vXMk3KIZL!N@UMaD0*sSsmXqW$3MWJ^^i1U1*9im&GQ>f& zX#3?xz!0@V+>CPAMq>c*p_&@Gv{c^;D5)5|#121Lz&Tr6z zm}M0%RnE&;RweUgpyTg&JS+P78Ni2`dE8(IKhdZ6v&rrG*=7_}vjCrqCxEH_GzvBd zE@KYdI7M^Q9bks!0ThBj;A2w~K^M0T$GWx?VOu!^JQ>smFD>x;rVJ^&zD6;JzwLV&prQ0o-gn1`N$nk?)eHhc9Z7$I^p@v+MX{n z>iIhNeD3*2@_c1~&zBkSd=(s9qj|nTc)nKK^I4tYGq~q-&p(pqtNVLC3p0F8q2W+x z_*yTX@4U?L-Hm7S^=b(vPgS)>C}4HQuf-z)j|4}G1kJr8!NC)LL(AGT4q&aehXD<| zocd~C5{WnIVXC??7jM$>d_ZN@Ub%>PGYL2M#k;6VytVJ6Q~< zRSJepMb-blT2`S}x|XBEfLf*A(nL-B`)YN*-zaaoIK-okDagv z5=(C69U8!!y8^hpD}YPoSGlf*w^sZnB_loY&~#2^if7j^yKHO5_Hd2I0p6~X8K!SW zpOU_bI^qK2&!qs~iau2M5I>L)6!t1TA|ko`zmmKkI#+n}&mOhpBG4!#(oh?h4MjFu zT7$^UyY`|XLbiyqO6Y@~6FC)>aw!CU6yvR|Nah3Hp@MemZ0xex1yynZL3H-2RG^B= zVHLd&R543po6JJh0Wtjrsx)Sys#OQ7bPiPcAAUldi@;6Q)|s!jw@1reu-B6o;w0 zFs1DJMI};TYS$Eb-CtC#3~(d^KIFIhMOCeZ22+xFN}N&){Go0FfFBf_IHgc=s#OQ4 znB561F^f|NM7{#2Wcik|&f>X^M zI7Rt6g<{v6!4#T{leHX0)Hi~uYAsZlQcn7MN-OY(y1`1)D>&7p;*?YerzBQ!N@o_Q z>a3)Y4Q6rbfFB+&IMw1f#c`@GPHDS-Pf>76dPAJjbMU|ua;-PQscJ3k{hZPZ_@V9w zl#B{YX;he!>%bJN`-2scRhTm58Zf0utiqIf0Qwd%rO2$ply<;uCWoohz?8mg6$K5a zcJ1b_iBk$f4@JsgM0ulWKT0hO{+==l0HPm6-KqeUP6aBZ4p1qq0+qrnP}Q0DQ&eVw zO05G_O=f|Lzn}VUfXdhbR0?h4PkBS2LT`?gW)2~5H1S8Ng&ClN0XUPTZPz5oq7axJ zkRfkLAW(@u$%h|#1(H}jU`09MscMqgJYY5U_q>r3-HHSL8`xfCmh0(7k%R8|*i7EG-D_V|kQ}nzDu^P^BHV6ijwqlsFKCdg-NBcmiDx` zr<}AY7h9CkR4FF3ueL}*FLyp~evitEbbJD5a9R&t9u)PQZu}a`NIQ41>bqb@E^mNBF#s*`!UDTHX_tsZh)}zRe3;rR zl-n}WB#;LEh@3(=6_Zz%M!Pf@{w`IsB`>dF+oNgG(Z~aQ+*QFV5@|KB3GKMHjpkjAwjcKr zyK`knL=pKFbUFUyhbyJm$PGf|!B7wUbA_JvFtupNYTqpCvf|=lzuVo;n*4&)WWy3C zbcNKQ4VrILUXGOHT!V9se?HgHw_WKCEF|X|)RSiD_aN-WHCWxhugWLn z8k%yz9zo7EIM?7@qrx@x3fGWDgGI6-bF#t7#*afb3?LKKbAy0}}l9hzTh z*(`2g!N=R9%PsK{jp_MU$_FY?QVxcaL|xHU$w-+$gz>VLM zYhfGgNwpLa1{L*i5y*L=TD0RuF0zZm@pY^%e2EbHXy%7t<5NcQ!EN=a78`46!6?Ov zD}+f7qFQWdM4?(uPPI7I;#BK9rdnue&gQJv9-ENfoocbYFql&xKhCgot`h<;G5SpEH)VtY{zHq_#jgHsMpISR^AJ=RWU zk#ewoT0f^8oN^qOax?*R-C-wcs?Q&1mc4~~7zI9W$kxGWRb&OHH(#pcHv|d){gZG% zyJi373g4c^->z`pLFFCQBkm+7w}Pu8)69eK&tmxn%im!jr`x9WFr6&Mi<^h9!hsZFw@k6W&d>iJ?21NpTI9`Y diff --git a/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.hdbx b/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.hdbx index 71a961211af1ed37d26c4d931477628a325a7471..815ddb3bcbd55ffb6223eb380c98440d2e7cdf36 100644 GIT binary patch literal 1610 zcmV-Q2DSOD4*>uG0001Zob8%RbK5o$fX~*i!0=LgMz#17CB>72EYS`dy(&`fs22`J zf-)Mu1|%ITnfSMN0X`%vvYkxab{hNO5xH0_cE4Sa0O3{(4dP@&$@)4&$Ac3j^?K5; zvMBaYKgck3pqVBybuto1jaCaS z#7sDmhvMuSQV&^)JHG|@?(nEF^Sf^_c4jwAotj=Gx#9`a&04{?+g%FvZWFjiRHvY7B~LUEb~830AC=n+Ie<=CHvO&^}l2x9?G(AOV7GMX4rPzlU zOXNI%+i>eJxyOD^IS&tK4hhoJ2GhR5kq2#Wkx+~)Frf$8w_S>P5xhl65S5nLZONi6 zBdPsHlHaJJ(73@gWi#e;x zZ6sp1$d3QYS>p@m#{RAe0HZGa6qCMD2{!0jMuNb|L{5m& zwbVm`0mzO(a+GZn=EP(=ANNNv#E~C3>l7r%3saxl`oz-b`ml)x)A7t0fn;cygXvWv zG$yl!)kGKb>DV@>i}^rrqS;)x&E>>;r<;Zew^P%yFAU2vCYMb#8t9`@Dcn)IGEBo_ z2F=mXw)(SK|9qsMFmD#dglpP-jKOpv0)ikx@|3Iy3j3rg4aup4`ro~}IqSdp7Zk-JRfD zQZwuVL+O>_lMH25EE&peZI59G7|O2OR3Xuw4iVWz5L_dXn@Q^C30!&Aler#{?gbp9ZL`z2K4ywoT9 zywB16U|AVM@IjV-9Nd;bw^HlPJUbbNMVAoS%@J+!af1a&K>AD8rgb)Sf_udN%doO6O+zxW zxNydgcx}Rt@lvV0^GR&yDW-M_R;cV$>b#NSH9HF{MQ$7>@aye}oX80_pKx`k7UYtq zY8_c=x4U~9G4=S7oLb65uWfr|jnj;c-j%S{Ub#J4QdX|(b+ulvBXxY&>1qDT*Kp;P z6DA4xLCbK&voE_WisbI&@K&uIBJS$;^fnCcQ;{E{vSYF8;f9I5#u29F$>@V9#0Lnp z4-$AJ!UjK7ga{HGc{puG0001Zob8$2bK*7-z@Nikq47(T85;Zn1}<|C1e~0EfL(0Tp)Vd| z%S5feMz(X|ZZ3a&SMmphOVVq4ZKsz!FyPf{wfozZkgQGzjgxds$>uso$Kw+u4TsW? zvM3JGD9kZ+p_!%$b#s!$txgB6l7gZl!zc+J(D)%kKF-J{MtPEiSsR7PhIl9_VvjXv zh?#I>A0_!UrYK8-{DVs|7gasjPm*V7G&4<OHWH{PP8$kQT60SR%2 z0-8jnG(a#03KEJp35hqn_c4jRu<+TVk8g;FQA(2yb)$%h67YybMaV-b?Vap~;jU*{ z;t@B;KJpWj%Fva>FjiDPvY7B~N^zEjTa*>+->{c+3wgrb0&r2rO?ye~lM+tl za)s3_JwsD)GX~(Sw^gh&kCK!RGTKm#nG5Z@%M5Q^$Oc9i6{UNf_w>QtG6Qa!hQxE% zAx2@P>h9DUT5WFN1Ie!eHfTnqjJpjEyE)p{ML{wKoJWx*U^6YCG|FOO2KHf>DJ`>* zp~#Jk!1eNi0^0Sq4u}B)LtMl|D8-C6l!#k^Ka_lA*&ta?$-2lBnw=tKhS-H+DGngU z3OUc;F5D(e?r~61&cnyKOTz55#k6m5>_gjIB$VR{Oz1=Q9gkvO1aA=%M5QD4JF+Ot zNF2VAlsBR(v~DoX*o^tqI;w(3%+AjR6m*dxT^G(;M?n#WPNm6Oud1KJQxTmP1j3e; z9un1##O%YAv@qpGYr5X=NJiFA=oh?3T3J8LVr9&Ui)f9x=U|I9teLqzabteh$O20KLvgf~Y*80r3vClODVAO-Ja?-bI!4_T1NDvsA*o`o{ z9w0#%h1L-Wj`D5Fe3%=H*=PzQ90j4f$v|>EHfE+i)fUb~x5mbmw$LVRq|eQz-9{G+ zW9C@KaxvE0$XsZSwVK=SG)uSO(XediLbq*we%VITu{NDn!ab!c-O_Dl(3(yhdt{oU z^Qm^i#$W1luIcbG#>R4PgEt~;%DihFxlZGuU@r8TZtGW?W3Nn2Xd_`fvcMT_ZgDY_ zyw@~S;FdG$Ibq_J>jhv}29A%t#K-h>?FYw-+(wE#Xd_4j{OWd3ST;V_(E0#PdWH4? zG`TBQ(Bz(aADRl#sM`Z$msl00{2U(!Fj38wZ({|`I}{>PuLRv z4T@?b{byNJ)ckO-ejC{mSsCzUsY_ zs!1vJiZ1mIR&<%I=;|w}iqxQ9&?WI;L1&!$ube7Q-Fi7cd|9W!YJNAeCdS>LvZ`Lr z9c(7QcK7(Nu-IiEmfpMR)x_#`(@s3rz9PIj>;H@R6xaga#bfKcNn7Rr$aM6%WrM6S zwY0!7ZEiR3Ilt=V=abWQG`4kP?wAG(Xki%klT-JL*k+^g!njz@ODlDJmkVQQHcw4# zIL=AFb$HtDO-?oq*)h(IJ=`=4{Boz|X6$yCUz?pzF2M1rhCbc6VS&G#;KCWdLv;wd zC(ESjDIkecWSBY?SfRF4tMf*RH|%UyiM%9A;oIL6xv?8=KjQkwILq1S0|~3WwKtR{W$k(XKphVIQa|wAp&G0M71v(5QJR7uv)&75OnLdlu^+UX(f;9AjEtJwA#;e1t&nK>|-i*y6W# z5J8G#AIBcQyW7Q=Nzj*Rm3RJ55fdnjsWE;JlVEhY7(vyK-)Xavt&iD6(d`xb^iaK_ zkgTaow@m&ap}&I!2LbkSa)Wnu@}%J^iZ88KmAL;uB=FFxi^^RVtBcA%0Ft~@C3)Bx A1^@s6 diff --git a/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.rcf b/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.cmp.rcf index 9615120d5946e70188e643afbf8b316b330f7b59..7ee905bfa0a4b76cc64d956518cb867b4be1880d 100644 GIT binary patch literal 741 zcmVuG0001ZoV}LYZrVT)hOhBcjKWPTMPv^?L6i${h~$bu0_5N;%NQrM z>e!JnjiM@j_U_uy5VOXOr7kyUJ0u6dt2w;YIG!%RKm{v>2xQQpmcCS2%;C>ou!my#m|*D13CP zw3b1xcLu{NVwu+jnU;B~ys_-zW6ZL~-V=|8tW_BOMqFStbO$#V7sF}qo>B+X8L|<} zWU0kk-K<9wt3Pt>@q9S7CgbtYnzOj-V4cM&-(XMN^ZvX)x!DPz9BGv?$uHm-$G~^k zWB7UuDLb~neNIvyEFN=EAt$cj#O6C_ce}0vB^*>0fRa5>)D)CdfszAYd;<}6kj`)k z3^xU%6<~S|7sW!Za>GbdF13QoEd2xN0Zw&$@?}nSrj|HqQ%y#-k2o)9b5fpigAYvLL{_P6O`#a)};c$Gn%U`aZ9jn1BhQOUyCWEL)_ zbZ$|$#+zZ7TNrm8j7l#+d;`XxGcBz#Ev-JRfv~tivnGmkR7pCzBppMN4wa;%Nz%cR zbWn}Xo@ue@-X-s*-Q2sz&b_OD^sKQ7?^@XSl!I$--2+4YTv+FMqkbN^qyD!Hk|U$b XkuG0001ZoV}JyZ<|06$Is?djN(fxMb18WI?BNzjzr~0V&~-(!kDC} z1R{(ZMOFIQy9>k#uy#@o>x(rzF#q4o&Me#Qc43xmvM_!CJBim>>Vd4anFBz>ZSg@(q-@8reT%^F(h%n!+zr=hOw6dG5}e9O#4U& zf(WTRyL`!?y$RAa3kOyY!RaQ9RzRo*NI$~H5kbg;d651N{QxdJ{}&LL|A5N+?AJk@JHz|O!v8vb(b%20tc5Hmd9odj#CvrI958V zAlEyA;RUhHOM*<>JXT&=^zb2OQDg6sdqY+#jQ%1nFdDk2*XQTMS^o|%j5%_M#sb-D z{|+rEH!(9uQ+qISoypa3W=|)Rp?$^O8gr|Nxt*zdHn9!VFFWsVKncHG+ zt(I)QT;C7UHd|HPF(om|)4|BtxgXq5t;T+sb6O*$Z3#6YA>B5NS@Q1YBf5aE-Y;rf zK3!bFDxkWxF#gytsAvIo2R|-a0M{EnU$0kKYny=~uJG=nZWF8))o2t||8FEGKOoAu z&V+|m%MC8-rIl9XErW=?FyjBNxOl~+9>PBFN8Yz{zMvimp$Er`(pjcn?EhrT?DP30 z27!tuMa7V!qDoPrQdEc(6)Z(X|3sxReW)>gMtM8=>bz0BZ{G4lWkuG0001Zob6p(bLuz}eolUcikCg7Ds$os*QuHZV??5JjP0=v8Ro@B zz)8GIAjM^7vRkvieY@pLY$6giB*av?>Kqc(ZK=D}Uw^W&$oV%KpOfKyy&&slK;}0Bjeji3Bv^*GGqRe`r^_=k zo!^FIad(<4;7#!r_-nXY60K+31cp9@Z;z6HbFAxmnEbqt+V&W00HqBDkH0vs-@kmvAuqczqwtR*^DX8qQ#>`{*tD6#VtD z2$sv~BU!Gm{~3%|yoc4Cn+1WpU-GWU^VuYff)kq@ht-ZOBLk_q#H*mcX37^%#CJX^zbkZ$D`|MK&G+k=t(V&)*0{M&v11I z!3NFyF!K8h3?8k>W0Dl%5(Cb?Sk9r(hc%=|lq}dmHrUaWMzgRa_oLbRW;9-{7ZBR( z$HXBF5OlD;MjKLOv<7zhQ{>k#6h zs5K(h&*jEB$Eq7FcsARk@xO>d-0$QnxF$48Ea))q%b%_9uLJH8bOr6#*jc7E?L3vC zyC``YEYn>$n*@J>RIWFgs-h|im=37Pn694weR_H`x(@*c(2M>!p3Y}M6o~O%_<&L9 zGt=|CT8|k+e?T3VStjU8v7kc-Os9E4+p-Mbq@KZC&%dy&4%!emciL^=?dv*q-EQB= z2)fM63#5#o%S`58(vF~ika$cp*zdICw}(EKC9Xuxe$Q`fE{$#9^e)SFq6@39^;FHi#_5j8P$0QPh$v7sP`C>h$&^)=J9Jk3k|a6JdEh1lt! zbm3?oM9}v%=YoPt99b7szkE$~VL0k~P<(Mjxn8X|ARB6EQs>HYI{tT0yYO|ZLve(^ zk}%kg1>N`1Qok1oE4G{XVd~VvmcHpw2*-eSQpuI;n-2WfU0-*_I!}bxDr#6d+PBbDH6S;1gU3Srr(_^6P%<>I7phl8(1IvI}rOPz1CNKOif+%yF|~bVE0sOp6Cy0O&ftt83#~UPG@U+IpgJJ`2ebWw?L3zvOd;#KOpj(iE<8_KI2C{$*2BVeX<)YW z+VIlnA^YjcSiS~;;subW_+Uk3e!U=CZ!HXbhSmhuYq2pKyWl%dW;t-vjz{F>!U9Mr9hi%|t z)P}~NoG{nFFrfMw$%W9st4_Yvv931tOo$w&0oHhgUm`%f!Sy`pIo-#CzfbXBnDa7> zykutLANr7V6piP5LmT^s3Ir7tk`+aOSreiTafXrjAdrM&3=O!WTxHsQb^@xkl8}vQ>OFxEDjqm zhZX~_KZAQwkasNKJS9iyK)_QR2SD{rlj)zB}&K&&PcOU|kpCzL~*& zeQ(?sbziSp;=aWF`{2H@JMIgxuh%3MCfwI+J>i}`?d#o)X7lxGi5-WnGZM-QzSQvr z-zqA;RPm(&FRJu)k76MgW;3t^Sv;Rn-l=1DXr zi&2J%l99G#q%9d?r698@$ZQHSn=0>xvSx|05@lPS|B!ZvS@Ym9(}%hNi%rHLJNUz+ z05zFZ!r5{qOaB$qnnF;K1MW%h8SFw?2&nkd*KQ;Wvo_qgk&Kqj+Hu@RYLOQwVZ0J) zABWlP)a&IcXe!N9kGk68Y!vh#aXPE5->k$+?#)FnUBE~g0WN)pnwU_Fv@?w46 z{Q*1mm3xIP^^;FMKS>bBqNlk({GMi$^fs9<<8hUNcf?QnX@qiDpmO>@r*Apzf*;9v zeUL;0OhS!HwQ^Qbm1e76$q19V{8EuXETJez20U#MCD6-PepUd_OytfxNH0=_l2U+p zZKgh>3egHJRQ92Y!mPbE&kiWZS#;GSEV^KJ^axkyILz*pT=6MfvHL@r>MLvUH*tlO zZmIGupX$eug?I1s(6hmc7O64%gXyxMKdYl{1J^0+JO>`QUI!`r4%51m>pKA zn^aL1QAKSZswnC+?uvYrRG}Q_wwa=qR8dmJYgDoOVS(x^hXvk5715(bN?gem^<$_) zFp7{W)Wd#OQBOHQcmP6fJmZR*h%4&*aD`G-uBaX-SJaO4wxV8gMadPfamDTjOt7rH zKUY+f0?r>rt$z?#JRhY1w-t?)0)%H~`6#(URZ6ZXx#Bgh*!^f7mX&ws3RU8#ZQ?4<54U{)R|rNqAXnUl!D6%+-#wB$ zg;dn0KMBS_AB)P*7&pM?Y;+$iqZ*0764P>ePR_>%=GJ#)sT2l-*?VKSg*vvpc zGFP_-Q6tZfGtzayUf1e7Iz1yc)M$5TdY9B??it}&f>sN^1^lUbz+8wZbb-feJk7ST z-1E~=JZm=367oj4+~-3jITw?L7k^)S`W1-CIQk{+X?|RdzEo@nvYmgUK31d38L8;_ zJ@_s)UEYi>KPa^^{JgN`L)6S2^D0`1nnegvBX_2|Xd!A8AVf-f#_4&Ogn_|@*hXC1 zeTSiFBR)F^LXo!zqWRH*NDTfRXy{ID2u^ZkL=*~DkQ130 zeTdIZ!I6Z6`Yb3FAMJBX@Nn%xeHJjt2m4%=1W$<_(&y+=vcvi;3m$hksLz7Z_R&5o zf{*PE>a%iqpH;#B^Fe)95ASnTu#a+3pR0%PIbEnoxxcgV|E%SryTXrM%qfE6cKF3y zE%!GG3KyeV5n|NHeSdr5VpNEmf>!Rkt_v5VBHVtfa_)P9ixwmGg?p;Q#YoM4hic(s zBp~g>$EcS3zRAMHs0cM1pKcxs7C8lpk^DI^f`6B`=3T~{(Em?V1e-V;G0~zwXvufB oeaEuAmzIXlTuG0001Zob6p(Z{o-jeolTxicF4O_~ISgc!-v3^R0;PkWO+s= zv%7FaZr9ThikAet7*5Azwz>}%WI4NC{W)9&yeYl{{~NBBMC;i$nGYAMDJVwW;KgZI zk>+svNM`HRe7z#K;Urj++r{i5YP}^e2DzOrg1cEbz2jGZ38$mUdW>(!!5`r$AoIoS zZZUj#z@`~k6h5pc+?A-muTR5p)0fNHC>*YWF&WQB>xW>vij?8fa0+8RL~qfj;IH{2 zSS}}zWVycicQ9J<9#%7M76k5L$-5rSrsFUQPHb`zn0h5zhG_ z+T9`u(1f$(l3s8(gxLs4H;z*7;*36+8_mFQKA(i6;msrth9q}gaLez}Wh7=jD!Jb9KZ3;)!SvtLlPxMIx9iEokM&S^75}&OO(N}e2=P$V z8jYughq)49mak6)Ahqmz&(Plp#26r%e1DQr!sUG zB~OE8x(lb{;4hHM^+r=wR7C;P0W}%Z)zg1YPj810A;187(H}>X*))g(F}e@u7==DF zJ-@5bm$e+X(~TtjEj?)PP7tP0YLkdpecaP>@5E3~k{0nrT{|<|Tf(o&(oH>~v7N za5N7h=zE%TK|v*stP84NzNWe`9CbY?zBr;>uh#2wt=5E$KIvRrPRIZ5X&1h3btsPT zR}u!>v7q}NTI%;AVa0Y6KTMrk*wQy03gNh-om6t|`lbVab=TKjv5wOjnC-qh5KB9n zr!_oF-Y%8IBDb@}roIVt*70p-ZZQZuePKMXMiEQ9hUQ*kG{j=dyM$cP?CzGnb>qht zi)|Q}rh$j)Gdr5|&i);h1K!K7?xV2RKj-Pa9Ehk@7?b1esC zKP9l|0OZmPwza-z`3@#J2Vc_cP=g|%b@&IevdbJdD@HeT!^yOG&;@|5YUz|okQDNdU1u`B9B0HA)Q zF{pGM`m0}+dY8W^6@;2v+n~`TXqo=A?d?oEuX;EauGXVn06xuK)U_O_*n+gm*v4n` z+JSmwpoN|n6*-(z-V4gP3^Vnc3)MHe80XuxpwOU4mP0e?5KF)JO+6}fv}aQozVBqD zJ?*#c8o*VvXsM=m+P>ylJ^zw{f}>v!$XrIUvfAIN?)jIUHtYB%cR>8V9eGQV* zgp%Oq42U?z>aZ@07*Esba|Nmc@_#Vfzp|a@QiLgFU6<+6?8k-YNeia}u)}&-xGoLM zmR=iP`aEPmJsHc_08qRD5*m~|G{(0rmwCxz0KzhzjRVq#YoQDce2$x`L@I+u1;a9% zhtmlx4^L0v&B;tASfs7K7b%?Z))Tx4f`(NNk`X$Nl~4w?^CAw>$q7t+X4A%b*$@6Jre?lS%4)T(U%AiZg4%%dCvB+;Ga|c6Xu)@ zBPW@exQ8wz9Yy2$-oVDbp#VVvgo8 zHAi!MKJ%#4OT7@qncErp!L#Rj;Iiw%MW&|kK@FW6*>xL))MXb~2w?fqh7OteWAhpy zaR_H_U1wX@u$BbU%(m&wPs$T-a1EFl*Pz!vl!t6}gIdwH@4Z zT|?Ar>9(NNv+RhBQ~MAQ(V{TUIt)_>}1UJ&VHz z#G%D_>rdep81C!N22e{P)GHa(OZ%d}RZ!F`CF)DmzYpr=ol)QVeAFudYFUJOHG_J2 zU)0M*C404meF^*bfxWUb?BxQmy$Y~aM7XbJaIfr*`+7lfUoCN8;{JVbukMcf`sd@m z3b0m1xUXe!ukMZeWb_pH#C?hT_rZN*cib0XU$03jOt`Ptdcr+>+Sj`sPG{@Y5<3oCXC#yre5vCL zzExCwsp3lmUR3Gp9>t^nFiD6o zSwdhTrsNu83g0R+zVNqAgqX4q#0s8J?T9IT__9T6kqzv}$Qh8NgEU&vi zS*N^mpRlEV@~P+N2jW=tH1~(!(`=I7AoC?Wt}*al_(?yFQ0@v;PXFfgEr(t3gBY(5 zl4yWQs8Oj_&MK0p&Q0u6l$;7tD?x-s&8O*`1OrK7}iGe;iYJWi9?Du8`8r zRKDF){TQ+kj3Q) zHKy480bb>mtq>9#2QiURwR8dmJYgDoOGvCT9XFK0U70slG^M^A&Xa`C#N&%>%mQsN5F?Lv? zZc;^6L>09?s6v$rN)?KHlvJS{=eC)mmQ+zv#cNct`!NA5EALJf(PKqQT*(#nW2izf zijXSQ!+us#PdPw%^g(VsRWp4^7R% zUZaZLPa~!aoh%1`=aD`e>u27|; z930758DV7%oQlkK|q< z6}9P)gAvfjqVhAw4X`;KJ_O6CM&f^oX*oTpz4y)BA;Dz+z5ol@%s>P(SGS-r)6N;; z32{cc4jAZKeMhHf#D=2m4ovToy39Qz96Zo!;g@|sHD56oLI_>pF&a;^ZLIJ7@Dopy zje~={4X*b2NJ);xB;m#1%AS7NAu^19Nqd?fSD!Bx+kx!n--+@-{mAc?$RyH2(t=^`=x}YZhkOQi`oFJl5D1w~G#OOnOZU~MT z9Moq)Y4~WLn}UaB59+gkAwJsYmf)$cgZkV$xX-F2czofIKC6P#_R&7ef{)=2>a%=! zpB2G=@pphzCMafyU%XXwzb5ecL5Y5t z;IpGr&wbZ=0isffg?=;lP1FU5N)c|76)E>Uy`LWy<%N5p!$n2OeT!)Uq9UNz!$+l> z`;Nx~M5PGTwwn9#y3Y^F=fnj5T-us<8E^2WVc^^96gPY0y_20bR5n7QML!YAca43= lvb>j;Y0q5gX}V)|)8Cnl-MMhAzMZ~{UHRwq^#6^Ek}=ox%z6L- diff --git a/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.map.hdbx b/38_decoder/incremental_db/compiled_partitions/38_decoder.root_partition.map.hdbx index 748425179bb019ff1a75d26a0f0c57c66198314a..57e6d02bb25b8dc357bf12e2e525beca592a9d2d 100644 GIT binary patch literal 1536 zcmV+b2LJi24*>uG0001Zob8!QZ`(K!$ItPnAavOT@Wy&smK*foI7+M553L_rcrSu1 zQ4Y6yft1p?Mf=%zNImShP8P*>FGdbQ?BQ@Y{~w1IMRq!9m}Xl_HupI?A6_7(-&cND zWx0qcl9?@f$+{W@M9~JWV6kMp3#UJ_?J(=gkc9 z5MC0XG{46bu{6v-dlU;()q{T|IYWc7<1Q7~Z)FReE~K7Ntd=6*&q?gc%BH z8kg1(!5An^Dc+6|V*NMva}gm=MOpwZW+JqoCIKnoR4G?j zoiZ~tf;1BV&U?>`HS;OS#2|wW#h9niuDgu!#)H|w=(eKtr1PFXq+8B_mt_(0y>*09 zRJnRPw}w_*1o%wydw>m|F)4MoAz?2^+qx(S&#XXC*LhByl&Z^OWHzMNY#*P1M>nRU}f!|B+uM_{?J=fmk;X|yNK za?wV&v+3BKPnWZy)keTKeP_9gm19Y5Bn=017~Y!9g_&DE zT9zY;$c*}Kl=|fl25{F*H^6=xV0yU@!gEb+BTY5i2xbC4>G}nqj&BV$6R0V#)Q(V7 zb-ALZc8vpSJ)ow(QaeIT(e;X&V#rUasS1)v!gA%`SLsn!V7xv+b-kR~yy*bh&$2Q9 ze>PptMKgelA;W6aW%DTJC#qY%_&%d&w2{g8uUcO{*o1X~+b`MhpCUK@qEvD_d{I(if42H1TmBQ| zrkZlaP3<4NDygs+T4}G`j&tL0OeHt{@O=RG9V`8n+fihE4Sm^ zwEDTAbPt{j8hwcl42ZSDJkY4rO&r5E~M-w4;Cf$OZiILjapTFH^GzU{Lpr}Gd;u(gi=95E zKws`vUUs@gLZB>0)8R)b`@y@}0P1}B-Wm@U_K+_Pd$B@akJTHB$eMa|%k7_2`UxyJ m46&b+2fVA37Xw!+evDpK;{IBfgtY30W;ct~4b4CC1cA<(%kX#r literal 1527 zcmVuG0001Zob8!gZ`(E$$DiX*LFi=(;KjOGwj1=pagNw5Xmi{XdB(jj?x{y!cv6xr#ZQJQTj+1%&od~|`7!9e*{ zmE{2%MmeS)6w@rFUQW`a)#;#BT2NFljMDI#8ow|UU`93x%F{GrZ4{*&;-j!gd|u2D zci|-gO7nY65lh4Ti$}3ARW0}<$r&0>Y#U`B%@e3ty5Wr*S7l8v*`l<_vm!?!i7-PU zP2iTk7rSmm=!~U4 z@p2rXAoYtFCwW;Jnvw+iipy872|s2OGZt+TE7pHuKNlYIRD=cKVkTVsX%dhUP8D*6 z)h;7LV+b<=;Jmd{teH&L%8J#cv%(^-&;o* zMO9UASFNGd77o6U{2pLK&6t$B+YqpqqivlOgmK_P1xvwaRzPZ$$-*7%!!C!k90i7A zFDXLL&kG7@*V|eU2Lz6UNk}NooHnG0S3o?JeC4A-SWd~h$WzKL5waufLAMl#ps_+O z#&0LLktre$3o3X7IQK}zE?d0z15N@cdyk~DUx^z77=72LSR}!Fgd|z($i0p#t16NQ z?-cEwtV^v2Oc|ds-&$vtXvF>eUO++@3|W?R)jA7{C~~Wsy!5Vm99+uix*#B1)w)PF zItup>Q_{gyB(3fFpOK23-_Rd;jm$ECxW~$wn-uXHi^#zjFIaPTd*;Udu8<4kMZ5m? zJ(48Ak5H@_y}qVus>G#bKjoxv)rKv)SCJ%fGKm*sbl*pk zB}=U{Fr4MvjK?sY%_qY#^l%nN-iCqYd^DTb*4Ui8H?R++I zo!N3eGTX?Wo368(Eld8=_Zm|VFexWY0+XuC6(+T7JjG-H zCiR3#U{Z9w!lW4TQ%s7CB$BXPdE?9j*d_yMF+_KEt~%T&!)?{Xa;aGWSDQd z+ONgaOeD6j=vy>TCZgrwBIT#-@-2Om5NY;acE ziBwIBfB2N7^uujEY#&zoiBv^O>FYHq`QYnNg@4Yg?uk@QN~>3NrF*cVt9(T_PNXVQ z{dz%HKT3EBG zYtOju`E0g$b<6k@g2`|+pWQB}rI#ARhxu%2H*XCBoa7|mx?Q*SF+D~0w6jHq5 z``^msr*Q_q!Jf%WylDFs*XQ9;p%}VhDBW&fKd6z?K%A!6_BiOe0oh=d^WOUkHo9wn zpeowh_k+GM81$50=z9YrT!#j(qw?Y`gE(jU)&1p^f3k6@>=C>qFW>c z(qcRteS)+fewYs-&qp84$#7wf_|&i#EA;KTd_xggQ;%-B{Yy$eg9V2n_H*)pcX{%v d<4VQP-m6U9pX-v4R$YPYMzOjA`5PU8Rak}J@u~m- diff --git a/README.md b/README.md index 46dfc5c..462bc05 100644 --- a/README.md +++ b/README.md @@ -2,6 +2,10 @@ 计组课设。 +``` +板上实际元件: 电路虚拟元件 +``` + ### adder_8b 8位加法计算器。 @@ -43,6 +47,11 @@ LR0~LR7: Q0~Q7 3-8译码器。 +``` +K0~K2: I0~I2 +LR0~LR7: Y0~Y7 +``` + ### triple_selector_8b 8位数据选择器(三选一)。