From 75a5e91537cbdde623e3ebaef32061b914452388 Mon Sep 17 00:00:00 2001 From: juzeon <812312770@qq.com> Date: Tue, 8 Mar 2022 15:18:13 +0800 Subject: [PATCH] =?UTF-8?q?=E4=B8=BAshifter=5F8b=E6=B7=BB=E5=8A=A0?= =?UTF-8?q?=E5=BC=95=E8=84=9A?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- README.md | 11 +- shifter_8b/db/prev_cmp_shifter_8b.map.qmsg | 4 +- shifter_8b/db/shifter_8b.(0).cnf.hdb | Bin 676 -> 673 bytes shifter_8b/db/shifter_8b.asm.qmsg | 4 +- shifter_8b/db/shifter_8b.asm_labs.ddb | Bin 0 -> 3804 bytes shifter_8b/db/shifter_8b.cmp.bpm | Bin 0 -> 612 bytes shifter_8b/db/shifter_8b.cmp.cdb | Bin 0 -> 4758 bytes shifter_8b/db/shifter_8b.cmp.hdb | Bin 0 -> 7616 bytes shifter_8b/db/shifter_8b.cmp.logdb | 1 + shifter_8b/db/shifter_8b.cmp.rdb | Bin 4512 -> 15885 bytes shifter_8b/db/shifter_8b.cmp.tdb | Bin 0 -> 3658 bytes shifter_8b/db/shifter_8b.cmp0.ddb | Bin 54783 -> 54725 bytes shifter_8b/db/shifter_8b.cmp2.ddb | Bin 41704 -> 40060 bytes shifter_8b/db/shifter_8b.eco.cdb | Bin 175 -> 175 bytes shifter_8b/db/shifter_8b.fit.qmsg | 16 +- shifter_8b/db/shifter_8b.hif | 40 +- shifter_8b/db/shifter_8b.map.bpm | Bin 601 -> 603 bytes shifter_8b/db/shifter_8b.map.cdb | Bin 1687 -> 1688 bytes shifter_8b/db/shifter_8b.map.hdb | Bin 6987 -> 7385 bytes shifter_8b/db/shifter_8b.map.qmsg | 10 +- shifter_8b/db/shifter_8b.map_bb.cdb | Bin 702 -> 702 bytes shifter_8b/db/shifter_8b.map_bb.hdb | Bin 6331 -> 6778 bytes shifter_8b/db/shifter_8b.pre_map.cdb | Bin 1753 -> 1761 bytes shifter_8b/db/shifter_8b.pre_map.hdb | Bin 7605 -> 8130 bytes shifter_8b/db/shifter_8b.rtlv.hdb | Bin 7603 -> 8132 bytes shifter_8b/db/shifter_8b.rtlv_sg.cdb | Bin 2247 -> 2260 bytes shifter_8b/db/shifter_8b.rtlv_sg_swap.cdb | Bin 634 -> 632 bytes shifter_8b/db/shifter_8b.sgdiff.cdb | Bin 1441 -> 1440 bytes shifter_8b/db/shifter_8b.sgdiff.hdb | Bin 7691 -> 8193 bytes shifter_8b/db/shifter_8b.sld_design_entry.sci | Bin 168 -> 168 bytes shifter_8b/db/shifter_8b.tan.qmsg | 8 +- shifter_8b/db/shifter_8b.tis_db_list.ddb | Bin 188 -> 188 bytes shifter_8b/db/shifter_8b.tmw_info | 6 + .../shifter_8b.root_partition.cmp.atm | Bin 6885 -> 6909 bytes .../shifter_8b.root_partition.cmp.hdbx | Bin 2013 -> 2018 bytes .../shifter_8b.root_partition.cmp.rcf | Bin 1149 -> 1270 bytes .../shifter_8b.root_partition.map.atm | Bin 4566 -> 4573 bytes .../shifter_8b.root_partition.map.dpi | Bin 821 -> 826 bytes .../shifter_8b.root_partition.map.hdbx | Bin 1930 -> 1944 bytes shifter_8b/shifter_8b.asm.rpt | 70 +-- shifter_8b/shifter_8b.done | 2 +- shifter_8b/shifter_8b.fit.rpt | 422 +++++++++--------- shifter_8b/shifter_8b.fit.summary | 2 +- shifter_8b/shifter_8b.flow.rpt | 88 ++-- shifter_8b/shifter_8b.map.rpt | 26 +- shifter_8b/shifter_8b.map.summary | 2 +- shifter_8b/shifter_8b.pin | 262 +++++------ shifter_8b/shifter_8b.pof | Bin 524488 -> 524488 bytes shifter_8b/shifter_8b.qsf | 25 +- shifter_8b/shifter_8b.qws | 10 + shifter_8b/shifter_8b.sof | Bin 240789 -> 240789 bytes shifter_8b/shifter_8b.tan.rpt | 119 ++--- shifter_8b/shifter_8b.tan.summary | 6 +- 53 files changed, 587 insertions(+), 547 deletions(-) create mode 100644 shifter_8b/db/shifter_8b.asm_labs.ddb create mode 100644 shifter_8b/db/shifter_8b.cmp.bpm create mode 100644 shifter_8b/db/shifter_8b.cmp.cdb create mode 100644 shifter_8b/db/shifter_8b.cmp.hdb create mode 100644 shifter_8b/db/shifter_8b.cmp.logdb create mode 100644 shifter_8b/db/shifter_8b.cmp.tdb create mode 100644 shifter_8b/db/shifter_8b.tmw_info diff --git a/README.md b/README.md index 462bc05..a531c07 100644 --- a/README.md +++ b/README.md @@ -58,4 +58,13 @@ LR0~LR7: Y0~Y7 ### shifter_8b -8位数据移位器。 \ No newline at end of file +8位数据移位器。 + +``` +K0~K7: A0~A7 +K8: RM +K9: DM +K10: LM +LR0~LR7: Y0~Y7 +``` + diff --git a/shifter_8b/db/prev_cmp_shifter_8b.map.qmsg b/shifter_8b/db/prev_cmp_shifter_8b.map.qmsg index 9687781..52c24e3 100644 --- a/shifter_8b/db/prev_cmp_shifter_8b.map.qmsg +++ b/shifter_8b/db/prev_cmp_shifter_8b.map.qmsg @@ -1,9 +1,9 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:15:53 2022 " "Info: Processing started: Mon Mar 07 11:15:53 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:17:07 2022 " "Info: Processing started: Mon Mar 07 11:17:07 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off shifter_8b -c shifter_8b " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off shifter_8b -c shifter_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "shifter_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file shifter_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 shifter_8b " "Info: Found entity 1: shifter_8b" { } { { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} { "Info" "ISGN_START_ELABORATION_TOP" "shifter_8b " "Info: Elaborating entity \"shifter_8b\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} { "Warning" "WSGN_SEARCH_FILE" "triple_selector_8b.bdf 1 1 " "Warning: Using design file triple_selector_8b.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 triple_selector_8b " "Info: Found entity 1: triple_selector_8b" { } { { "triple_selector_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/triple_selector_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "" 0 -1} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "triple_selector_8b triple_selector_8b:inst " "Info: Elaborating entity \"triple_selector_8b\" for hierarchy \"triple_selector_8b:inst\"" { } { { "shifter_8b.bdf" "inst" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 32 488 584 512 "inst" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_SUMMARY" "33 " "Info: Implemented 33 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "11 " "Info: Implemented 11 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Info: Implemented 8 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "14 " "Info: Implemented 14 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "229 " "Info: Peak virtual memory: 229 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:15:53 2022 " "Info: Processing ended: Mon Mar 07 11:15:53 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "229 " "Info: Peak virtual memory: 229 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:17:07 2022 " "Info: Processing ended: Mon Mar 07 11:17:07 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/shifter_8b/db/shifter_8b.(0).cnf.hdb b/shifter_8b/db/shifter_8b.(0).cnf.hdb index 07be9fe403ac2aa24faeabea5ca1f46257d20a7c..3174167a6946d1792bda5d6b62b9336b6465deca 100644 GIT binary patch delta 394 zcmV;50d@YQ1)&9yR)59;00000002q>00000005x^00000004LatdzS>!$1&4dG$0D zlzaj5+lVTWTu|7JyQzW#j<6H~id|4rQt}y;dicCiYk#U`b=BUjW@q#B`nG8S zOcT*mG!~6SL(xFg7xkcd0nJ29QIcH}lH@6p4kX=3`ct~$HNNH>A4x=3BD zE>V{bE-MC?t1H!!H|&y5Bb`P%jdU96G}37#(?0nGyBy02*E^ewJvLkid_mBB!J2@1 zV43je^u+bT&wl}(df4VA#M|gMgcrhJ0~?6INrX-!auTtVNSs7ECSDOrzupsapvnbt@sM oAM&}In|je!_!l^xUoZXu00960cmWb%V*mmWAq2$1?vvI5&UPiW(EtDd delta 394 zcmV;50d@YN1*8R#R)5I>00000002q>00000005){00000004Latdu=Y!$1&)`Rk#e zNXZG1x{zCRH`*VA4$v;HfU;wRG)pAiz)_>)qoYnWM$;I@tx?9%* zn1q^AV`@YlQA6sG8it&cozWDdDMnL_rWj2znqq!a^i<=#P0rL6bnlp89}}FR-(ao}V9-Zct%#4{#* zz)xU_7B90HXWI7R$X((|IMaFwXId}eOzS0_X}yFqt(S17^%CtxzPWbOopzkd4)rII o^%4b%V}z`4t9f0@zrgwQX8r>J0RR7Z0TN(i00Iyp1e4GK(N?jz2LJ#7 diff --git a/shifter_8b/db/shifter_8b.asm.qmsg b/shifter_8b/db/shifter_8b.asm.qmsg index 4449273..e680608 100644 --- a/shifter_8b/db/shifter_8b.asm.qmsg +++ b/shifter_8b/db/shifter_8b.asm.qmsg @@ -1,7 +1,7 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:15:56 2022 " "Info: Processing started: Mon Mar 07 11:15:56 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 08 15:17:18 2022 " "Info: Processing started: Tue Mar 08 15:17:18 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} { "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Info: Writing out detailed assembly data for power analysis" { } { } 0 0 "Writing out detailed assembly data for power analysis" 0 0 "" 0 -1} { "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1} { "Info" "IPGMIO_CONDONE_ERROR_CHECKS_DISABLED" "" "Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled" { } { } 0 0 "The Active Serial/Parallel mode CONF_DONE pin error check is disabled" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "221 " "Info: Peak virtual memory: 221 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:15:57 2022 " "Info: Processing ended: Mon Mar 07 11:15:57 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "241 " "Info: Peak virtual memory: 241 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 08 15:17:18 2022 " "Info: Processing ended: Tue Mar 08 15:17:18 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/shifter_8b/db/shifter_8b.asm_labs.ddb b/shifter_8b/db/shifter_8b.asm_labs.ddb new file mode 100644 index 0000000000000000000000000000000000000000..8cd0a912dce83f91bb7f54e531f7e6f81c84fe4f GIT binary patch literal 3804 zcmb7Hdpwi-AGf)TLt>Q6!i1sIVWuoIbi_nUE|HVVnaj#bLz66B=7^B{7F#ZzT$2uR zDWVYNo|YWREtf_yk)lrG_nmZ(-ygr%?{&7<>v^`RHU&U8fkHg;_r*Z816)(jr0w$`WQ6Y80kbl8A9jw3NhCtKtp zvNzJoml6zab1#8{X9x+UNw1!SJincU2G+iZLZ&n8s2aVS9+yo!sf+s1(>K7hl8l+uS)3yw&jjRc&QCLv62?rDIvd!(>y5=wexkXp!LY$)*k) zu3mQ$9YeYDv56SF5b2Hb$eB%wBt3T2kz@PL&t44HNSBPdte!l^H*izjX~TKmzeSTAI?2#jm*O~voQtFLl$6y?egj(Fo9F`Vb z6Er_QYS7dv+Vm*tO%I+^@qD7^%R0=uz<;$p8-HS5<``D|zGkAx1*BdYY<2#G&O zcyr@A@qsi@*Tf!YmxpQg(VV7^?l?|uuQ)j?9}~mTkt1iF%Cza#(bK0Uq+hE{Z)R$w zkMXLEsdl|Bwx8fX7% z$ya8z}eUH$xdzash3+HZa!IQRXTX23B+$63;r6ysB3|*+0ZleghKG(0nFHNDN0locq zCxGkVy(|^L1P#@1OemqfQ5sf~jf=a~m~-`aB1<>(ddKNy8r-Ue^lrz)Po1LJcucXWw>#pwM|@WyXE(7C;V0+UaVq z6|lEb81CO>)5?QMDcN;0ZBSG8NBp(As=8GV`E#bdZ*8TQVzGObQ1$YV2$t8$8MMZq z%8IE5#;4hHOR4JQTTr4bTJW<7DqukQ+#82cMQKp)5m<12(#Uf>$G0p&#U8H}8=_I8 zh)RK>H#n{^NydZqlgjg)r^H+-)_S5h%Fmsr;YY(2)G^ zAmC_r;gt#gs@t?7N)Rqu9k5RT7rkMNR3jAEgXg{&3|cK~PCS4Fb;67YLrvesDd64` zbE0?rLoYlxJJT*~Z}+TMqX^L6f#;oL5ABte%>3D}1d=c-ShUQEAYAemkC#?ffm>`8 z6Kn?b)wnOiUr(PM;pMu!0I<47;@Yzott+yLvtlT;mfYKpEa<+;No6IgTrbDTic48i zpx(CC)oj>Ji0B)9vp4OO%>w~o?s^dYXDEKt|duFc|kIdW%*5`&f03E z9VFl%BG~mT;tj*FAGTl5xkiys{=0iL8}@%QPsDePd4%Q+6|;VuJIq*hf9*cIf4jmJt|Q84jgZKw$H9C^PrTMNo$BB zpcg6kSmQ;1J3umK=W9_KT1s;A;Jl>|cEm}!Iu|o4J5(={?`x6S=R}fF`ML^A+vkv% zi^(tQOXCFHBBfq#-}nid*nl8s6Ynr-3l8#pd48K9*hzL-XOTBu1O|hQ{)K1{Wlxz8OqY{!1h5r}%}%Sd0VDQ%d>y zmu{my9E(x!Z&4K~Qh^jOe8}3oTzf=PC0^QR>;xMGsDN|maC&xst3>9)R8=&;%iBNT z-Tt25x$>2OvakF>1DDUORQLp-?^MEO-T08pPbYQ!wckzoTZZ)cHTi1aJbE|6z#~W2 zQCKaLKxBL#D!tmuomBMOzIWTa6(`%^w+7(XuXvKN3V@wm+@0CSHPt~U?)1`4%hAV4j3xe z-GsB%$eA%%FIAkOo|M6NsRqq+?`Jm|Yympy2zk#?Z!Dx^S}*c7`)wqmR*%hA4GCUBzyQzsmO)UIV~cJU!jN&J?7*R;#=B zf>l&>()q!8PrdVVOE=Gc`Ngg7?7_D5Lnog4PZdUz`z~y1^Qg=bMwluuVd|w#Sh1)To);bK`GQQ{=zR*vty{b zI=ys-YiYyFAA4(@m#f{#G^HX|<2LgzwSSy+DE7HhLhU~=nyR)O5|_R>iC}LfeJTZw zBso?%Rjlh4)x$iileX|Y#k8|gXOMjR(-B|kn>$>|N{)}WooN|E z=fHB^RGwUN!Z-gJ!c{BjIbD?SIS|?wcgaVP^Ihk*@KpB?CWb^vL_)@(Xeym-Xm z7dy@fwDA6g4oIU*nqya3+Z;FqfssoI#gjC+ha9 z?Rof5nG4}oox~kt`RGz%ZZMUSQl6`+^W@J{(5Xudl~k4XN+ObohmeKK^@FZE84RRh~BxS+a^WCTf8MQ8C`H|b7?NlCiMt}_F z8Xn%2-h8c&+o@Gqo=5{IcV$13YU-^ia5){(jI4Asc>;89CdppR>S>{M7`?;NSG4+8 zvVI)8G`Mv8+$Y${`PSTpx~Bp+AaK49A{&3C)qOBAb=%|A&SnMf8R~u@(^2(1B=~fH z0)4R7de~c>$ZDP9)dXTP(teCzkX+oQ0|XrgIRVpg+Tt$7@dVRM(XE^(aRrt}+F(jZ z+%mXfa}`kcx_M}UXPQ^{G+7Y9^EpeS|IAB*PBiVq@da~WvO-NVgZ(C@jd487uQr>l zl$eGS48HjH-dMPd;o2XMn7=m;25nn(#VfxC8xZh1@jCGdj!6g?o84>Zu?XKQT)mJ* zFx?rBBLu(H{r^{b@6CZfpkt000000O|n%000000HXi^ z000000AvCH000000C)l9RLf4oFc1t62?X4@Aujv?DI|fy4XGDSaNx!vYSSQs+9V|D z7x8WU0us-#<9du$O348b2us#_C*$4mdY!ti>rKaTUc~<7oRgf-l5hXz?7uRSz7DMbS00030|9AoV$jSf&%s{LR#7+Ue@lO7selCtd zk?{fkK_T(Jj((2ru0ioGjv;^D|T!L0T9Rz$60$6OiTuVvybd$Dk0;5Kn(U ymw2Nrkf96=EnpI&OcKZjDf94jadGuSwdv!x;tG&a3=BG8l7T@DN&^4@0RR8qnF${N literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.cmp.cdb b/shifter_8b/db/shifter_8b.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..dada3800167ed9b74dd01bd3ac2ded703a461590 GIT binary patch literal 4758 zcmV;H5^3!Z000233jqLb0B!(300011WpZ@6CZpmH%000000Cx}o000000Mr8j z000000G1K}000000C)lHoO!HWMIFcIJ^N~9sVGa?)CVcSAYdpGk`W<(|3c zo#nnu?|Y?XVD8NP<~P6jZSy;G=1gC!)oKkGW7dW8T}l9JRWXCA#580^TpyhS12goy zMd;dG!+x{!JqzfT;jGC!XAm@D$IKITjLMZPGDswT>p z&i64oLdx^kjeef5&`*(kyTr*<_A=k^lKHxk@4e0!bp_jbev9O*(nmM?O!@6bzFy}0 zu(QDw_ZxqfJ+|uLsrNoIYtG7N4!+`xH_nwxI-BKmD1m-$>2o1SXPbc zH$HQZb&iP|Q>CXoPer&z`YwV+THVqp!!M$phxO8C3^_Wb*-SO^-5>IKTI>;0YqA8!pihd?^VW;K*?e7%&2KKS zInrQn?SUKAi)_xmn7+KtFAO&SNw9g@I-gUs&KK9L^PxVk^J8n)`Saso^Iq5az)o1k zn6h=gq`>CU@2l4N>JppJ4>rHEW}RQLp4K_CMSiKrI5LlhQdu0hvL+71XG>WeSWpuO z{xA+cF}26ozosS*+*sff=&~*j#P_~@9Jo06#NryCxUSDW@p*($D)Wi^YJ6fz&9mXg z8lSj*9DHKC9)04i8lPBD;1hA(RmF+8cg*|5rNJkDR^tB@rg?(*e8Bd;}Z*O;>3~~pSWrq z;>4Cc`owF&CysVIK4Zi3F<%X~+x2Px-cYu`zjA_Y_p2J)eZR(bw`>5m+d9}TdB=!m zs4aP~d@JnTB67YB{H}oWPVPPE+F<9ybl?r%E4lu-|N4OzGyP@H+8ZA5{ouss2zAEm znPJoSu;kstDl}N3*?WEgwogmN6Jux{8u~eyA@x&7oW+tjlu2c{KImxaZmzpjM=yP? zT1QhK^!@y%^!JsFI)|}y9`yA4DdV!>2YDGALB<<`j4N_HMnAZBQMHV3JnZ}5Eg65) z3&lFX4|K?7XN-dm;#o{y2j31lc(6tXN8VJegP#T+$cH=Z9CbKzrnhtc94hKyzjONU z2XX^hXtb{{3_7@{MhAP;=-|E@KRCL?4-OeeKiJ8udDMFUUeLiyK?h|q@$WV3eXEl7 zuCpeqGfsQhIQV**on^j;#J5k?$oS&b)xQ4YpBJxZoe^8bY-~&rasQcL&x?bM ztLkL@hL<6~9`_gjiWPR|Jnty|l%dQ{w*(npsF7i$L@|Th{4mJye2ok*m&kBTu)~q#Aj7XiZ11PP@@yl;IpUdthgSc_=WmKtv}c_! zgw^X>jjN=))0NpD7^5#Q1H-b$bpiTUXBqf8OSLaM9Al1fCD0QEy(mD{R04Xtpen7Z z%2V{8u~Hi`F(kf11&jb$$L$e&zOttdc(tCQXKLJ61eI&pIm&*9>4Ki^T4A`G_eX+W zBj~xx8RusRnsGDe=I!K$w=giGB(oi5;@0UJd#!63bC4_bImk(!QAEOS<-1&%o(_CR z`H-5npI4Nfw&w~uLwU&c0@dT9afwO!M-j?CdHbCeXdUHBSkR+QINtgj``#GTi6dyZJ(A|}ZNgjGl z2RBvrJ6>ZhaO0qe{Z7zWG)CL=g#GgJ=^59hf1V)BS<2HLP2__XewodSB&P^^hM;>X zPjxoCx5gK3h=rS~Zo6 z%U5PUs9zlDdH+03w0O?Zqiv<;MUp#JJ4FybXW-Aw6ZAwu_fzKC?s2t|tC26RKgO}v zpL6qIzlLgf4>K^IG1zZz2gM@!%HqqhqT%U45&NAdTAvj(n-}>O2zs=j*gCV{b%L^Q z!G8Zx-OESR>CS%m^&ZMT0${(dYwU4?zzx3TzZvo^Fr!!`Uzz=g47~AbwpD*f!Q zo4>9f{Z;X|KKiknPlFWJ*ZK9)IBVDSdra}$L4}(WZlvqR=lE)sW;(!FDLD0*TCFWq z-9dTju+Gp#_zhvqmnW&vx^Zb(94|Km2KP~NA(-PU)D~dAC;S4_WTWsNTj3GbiniTW zKT1F*i~X4LS2ZKNtdx6>N_inY!Za1LmHFu0RQbAQC*Z0|Hp9j&Uw$aHiiBuffWZQ= zPuWc>Qd551NNY{oHe<1&G5-=LTZ*~q2Z$q54l0kf4N#qvw76swnHS8eN%m`9g^UJ}Ln;Ln|^Lyv8!hrOW}$i=r6M)u z2lgHTd1~Q++zg5~#B&59=OZ9?(FhmSD2tc_MqeIpEDjhWUo6WV@NrGES~)Ub;eaqi z4k)D#ScEsR4#<;J`*On_pQcJ8;AV-HhGnQRJ%UAJ193nsj018FDcZ0L2gHV;l{sK^ z)D&+l4v2!fi-3QU0ANPuD;!WX-=`69s#xD!nF~ctl^c-*a(0LVa_1@9unPxdU#F29 zKm>eBY>44D^A!#V!{mVSK8k?6ZSAhi?WLy5jmQDtmPK$tE?9NxfY=W^66MMwAU>SO z>rVvyn^@5cVVn612Nc%(Gy>{zYlfA%D%DiE5ji0Lz)2^rEpBsl>3}%j`iy|%bCQ7# zIkMr()COro@zze^-w3taRTcIh9O&c^3G!`EgNMaC8o{lurs}vd(LzKxeYf zB}s%G2$9E{6*3BErU@2BcUf@tZ19gASsss$`co z5j9A1w~U$+8a4P2Bb%feP{k&$29CA3jO3T%^!Mb%Jw`kHwtgSkGtPY4+@*71lQ)8D(lqHv0ok;@!wW@-9>f~)8ut& zESqU)b+3l_DnQv(Mh&W4Rmm<@#s>5_o@(HgDezTWpoV-Zf3-pd^Oc**c{Om5pB~hY zVj-#_ek&J#*RNQpuP!~S7x&*P3(tbf!BZ%BGfq;lUK`^7C6_K&(trLZqDrV1!L)Dp4TZiq6>sU8|lnJt{19 z#Z)mn-vM=%G$t_9*M!{ceBTYIV5--uNlp{ru)3R_k3i@&BxqL1p77Rmh%P$^~=K&uru9P{z~igl3A7c-`)TbJrmxXjaJ10Y`!fwwmNLadfG! zm;zR8%Gq5NpC49@^P`Z!97K&NsbXIO+wJSz0!PA zG`W44qX~~mWT00$rW!uwUX8tqX(pN%F&hc0tfBc#u|AQzGX#%;=14J3WS5&IX$&-V zU98ORJ<}XVK3R3|iO;w`2fg|zv>Z&&G(DM&m}<~u_qR;E`VM|=R^_ImYavYvR-Lt( zf*__u0iT`8rp@kYCZnLKr4Wv1F;p#T_1` z+AK<}H@$Ecj{{H5(RhL{6@m&YWw`!Gw}YM#<_e(yZRAfNmsnnYiM0%bc2gJvZ+qn= z%DH>NJ_Jh+;oae%8&+ejc#W&V_0b>GbRTCdUarq_jz{{yN%4-A00LQs5UY~Mk}Es# z)VN$(|2w_tVcgK)wsd&hj84O({#5}f+Ih#Z|xL2L(@BW}a=F^t8p-q5=V8)*#Z=Ui@IC*um zsNtcb@tz2N!0tgRw{hMMdTLBkC;Tz*s$|~0{!a1}we6Akm+>qKK{7oY=FRIRo7el* z-LHDRFn5%JGSi=j{$36M4*n;7wf(9?e?qw5@0y&Bd2$x&PyB_ArQ1~@Z=qf^^34@kUHQGf5!LYydC-z!sfy6Q(Dkem&+&*&$}e}-_D{%e_ZG2*z4J^x9zv} z^J?qg<_-Kze_qdfXpzC6&@JmN@|V}&XZ+v5sMVkBKyvy+&%T+;;UP~3{fTE66Uy}b zxD*V3+I}@syXEzF4T1?zw-hXuGXMLIf)sdpy>#eV2rnw|F)vxocFOeULC0uE3XU!) zIv(|EVCl*0Z_h-3rR!Imc{epyWNnrIEe>)ZXO*7WFvB1Eqm1^=>u*8OA9KQgOd8YS ze-{S-+cC&VTmV;gbQx^M>>T{>l^nfHf8PI&3jU{!cxC*1+VA|GPD=Hsd5;TnpuZ}; zEDHMjpZG(EQ7@UiBBsU+(Nocme}cNyp+7-R^We6AbzRE>%Jia!?0Z6(H?Nm$UayzU zbTOWJ$aQt<;@Yqw+hW1!W9TQd3@0Qm*UM9VI zqz|W%{{sL3|NnRaWRt&ZR6!8O$6S8rl1onG57clFH9{;BP*8%xyS>fbhWB=lcN_32 zWFc56Tw$q_RkHR62Kj<>9Nb<`r(vAEsw&P1v0M+dNHDDa zeXF%Iz%k>Q7_TUn&Xkv=u%zf1176WpEYWD1T1)G+smPTdUxz1|@&ZV=PezonGLGIo z|MU1bV<&k9Sm?qOVg1E>cc|(BXLtp;h5HDas0JBb?Id0o4Fb)<1aZEocyy>5Hvf6< zZ9iYOiQaz-LuGd&5uwpiDW}Zl<+b%9kW9)voz2$8hC+rRb|WfN%mm+;VyJ^11)Z_^ zcH{TYUe-`TKjrT*+2dcGUE3XFcMB2UdhX#Nc6joq=C5ZXeDV(Bex@1cl7HO0TNsCV z^j+%qbFCi^&6ol#qVFOajRL8{_S3*nn)kUk=ZD}NI!b81r757qup#Xpk}p3t(h_w{t<8 literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.cmp.hdb b/shifter_8b/db/shifter_8b.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..e6663dd8a1002b6f3a4e1d99c27800dc64abab4b GIT binary patch literal 7616 zcmZX3bx<6@lQjeuO9G1r*Wm8%umlY(w!orGNMLamm*5b{;u;(R1cEyukl+$5xCdR_ zU60>gef3?{)%?*juWDX(O?AKS>LEZwLo0udj^_BZWS{m1Hm+_ToE+)JdHCoR5Fg+$ zdI3RUdOi_eeouBgt9B61C zDF54_wP5{^{YCRX)(d?v3pdkLIjh%KAug9*Rh3?@3a}mr6IgV^uo4x_Dtt5YTSL3! zqX3MBn5dus9oCB<_8rd#I3iZwE$wT=ap-dWuAYg%1s&_e_t#qG4i)qZJboEATYQ? z4nbScE~IT|UKC`;qf_M5ery~DFY#?P&8kP$=^4hwi07#2WVnSDp2(x>kx1k{P_n^s zqpx%&XlzBlQ_e`L; zeffp>dj_bPr09+<__c>XG5FHXp;EzMhODkywB5ou$=QgurOBV@vOr&#!`O;3XtSBZ z_+j`1Af_~>z;r-2fTWp7GJX8p?Zr~7$i?Qy=h&J zwJHK^41#`pxp(EQ_FeveGx6z=iM<~v5RUrhrv)g(PzQ||hrE5iB$zpq>P%=l^x1W? z0FPH9nlKM9Mo_n3FMx#qzg_jM7qL;Vlp-a+{r@igA0OpxgAh^7wEE6uOjBG?X;bT; zptZo_$U)*9vmXa=(_XtJQeDjDZuY=l)vqeD**k4$nKex=%?jKR7SpaV?f8`ZpAP~2 zP6tdf7?lhBE_5nxsK&T9ROgI!?jQN=!=Lo_K}9UbQu(BZqJ+shyyjS6ugyJHkAb!E zm2gJ4%D$t^s^HaE_<`V0`PDY6UP@&hqwRdcUy&^`h8$5Gu>!o{?GoWdq50^4^VfU7 z2R%@nn3_R08Xde+RP!g$H} zY;@Vy61o0j`c4zspBvkUD~Sj9C5UEOWLHGwUC9VXUx(t%u!g<|y5Y{eR#GueBCG;M z#(b0>h*F41QvacP7OB);@}b>mE?~^+K7aDIJ7br89@Jj#U$s$FEbLTb9paj`eCEse z`yh_6xhK-pUWf-u7f(F!8^3{JetxO`H#hs`_x?BR1Nl>R=UD9RnqmZVZ;cj~=4bc> zoHR{_HQYY#ZqM-5d7S^7bL&xqKqP%{gPG@r3(YYSX7!r>0Bd+SAd%U=5~Y9O{;(Pb zf!SB-@J|{dUsSU_?`sY85!e!vbm4S?7o8c*kvXz?{WIZii`xw;;J*lN2ksa=2@xA@ z>>;TTH49UsdAAuzUlg8*0CnNN*Ce%-ZuBTV+hCp3G#>?L3OveV4ZEhw+;`8% z4OH?J2fjj?ef+v`da~Ms2}LZ|WcJ4n$K3^YI{GJX8iJIs)Tq?1xX9G5zSF8*+3_SD z)yKZ=u%na8YcW^;Mq}D=ht`!8NEpT*!C-?kKQNzvXRV(&++jUGs5c(L zm9qxyJ1;$Tn)Bfs)b0fk_!8LkqAK1=p!bZE#fZzMH_a_I65ASO(0 zt0YV4w`rG3lA4}F-9E}Pbq0G=p0MxyO;5o56JYWLQ0p_?auq0CK;DGyokru$qONrN ziXrXVwE;Qr?q!B<{4>sPg?4#AU=bT3iEFDTnWn|$D?Qs-ozX958Gf8*VGN}JS#&3# zTNodVdw(NC1bejPX(s9HoP8*oV4u+VmROjsTP!cQ!C6q|n;Y{rN`QL>VWuvmTrg2M zUSgQ2!;9blJx?!W%z~$gg*MN-C2g#V=bf;WF~c89o_F8T$fJ#P3ue{+Qr4Fr>u(Ke z#qIAE@3{LZC8wr&u*G}5ltfp0&Hjj>xaKtq({0%tF$4kbi$6NIfXz*0JzZ+5nvA$G z2}vKAM(+vxE?7>p7X7)xe-kk4alL|(h-^$*3x3&LP>D#Mso zB9AsTaa1S?5!+fu;#UvZi(~$SW71>BQ!|c@LSQalV+WWrh6bz}nwOL1} z&&;2>#;Vs{!KRV_Husl8{^<=+0w+Kqr99`FEHy>=?*~zV6Ct2mxh#g2EZg7dFY2p$ zqlqS?hJ6>zr*kW}5NhX-zH*-0)4|zr5U9;fo=6beCOCKGTzbjx7j99l^!zxjhT#f| z$+aEOiwHk}J2?fo@XUVM?YFI`IR=boUfa%p61cNc&NnYrIvXU7dVngQZK{!Ye`Or2 zG*D_cAiVccKBLMFBRszpI4O#0-!;4qpae!0?{Gg=+hlT7fz=AMWGZXSiHzF zWNo+My=V4;_VAljtn|~762HXn*8&v?m-`+=P9{=^dpUV;n2h{_g};u4ABd8?kx1x1 z@Q^h4{CyyBu9;_6d)Pt(csgqx2>k087~WZD#RWf`r2Rtx5n76G4Apr`(^Pr>`M>g90ZaaZF<# z3S@hGr|Su(9y&EtyM%7HE&5c?Z*CRAwKcU$tMu_{FBP_sAhZ?Dv}@^RFc#&G1X(tj zo_^SLe}{_l=N=dX3T>V z&52TYpbo9q5;kwQyCb(Sa-~n{bS$~mP;yjMa%2*_d!13gJBtD(ou&T61VibW$Ormt zgH(x^MwBghkiYz7o}Gr_{CQ27rq0Bd`UGJUe`WXQXKZ9=^i)S&13nX}DvX4u_YksM~q$~29U*VFyrSRub9 z)F!WoQi)JarIo`OxCvKXz%(c)a6#n~uDHeObOR#uiD>yx8%rI$ya(D_UAZ3lG=>k7 z07XjHXVEUx&7<`eXox&$bv9lK5jiiMw{9wRu*UkzxuNiZ!6KAakV>_wUcV>eQy2CI zJVCC+!8)srFrbexaZ3A=fEXceI<0@n*(LkEnp;@cbyYbR_)EVz&PJrkIL5`poUt_K=X5J!e$L69;$drcE)M4czf*LLC!VJ zbyFqF)8CLRfM|ePJ=F{Z7zBA01i|cC*p^VrA7`Lc(@w=s(sUPB@|Q@BPm}!Q-2za5rnt=+VS=@P+G1)C!3fIis)NVDeuE@$cRW0>AeoO?}&9D<8sJ8exbB zdZwRZ?Kl|vIx1RE3Jh-NS{I@ahpNb+E0y*OGV);%rU}|0QdEF~I6d&64Dm)RM4j}Z z8D>08w*7s06cBc%vX5z>YmCWiR7g%n7>{`wT^d#I2tzGyxdmM5_ZU>gi6Uq1dIuEj zg8IsoPZ@O(@g;i995hj-u}Z>9|7meG<4dUIaqBO!>8}JAi2O~Mmk6U4a{=Z8+kI=K zBvuLLDkNQCsuR)Os9W8E=J_<0gqHqM#RU7y&T&T8sSmT{kO7S2K^Ij9>RVa`7$OK#@TC70ad*BE=xmB zfe+q*-Z>f4EfrdFJxV92=|6yT#oCH9GQLpmP^#0Zz1GCKcDVoy7rFuLJvh0FL+}hawX@%f4(en$dI2T&iviN z;XeQTZF4I8CM9KRP7kaIc5BJj*-ojeT$BkP`Q}|GaA2Ind@_|4)F5jPLz8;5W_hpe zszg9zRSzIrb=o5^em%NU-bA_Qe7*cBP5#m9P9xO1=>2K@PEdxg>;&NifrE-aKp+hk z=6(9lLD7twaao}7l%2#6Por$tOf_&ZZn&|^Y_jll#xqXEw<^XG8G^R<0o$>(BUncE zqUcrSBju`#QNIhDg@ld4UwrnD4fzF{3wcx-PV2?NEG12 z3!OquUYz?eiyib*;E(BhuxMaFp`%AW7U_^_6MJfxmYvulpHPyW1FhMdKw1Tz+Dj20 zCu%#0Op;@XQ-u@vB7Ek4@v%8YBo8`Eade0;4SDghq7vHfLrQyRHTzQQ+Z%qt>SXdc z15e7i7(@L}p=U~^dCkpjHYMKgXa8APm$o@xpG^HOD!TdFIn+5gaNx_A_!u%A)x{Vv z637%b+I+lFyWd!_cI{oEw2IG>l*i*k8W+*1UeSAHY4TZXw?O`jk$M zBU`R}9{R(E9H3r9PP1a$ugCNG1TAwa7p$IA&E=cZ29LEjX{2;p#T4DLRxZL(C3kE1 zhiA(P)Fy#;7N|El4A8cg{|ra`zNk92C1Xz@=cHB*#(Y_G8|5tMcl$|ZRBu6;f6QN_(t7TX9Ov>QtUpvF(6|^?AUI@ z5WA$3j(^d-X*SgOc`R1=+&VPY#S#&P`JX^ZlYRo^6kjNSzgCo zqw-BZ^y+Bx&bh8&HI=*a&F0HS?_1cw&51d>lwOhQc-+F}Rl35?=5t(m_tx{ZFXX%= zrhX7mah~MI%0|5h*R(A6EgqJ6d|B}cRSv2jL19eDkn>E)IH8yNFHPy*`t8W=A3pV* z_b#L(w;;P5(YXm3|N0TC?u9@=(VE}Hn_8AHY1Ahd5gTnW?kOQi-fO4I$L}PA_3-Sd z{VMCde-5YbHz{tH4d%(seoNri?2Wj$0;pk)!qk7F*8nuUI3YD5-|K;RNbM-VY(FJ_ zO8gr0A{IQcUZZ+RvS_5&BWJ;b013!s9djA3Ek{r#4SACg;dIGUuX^T2| zL*^0VS1q60X<6oYh@pXwvz|jD{7X0UllxX2=9y$NX4+&wVj72HJ@;lwkyMpKEQ2mZ z4U&)npr-b4aKoec!o;R0i!>B^#~V7TRwQD{k;6VTR9WN0x4k;Rl~bT`=E4GHQP1dq zN1P=Bfs2g!Wb9bxBltIL|BffUOV}rdt z!JgZOCOX}IFDh+nxsk}1MDrh+U<>#jA78#dbMD*)vy|4HQDTB~<9)-=lUcR$09Lzj zUaBtcF-Nnykb2Zz(lZyQ7co^rZ{b-pST2&efK?CR{4YDK8rF7zu@~`?hgF1+?I3$!;B@pM3!z_p!qMuP@Pbj(~A3Ra^=sx zIl)119Co}&FK#%v|4h5Y5UiCE7N2|%=Ng!yZ86AS8!FM3ih^(FAuUN5a(3BNjlOU}EkkwY4a z?~E=k%G({PdE0Y-t!eJpBwUoq_}eG+3ZVw=@~$P!t)FWquH$W&%0gryB(lekPk%p; zk6!40dYbB_I2BT4+Xzk9aSm?yvMX-tQI8 zstV>%ybywBAZeNe_#Djb8XPf;Ht;vhv|7uFlre8&UQ;&prQT&~UVSIN`ukiUV}f!7 zu{1keGJs>E{iEa7yEieY9HJtp9sKBYn3|xnsjchkbzTQS&0rPrE25 z6tc&U?}mK>vR8xPx$UGcwu*2zB>}Of<1~W^cxTF)J=-gH0Va%7+2NFG2LE56f(#E4 z=GJf!{-tc-pPi_FoKRlCpI2>fGDzUy08QR@$zO3yxE7@MSOse}OT91rOK&=$UPK8N zz1$n*(SUY|Ki$~%Vx(_LFsiUJa4`(8Cx4DEwM0SHIMDGIM*WQJTX z=HRW=^nO;EWd=|1x3paN6eHErS)bjQCL43OT4epb;tui8jRglDLbb^$wXO~h*-5DY z8(B_*j{}eox>PUFYeTGyFRS&@*vQ?5q7E(Bxip>5ykyn zeUGU#skxmc=Jc*O(dmW61hX|$Mwd4pIvU4u!HG%R;?g+L4Jd+xdrJLEPITnXTg+@^ dKpr^3_E*#vHnr*Nxnk&mA?hr3P4J(z`Y%!Ntf&A0 literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.cmp.logdb b/shifter_8b/db/shifter_8b.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/shifter_8b/db/shifter_8b.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/shifter_8b/db/shifter_8b.cmp.rdb b/shifter_8b/db/shifter_8b.cmp.rdb index 5bfebce16418081990a4357cbf952a1bce72ab1a..fe318f8105c6ebd75e0d45c412476f7a3df85c8e 100644 GIT binary patch literal 15885 zcmch;Q~YT-t+)SLqhRD@8*=_u_$-+1xI(70P9^H6M3Fhyq`*UsK19ebIg$v>oz?za?r#I#rO0H7hEg23;!= zzG(7&jrX$D%b z?O!~PwFHD=HqCN2CoGC+9=FBcG|Rz$%w7{ApzOR>Ep@C7wGAEb+Z` z`!-c24BA{5RTQgKZ+8`*S0!sIW4&f*ves19gH94G#mWpMT(rg(4)alI_?buEn=o8d z9lY%1TY}`4MTG(!R)9MStiWbiRxZS@b&F%Ip6jb(d1@eb_s$zYKwP#jf+=e>A4PR~ z)U4Dldq{uyVF&|YD|ak%oCC#!;xzM1R?jjB=KE{MIBOwxY^yY33-A8mw^a6suSXZx zDb%fkrG{=dQtv0ZQeL@UnpJM`d}(ULEU%Q8Q~;CW>d3mctngMsbHJ5^&UgtYZGr^I z+P_(LxUJW?TH4TKk0i-qp}fOSz1ld_c2cOg!FwHMMhxaFz2P@+J!`UYR)@i;#}J1b za3ky}s1vRgD%WF-;ILU-!Z#C#FK1kT;jF{=8#}du`(G1~i3T57CblfP3_jLcg3atY zD8zW;-#y$-w+7`PP<N|wD4c<5b<=WH4d>Z7X7dw|Kh!(2V@1iSgX5YQ?E`teJ!5uvDSHeBk+N2LvAd5GF_c z@>_6pY_oLMs5=V8Sdm|eFNb>x-I85hU`G7vyi?fr!c!Rkl21?XxsCPSHbnb$PBcwGm<1)B+mTzVJEZBvv7aYdH@!GB&HQ38BHdg7S$rGAq zU+-CdQW?=zg>mOVj{}Ca!U)QB#$F1>1+#9-$ig7bk}qa2lSv6F#8RH;Vzm>b*5qp% z_B5BT9(fYtDV8#qiS@4d=X3cuj3+{FO6T2Sdm*p%7S3)E4!+Xil(=1JWp=Io2}K8Go3~ z&ebbiu@uveO65WxS^1Hj@H-*SB;#I9oK;YA;_jf>)#p+j%cJ|eOH*G1r+##w3vYKR z*o%O~_1CRtp6)=7ULGK1@awTQxADd({0{h2y4)v(F_9oT*EjkLDdjo;nVUgZ_+_Xz z-mwoiJ6LVbXW1KrD7SalH=CNM?wpwJz!9OTNVDf97Yq&nx@#`paz;1t4oVs_!>pW*E3n8ZfUPN%QjAUI-;+{Y? z1O#1(*7@4SuIZ@cg+^lOw2FYev*6?)eBC-^d5uMk2Lx6^Q{I-j-(5+D1cMws;%p_s z&A^J}w;Z|Gr-#LH04CHU7m1B47;GY^EVi1zLiXAm>aJSm>B&AvuwXe{|5q(0bmx+D zs~%*-%}AZdB02T4-Mz#F6FDz51?7zTgx~iyggs1m)NhIXv<^P7EhZk#c^mf7LnZQi z)}y`lQP0j$;Mhcjnw8`Z8)AaL60VBZSPJ*_F`fj=dwf6joP zp3-kK;2nUGPU^y0h5p~Upg`NTrQ1<7@cch4=iu6|TlQ$rnqfK1=PezHT3L^*5vjc3 z_QSY^Ca2xeQxF&$^}0}yUVPP%8X!E}gLbtgJrn2v=%X{3h~I^oOI(I0uOju4A_<`; z7{OMzK&n5>YXZ;S%v*DPMW1X;mL=LF(b}fkBZrzt+G6@Z)PCTYB0?Q&tF#6A=O9Gb z7Gg!fi3YZq#JETPmS)e5Y9QfnklETv@_Vev+I+EQgHCxO6TPt+eB*CyDkaH9EHRM% z1w6N>4iP-Jy5V3THMZP)!owL1M@6(M0HyU`P)62uul8a6rPbS3oE=Tl^8t}z6Z)y* zax1TjtZdI`YV}EWV^0br+j`;s>6mp0OFfDQ8+tI0Y-s<>Skrz!>c}8py$0|;@Ctom z-QV>uX*EaiB*dn^UqqS{M*+y~w|n?Z)}8%!rMu`mA5a18hBpdF@7{pdf1>Mp0*6jm zZFYq>VXV>rBJzUrf;Y#&j2d~h^F4W2Mw+o5>E$Jn--nyPn9E|$5KqwjHPKq)cqc_A zeB4hLtk?Zkz0Yu73>Isrb*J5rAu=)m)4>DKA`Gv6`hU#D&UxpN^OyX|^iPTI@N>Y7 zG5v`;2WK0f>1dKd8su;+bd`e) zE&118U0;Z1YOn=Nzv44sQp)wROdpuE`Ja;EAfhpB1$g&6Jta~yzGD4#R=ON3gTUd+ zzX_}_Q}EWDAwt4m>yPkV34n1>fFO%AFVod0&oUzR>$9EqWJDR2T9P>E#2>$K7(gvV zZwi0t25Pj=H6wnJ3un`%H_xwb3&77nto-vsXrDkWDj-$yE!8Dw$^R?}u<^mk8C&dq z3-)QpC7G5p4@hA$$(IZ&n8<)RLkj3APeO~gtXPS^;ebUz&Zq?+f%@tU#vzN^r^n_y z5x+!jUDWSZ_C~Qr#cDF%X7IfK_*Za|hQ^zX%oj;U{1Ep944aFckZhPH{o^;FpJ@9U zG%2NZ#_;K6x@p4BcQ?l$?!u1qE%!)1)Q?dNnab7J#4pTfx zf?BlTsa)WOIln*o0sV=|VdAceM@Rnm9WBjYYn(UJo_rjB>pMzLsNOhdsy*pA>?UxS z7)QHd#=>C2bL)r6hah^ zy*<%~{ZJ{DwD%J`&0BkdKg)r7>i_RBJ}B+OqJpNddPC&17^A6zjdR~>wEb%Qf0&Y& z^y4)(sIMtdsD9ZiB@+*PPB0*Ja@z-sgxbZZIL5uvT)DTSly89}i$&ov%1rIIB!qgx<}}hr%-J7UwJb)RCmP7z(r?O_$W7E2Yvs(2AoH5)W)`Wd^UQto`&!O= zqox0yD)-LVpgQtwZMoj{c4BUBrA|!${p7s)PKkp@lESDV5r~c7S&%9Nu1p)GS`STi?ggC!x}4%gQRrYJRvy4w-bg9lmDbURs7>`#;f zcj9%Gs1qJvUu4?p!l4Fd`$TQp=svTj9nwVX6qiqMH{MIs_q^vB7(t}sjcOIA+C{s1 z7@^L2h$YWid5CI?UT;pH3r}Au3~WeX^A)WlUFGJs3ZlIw_KV=ual6aS=2u|nQq}%? z(BMI%rbb6bpNldfPn=I9OyyUqCl9X*uA`c#T%LSSoHC_`-ZdESRccnvaG2qdBX4=_ zqUGGmkOZfZv4|YqBj#}ewp);RjW311l$mO-H3W_mS8o#jZpk&SSIFXpIt*+Td9Wuy zaO=CZt)WZ=|4QHe+7{kPbmP#ACmIdGW6!t*)wd^%p6qEc+WO-gAPVjoUr6W3?@9OJ zousG4v2qbJ_z>Ayx~t&v+}N4BG+A^<`CM5uN(^um;40s2;QHP1e*x9EjsjX0;X=Ck z1MS#mkwJAY4DueBe=<*Ij%s3oiV^JgAP1J|3&j0fI>}fY=pA2J;kO&`CkqlZqy0S>GOqZBa$`B%MAK}?3^0yQTvjAv(f`ZHEtZt)Q z1q;lsA*kn{d+{#qmDzYfsnh+*AkA=_LOo4A-Ktd<@fFosFYRD5CzmN%2F0Vpo&VoG zmO%Lkul^7oV1qUI8Pc2Y70NHu-D>tYQF*DZEw3ys+)v2k884oZ$?xx&pc$7xP!|~U zkt-v|Uo|ZyBP%N{?_ZOPlQn}Rgy?FpXU?%}PV;+LDI#C4_c=j}4Ea4Seq|~f+dEw4 z*4DR@8>;nWT4TGHomo7)o#Il~3s)%+ZeC5=-0e{i$BqgZ1bIO<8nawnr{zAp>A7(8 z9>ClO8N4FIO%kt>pDD64Ig2-|H@(^HX?h(kjm`DmRe4kpWcurlT#nSWT}_G`n{?_3 zG>EVBwo*18uRp%feNA+ZK)Rv@pT{vlUbsBCpCgl_9|OBth*Mc52N;}v%C%RR9Bwow z4XRyZ1V0KTgGGCL^#smEL5DArM2_%A>s4Xm{O3R=bL6K?;9_*rm-KGj^a%L$c={2~ zR^vsxnEY_Ns)*6I^))*2+Of-#wW%*Rw0KTPl~(mXykIDN2LAbd7VJKh)G&IO7`B(c z#j5Wfe*hk;8k&k*ZjEAZ;;U@Rb`KeoQLsKKiowE%a?p}1ka90VARfCx+1fM#4LV>w z%wgPcA*p&=&w@oM^V`xZ;2D3<-66o?lkQSaNLRAv?u8WiY7D%+n^s~nJ@1aYvBFx% zhG=cK@8qaAn)r(4(eYO$jkk2Gd{_Bx*q8{GNcv)&q_yI@;=>u@m`QHcPWI*Ny>hE- z@v7-2?6y=f!4l5vVTG|bZ7_qm-UM$Ja_Wx>^(mui=qvM{6R3JToPSRpN<>IYAUs7| z-=Kctu+7Z#!Oz}*Vncf=d~27n#|D_7^uPucx3QIZF9*`c7=d8qxfW@t&A?gs%n@n@ z*M&;wbdhpSo_@@lJ9(MhD5KLKNnNGYYxchWW$%vgfmXP$+pKuqd4Rz@^SpGQBE|uf z6eQ-(b{n~dt8eTtwOVvx<+z0oO*=es4$fH8+2MK8aP4GPI5~gz>5g3ac+Uo{YQ<}2 z2QCaC1n~V087*gaM%a5KP8Ayh7f-YQu|knvm+tUrlmc_hrA;|-QS+bMv}5TB{mlqE zbxdAB9(jj|l&YVZCWv1j89)z{nmV87rSQ1)1^)!e7g*9! z8gqju3)bAy)pk*qrc!4!l^f)EsA9}AIu)(a>ej_BHQQ5!LqlI*^h@#)l3|`p1PL{+ ztgf>?tx~)T%(;rf+Gm6N_q{udn{VJKxSd5tB6Nd%H5}SUq5Qyk)qDYxNBv`2Ul&Qd z<78r9+_uA>t4m3g&Oo;>dn@GOOLC#5g|6W&J#*1zLbpfX>rdgB{uU=K;N^ULx!~^> z+_PQ{I)=J00b~_%TKLoJ>AT^+etrKyw#AhTUrl`fJiV7J+?PdoQtuQBwFd_YW0VY# z{d2fC98RCEA=G#C_x%TM#2my2-Fpuy%^%0H{OS~auMb4(j;qrBw^n{velFb1)4!7)ZCx!ZJj5i`(+biqkSOU-2{% zOW?oM$3^P^duVxx1-T|pgK$hq(IHxH*5ynAH<&Pa86p4eV81E`#=#jZK#RNc?;M|y z)bq*+>~p9&kY#z8tJ8Uy-K}Mb=I@mnKaJPrgGzSGAoS!3veOq$A5X{+dnNQzYzZ=S_4K2Z!YIb0==GHnp8ag~-^CR}5o^ zJoLmA+=tq_@h;JWK)O?Iv)PaBpTz!p_)NAsv$Niy(7<_*X1qm1vu&%Kji);?O&?Az zd`aIDB?uNKK*G*_HYE8y@9+*5YYgeHkclOS{?rX-P{MLsgo}fe+*1@uNy#}?qUD8l z5na4juU02!R^f|}T|HkmV9W0C1k=5fj(r@Tz_dvdY&;!uv!ZK}R64w-ixby|Exsi} zIJ09n58K+G*F)*@c1%~IMEDjr&rp9n&ob}TBTTlITDA1#F>4e; zszGsPpKK}}yh`HGG4D^JIdUScpUP(f42>$ob;%7b0M$wB472Rg_Da>jmJsez2I|fo zF}ycD@L)nioMfgh0&YO4Ix0})PLt_#^OEqc%i1_*uYhHkOZ5E=Dj{b1Y%xM4jZus! ze+%or$BP{DU%%5#gNy8f`G|^zFq9ztZ^I8}d-Jc-^h2q<17njkwkgG`n#eJ0q{%$} z=+g^I?xA9aht)BtNgpo%gvGz2@O1AEJ(JFO*C1;DdR#q`(QjM1RjJ{ckpd2i)Zq7v zkQ~yMkWd@e|McKHucPb!iB{@B0!YofY@Hjt6P|=OfWF7XEs+E}=%dfqhJs~`?9!J| z4g+Sfq~o@`j)JqAM0r4O&e6d)(cKB}`rl^dDv$AFsQoE{dE+D!4MW_6Nj1Bh)3_)? zU}7=im(J{Uli66_A zy+oUw7Y)ki==zh_-0WDTP0%Rx(N>88AzWX0SkQlyTs+NzXN_T>EtTpdHvq_40WG?~ z?L3?p0msf?C+YiYNLym{2UtwA^LRiB`MfIw(emkHn#|^9;7#EUV)DEM%@@cZ?&8@e zu&@^a&8}6?8t-PzN0WgcvVh2<4mr(NOtRe-6P-j9y1(X9TGduM&I}QM%N!Dt1BY=n zxv;~cxNRU6jY@y)CCBNV&z&B`qlKz7vB2n`$B43w@@W!#r?;J|JFqDU63t<{rabUi z6HrF0E6Y(K-~milcM~d{=$%xmf`gfIklTon1vfk^E9b$U3bm!lvaFh;JbYkhSIK4y zOudKpjQX(|Whm@bX3^L~L%;))GcEn&)n9>|zK?bqSz-TfBkpQM5Dn~x`A6;$_N8!6 zSG0X01fSghz(R_``FEyv5H34vYy$@yW3Sm+(@iWR8A^YoG4B7}WR_%o$Py zwjl@Z!RCjK9kh%|c(l5@;KmR_l8CEinM(}a=@)>{>krmlD|BM0L2D;FBBSDCt=KyY z2VuyGQB2E;nQ9?MpTUlN3C33p`@DXuBt_TQ&L5~b2y_k@LSi7}w`xnpgUT6iC#(aZ z{`5vbJJ-%>W`*3$8iahv#xx;^cCd7ZZ7~h@15CxRXPdE%ctsyWj3fmes4%tkJehQz zTrZq*08MWIVP7g_TB)HSJ4aqe-;g@9YnIMlN1%-h_OvwgDk>dIIoQ#HK3EAEiN;gE zLnpRg)Z`oJ|Kkcmr*(Kx5uD9ME~vv-%fpDYZgY5BQenakxKV$w+YTAEy>#EEu~Eb3 zOq~Zu63HJfks(K0B2j#c~2HVedfnIWlV?P<)G={E8H z3EoJ6`{brZ(c8E<>c|`3GE^;tn*vqq-m+i=Qvq+yDt{OKLcw04c@tGP)qc9Wg{bdq z9EKM&zT6e}RAf~zxewoz_?UmPi$@}c`}bk6o$+gUlf?`#2>g{06UdgaV`_~}s?dms zR98m;tEmy8oG&{|75cmC4)Llf06AW)B1K4um5~UCr$draz9|o!frzoBXG%nt)VZmb zo7?*wAcw7L12F4~l=*uXyQ5dy3a$eUly&;8&$&QlaI~Nu2pvZd2G#$RnS)ed3 z5_Nlbh@D<2xB_i(Lnj3;KAjb)AA4Fz$?nAa(;#JQID2P%ERlgdU_c^O2;ZT0-+6wC z87TOJ-kBJ0tYA2HY9b{z1w&?^iw<=li!5jCrdmNTYKZAyimTI`aZ!d%bX?GxWo`&zZ$^G?vyb&J@wm z^!$%83Pg_6WDl~3nL_9|Ac!BLOv{!!>_d4yKH!yD^;s!0V)SAqt&*U=7e2Cs0|d9tMt1TDWEJFe=!d)t{C|ehgSvaK*5F$C%r8~XsLfoo7Q}}6MooQ z%FAo5X5zw;bGcUy5jnycsZLL0oa@kONjxKW)1071%U;!lcRL`c`fhw}3r|2t1r}!3 zLGI|p2q|*tLA-HfE~xc9>;O&J0d9@_zprx8`(E!lV2Q$d33j7wFO0YtFBE|*!u;Pu zJ3sSn*yJ_Eo?@?ioo~LxcFf*HMlOk?IcG@+m7jjlM=v{#G%@`|ly=tNLc&is?FoT3 zK!q+6y8OhypTH1m^7FeR$G#`VPlF#&b*0|=M2rDk+uOC^goT=~5GUJVuuUrOd z`VWcR#J)Dub2J9UQ>L*m^>62&T3TvnsR=Rp3BM~Tsjc%Wkqut*-(K2`tD@4fqkq%M z$r#`G@)KUQ#mzcrU#Gc=dXD6Md1o{K9+JIhQqa;D3x5x&-z#a~@!^y93$$-u`N;d4 zEV+KK!CuUMTm(*kGia$Fr~&`Ef5pg1?l1P19i{0=?sqqz<#|f!x{!xR8*21kQ@`(M zwTeml{=D^_+b!I7CXz@O;z#|LYYO#550zsFqleT_CM`AJEVT@S+kf#8btEmi?tYh* z9k)`F)t2m!9e;=GmZy$?#+4r;X{k|2K7I2eD^OO>okg;C;w&vz& zYmf5Gud-A0Jx{3L-O}h~ELt>1cKa^F{;Ps|ooi1hk&pb>aA6Sb9qsaov~LOVkwZuF z+(C|pDcV!&hfn=Mt5a<8rMBz{^8fDSYwjq8clMj@u>MrZvBy#0=jy5kvEDTm4x<~XQ2R6PbiGDzl}ZO zPoR#NxIXs1k2q2nx7_OKYHUknKY%l}qEHSI7zK=9wp8^^lv22%uV=tUCYIDz*L9tT zYu`FAqBpUrz9Y`DMFfC;O`?Cx_JdBWvjZ}C-qV&nbccMekGu_UZKxf|Z9+p+ogeAW#Q*8Y`m`_X&kTr`^ z3NNpbZC2F~Mz(rDk~%7VJtqKtwL&=DGD;sP?y%zXo|~4$M$n0nr;FJs64_LT)_jy~ zO{<2TC?0bv{EFOf2M?$yBBl{yfEYN$#NR=noKAyBv{k2#M_q_p0@2H=<0)~5BJtzR zWzyGSYpDKI(E~AyeC6^jf2-u*8_pMYvT+A?3{sBWDXx0^&5 zWsUU~ZNSAapQ&<9TV|bjCD=f0N2ftt9-W#*1OJYkzv>~LZb|HOn7R6v-ipBrxAiukmU zo!ERF1;bz7vf{?#GoG;?l%=cwJBFw?6S%DNy$0H^LMzP%k5a`Ht6Sn92(MYd4YUN9AAv?G#gYDU<|B1> z#x4Q+IEw$g;-dA2;RQ_9cvGOx0`;BmW=uGhk41G?sFM@GsY87tnv2) z9?t;qg130o@F8l?r^U;&WglGs!QF`I68sgq^97wG#(6cMD2oQZG6S1%11Q1Vj2|rkwO?+`c z=k5f%Zt*ehZ(CUNn>d0=#9t?T+GcLhiiu~whLS?%ZJ2<58FZ_$_|!=;Hj$4h2Vlf$ zBH%@pKMWJwf+h~uaPNdm@-uVC!i|c_Zz@Hl-}E1kf>ee2pLL&^N)2q9JpneU2Ylta zf(}b1BK-1grPH>UrZRs6QMCciP$pc`TfJ;HVZ#sP?}PQR@ewmPU2W(nH2RJJUtdL5CT?cE+)qC{PBaAHqYY0&H;3|B%_Ka% z-8{VIJ*}wuXz1akd=Bw31z3$#`7sb1FDK~+bS8&qjb`mmhGMLdH2$1qaS-a8mo(FL zPb;eYQ1Xb~+!wXoS1CzXbJ()WVHrt;&K0pM-|Va8t2x~i?{WtxwEByV4vW^=O>uwj z9gsv+Ekcv9LBtDkn&pL|4@++BFf%l+)z<|M77x)ZWr9DKF$bL)2b9iVRBuB>@y5ip#@hD=GCsbaXYk%*=)d)RUtzEpq7%BhAhT zH)7Ej-MgLS`2GdSZQHej8ll4_djyR#P2?tFI_H1R7+WTmm)CZ0;Jsq_6AK`}|Lj%e zN2SB_g@}b^%EJ@i51lW7s$>$(v!xR}J{09ca}fu z#|07)t$zK*7N3)#637=>N?NTG&J-Xl`0_`PjZ?Iz6|nG({=#C%MrD$jgHF87QH!g* z#lzC@AeWWr7niMI{)&i69C6!qvG#1G&kqM{;=S1f+RkFn}BNLs)oARqWxb(4Wip;c?l#*O~)1q-4i2W z^rNY8-4!ZVo6d zHuL1gA9*o7&-tGP)G}30u6fv}Tp#@ozg}-*}7(R!aE78qUmuMz@;B?}?S}WaJMr zmM^{=AzFjT0HpcVkGO}?FYwFW?)FBP9+$gU)5FQY7VZcm;nmK+&CWi`1mhl$0I+do zdl@`gBc-_1$v*VF6FJ8Ai2D1$mE{D#6rI2NAkvKHdS0#J9&$^?I-Wkyy;mG-`O1hPq&GMg_LT2~lI-Kw$`JHA zq|RV*d%T&dw0dXqf}F*fE-!O}!7oCUj*^6PBj2&Y70E28VbWf9HA=;_7+kgLmjLLa z&UpeGK9a-!Sk{XN+9TI*9WUz>AuZZ3x>1+wH-%arjSA8GyfZ5dXu+>&I77PlJfbLE z-;;!_4=+mjxb$ck)TgRV!i68+=L0>kwlvs~SJ%}V(Uv$fmb|C;N;{^M;aY?@tQhI} zpl_k+AmuA|30tQ@A*J595cV&R3k1s)S%qkzcLkIf?NK$_?LMLZbr)O!E@1DPxAL4Z}KzlKR6?G*F!VicIg-#*E zwM-8}gwbC`HDYz92YXs@)mNI)aWE8B5Tu<*amrOJB)8`viQ)gLA+ai{-!zMURk7Cq zXVsllu}?QhF2h}vkp&%##FosUV`IZpP~KrQ?N68D$?nWl(+G3JT<`WwL0B6*Fg}EN z=`U6qN?LJaBf zaSg;K3!bh+hF|lT0!xA>WsdZwz0oBxJQ+7e?zp8PmS0LFWfyK9(XYq%vyklq$6x(s z66^AzWdYH1LF_rDCdvnOuoKyHJP zxx{jtu}RJ|)f=!6Y>?w9w}YYM#;e4`JlJFwfacnQkz~e=5EJp%ySy zL`s2!XOCzKg8AA`DA6DKmjj+29u+=GVTeBQa@SaU+hv>*3K7w~h4U4bfv_MH+~xpo z)If+u$}_EXJo4EhAr==2dn}i1-l+#$_uelcN>&m7VAG-<<$P=+@Q{UT>iqgho2If- z|3S-^Z(c(fs%o`UV4ecRBuSU#n^w&kc(~HwK})vB%KvbF7u@U*tuPU=u^B8qGsiZR z0dnk%W&0-)9wEMBo3ZR31|AO*@>BtewY&z69Y8$TZuh7RH zR}}X|gQ9upIC&Kk^lBQ|R(h11aK5VKX9WWlC1G!;&hB2JK1#+HL5NgUFgrE_G%G1Z z5on90nZO{}JaiYB3>#`K7NRQ*RlaK=$?BDKX^VxuOe#wIJr*l+yWHzRfEkJXb-IPq zcK=00KRBKN6jWa9@ll&oprK{#Vd08YRwlcLkg;jn+3s)+p>j#EkTNb2W=(0Lvhsy# zRRuew?SoH0TxD?p`4WT4Oj>p8W^*U?{1qApJ1ZW_n;0D=LY4sk1=(T2e?3vBW-u>o@QX@QMvYsx$WDmBxaTRp;!|(w17;RPdZ1FqBa%NE3@2_`4)~k zU>8#WQzTBvSTP(3GKwrGDI}fS0bS-uL?`}GY`X+C=cgl0AaNp2a7$i4knzZ(qaB6K z_;n$Z_ef;QB&41JkO*kx`p3AJ}&sTQ2-F#|0 zw;x7mr3Dgb*)@SkZ61O1SGIt4st1e01}zH$S12i>WDt=VN`#PE0%PW~Dopg8I=^AP zMsjKh=2~hNnI6rgb7CHPQOgXwS9B06`><}X$Jx?k zwwgX~nD)v-My;4-v9QC_eE@9t*U`vZ`jsESrqD(z>VXhsB?w_))e1IVmlneY1C`A! zMopdz>h)LFO=D#xLW#J^RAHB%(v6(8{zE<1&gvj5Je>fzc!?X-=|#D<;0M>2)Rkr= zs-b-%uPaJjXztFchU^RIx5d|{g;?bqRryMXV#gJ^*{dn7&26d)ysN%LC>_k>)WrbQ z@xx;kX(|sPfl;_Hg{x-TxTJ3Q7b~@-wZke?4&o=>f29n)?c=)}aiiT#k~9#aBP6WnT-VL__msEEw;ANhl(fi` z3yvFA$Ox9s3io6`rC^ex+`Kq*$QFF9Z)wt7EyMPpIScdZxo#=rzE6&@DQ$JM{Qd2r zMuGoi*xDD0zjb0eaH z#?aTZe1bP%W;&=-_-I3GZA+T1lz0_#=+fXHk27|*^yi<6_u_QQ>;t%?Fw29e$Jd(; zQkh)wr?E$CgRuMCU9L{&I#I9dq`>@1WYU5-pWj_e}3<;gg$t)Tfmc+!J8Og8H z;u{sK7+zt|%2n4Gv|H-k?<)z40EKj0J%)$51_DmSlCO{LtJE0nid?7FgKw%jeldD0 z&uic)N!`SP!8?i7HV?*=ccugcoCgUU{LQ&E*#YSPBwJg>%k zrglZ#{Q~3VN;zA@>Q3alutj_(OVa6iq@XS^a#FPza?a68Tmy6LKFWi0$_yYDKWHiO znhR&@B`Ezq1^IPi76`ZhELT2#=nR=m?pMC`pUq>k?Ew7yt9Q!zq8mKb$Osn*B=!9o zd=^1gyBZEN+o)2W{3A)^rZ#b#s~RTomsJf8FRQ1WT^xpj_)u${m@PdpY!MxMP^h%W zNX^(nD$v29e2i?tSdg`5XSDWN0W9EJc(52Cj$4@xDtjZu7he9O92b0WhDil2i2{yu zsyo(OgB$~6Q2iAT@|xF>##5mf9(uJndElR*{9Rb`gNPtM(riR+OZ41;UzxUHj!by>PHv?4W7 z`kMeWMq&>rMA9fNT#hKMt(1m1*CMp4)m8tmV*|Zx z4pO4-WvPGfV9t#hIM~_~fm(zRH}HrqgPB>C zIF+snmwUWUw8%q5@9Uzgu^RZGj)ZANa*QfEFk3=xnutNMrDj;jyC?BqKnJ`S#ajfP za-dX1(|YTB0X0PpBN7XeWh+)y%55jCXec`AAzSZ^M|QZReJu;BP@gGs1w}i)s4)ol7b$3=3gIhhG!{}*`mfv)is+KVWrryiMMf3m6d5q_k zY{Bs9LUVY`zXEBw59)<5Od#rx2a@fl(?|D?3k*#F=!6dEgqFVZz*{hdcisz!7flRY zg-42MuY-F!J>A=dYlS6Sm!Rnj+e`Ot5K$8&Do)n$I3hd}HjVe}V^2VHmq4}5bAQtk zAT6VJ+fEx3t3I8ZpVt|y_(#G0Fzje*pmw&PkKG*)<%Y_-0^A%Ksx0xxZdL2Ff*cr! zsCllN>tVodb3jWT=ky3Wa*gjZ7L07oTS#&Q1$y_LOKD1xm{oY%eM&s5K57%2wse-L z4uYz#Tn25!*_f7yUfN&YnO4~oF#Df|)#^YoB`{Xc`Ljf91cLJ1ScTvE4aQUi)x9wo zVPG}vXsfq1G)|EF?DRh#8ikn$%I+(Z^#1Z9a4_% zb~L0(_jq9`uM;0UYu*{kJqYBLhUuWFry&8meXd6e$#ELa=w#_i#nF_%t4*wTBQR6D zRK=2}sIRa-tYfm;Kz1l}2B@!}kyZqWk|H|yrk=t?lEcnrdV#R;mLnuGKg)&tA z9O6#X9>>)}aa2ifFR-opedLe||Kp;0!XIx(Ndv?Mx3xCHHB1<9gmAh+^HLj&ClyTXP3LzL1zGIrp4~5mzUE;0 zNajBh$h{WS+l%|+mf%|7`$Yr-`u%kWHcsr21{FdZm2mP9O>&p6Z)j3LgQKpd*pQ6A zu{CBvK?RMK&?>H14i|$8lqd=e#8@RcBisvt!l3qcI_?j!D|PRC-(`9{ z5U$V8my0hEvyP%8qrcGUgKMovvOMGwuCYf5z(O61a!71slWCB3HbhGD-8tgJ$ID5^ z#M&lhRKQz^5bmp5;lU{P`uw#vZEykILljK-P!`F`@{paDAig`|+N zUOq|B%eecTXBO0*2MTW?!9%4`_3iM!-;5JRQ9eY~h}48;sU25f$hH;11vY@P8-$ll zw6!GT#vyRKFO~NPYA3G2%KK*KT8j`TUi@=L7VE;bS99G)3u#Drg*5zeRFXta6Y$y; tA>jtE(9@t~D5*o5I?T^P*jIzc1A+LwCmXJ_;r(#;Zzlf#M!$c5{~sJArR)Fz delta 4475 zcmV->5rpoIe4rzcPJh8S00000003JM00000001Kb00000005v700000004La>|6PB z+r|}!+NOtkrFGh-_a^BiPGd?Wb$gnbP$VTYgm&`PydM@!!X{#kAJaYd>g-u?s#I^W?;FF zXvvA|1z$k#d*%1b925WB_%d4m0Px@O^S6bczvBHb`1$kGr@!7XzJ`%iIW%oicLS>@ zV-)do<9DCqtdpr{4M%~vTYOXGj*fDfd^UR|3U(kpQxshflqjM$AG@I^LSG7Zu;TL@ zzUWKe8ag6yU4PrZCv10U^~4}_dR&q(Hch85++ZX<;k$$2()6TYS2UbWEAU03)@+K2 z=>-l3Y8;yhl6wlmbf&@$gGm^Ofn`fy3_N#?FX$ZzOdtlXCx@=(48`VM%jwx+pY6W9 z02jo>bBCTe9<$2@E!H@UxUP`BIh$kC^nJHynStz!zJJ>b$I=P({s7K$Fw0oKaw+m^ z;z{4Pr@{}06o`5v38Eo0=w31%VDYyx9)V{hnt{lr$8E zo|K&YUd;CzwiR0=#Yx288DwfOsMP#6CllN1ndi0?c&Zu98P0@5_r&I<6^y`I3>#Z| zt@p47Gk*}%c=Ii=8;Hqf-vz=+2no?bv6*JA-a5^5UyMyB9GJZz^uWvWX}k_@I^#LsV`u>l1!pnptMsHdN`7sL39TI+`K4Lm#252z8R zW;m3-`jGmy@f*DS5lmS8NsYN}e)c&4z4{#O^AO3j^`ZunR zQ-9MqeiIKhccZ<|KGHOP{F#ZL6L7=S1XXva`cl6(9^>Unh!7z3yJK@AG8s>r{jMKv zepmVFw-F{~GMAne$fYlXf$&Gx0FJkNcrG%%)LU%+U&0&($F!$D9NO!mJ$2wE&{i>5 z`*A%*kFoJ3yfNNlZ1soK58r>U`w?&bXnzgLbsVJGeI7;m@dPA8ISvPx=*)t|_oH9#&5grHQIw(H0((Me6HiC|LeTYZ&aubn?|0|Y|P zJvS0A)3!-5lPsjF8ATOYgH@BzKV&?LC<#=q z#d@7JECGCj>`!xgUW1pu-geA$>y{t4{Ls}K<@s;L;bV$}VZg7#fYHLWv7+f`S@6k%e+B7$1C{N}Vm70}x)+O%_xbtIWK&VK7whxRZ=6FQgqsmurTyE^uOwEq@!;W1=ZedyMTs zqhat{Nu4<8wU?Xu;^BMw>|yaxlYw#$3$8V{FKw{ni^OH2B4HRWqgj)IU<88^o_rEo zUMwUGbnDv%!}tywTJm(MeI`dVbGcnZ$(_JIJ*wx9&w-yO3Xsy|NJWQu9R3r4ad=7U zaJL^Fzu+uIDL#m#R)1y<9B=D$wPLo!$+%I<7uDNy-*B9vM=YbN7m)Biwk zZ|d~!Mp8x=7JQzU@n9#CFUr6}(+)8=i$ihoGwR}bURkFQGk?i#<3c%)e_IGo+z1{rb-rinrXDheAyT6~`$>tAs@&{Q+CATUA zomzZ;5B;^m``uy#*xo&>7dw?kz1u0*ntJTv-ZD&#L%~++D`BH;iH9yeX##7NcCmYc z1sN*TFWuk9iGR{|R2KEBj{m+&2B7JD3bjEq{G5A|cM=v{tN(gcxvi|`RX)EjYR8L1r~qop#Se=t<@@z<@4VpJ-(f7xj?GpE_&al6*hI{OI40{7AfGyMRY z!>!-nf(xU3TpjLr_hD$)7mEKsT;r|hT8{m$_gt?)dRXpMva4%YP+l(scOZG9-ZX=e zcFWXhR&wexU{Oi?Dh1j>UCL0Uhy_fAa~#2k@PB(63l(8zShvpmutOB%DUXGf1;fnZ z*mip#h?0fFc5}Sl?u{hxLiAKoR(uR&t43_3RLqHYl36vVYw`jJ+7+re~#CaFkB%6zPa)iK1p+;r`HA z3`{4(dozw&I!BahS|Jxk=olJX!T?XNB!bm(!yZ0yk+Hi@KE8m?+}1&~PpNQIJctXn zxaUR2GnB3tadj&d??YS|7NEN|Td8uJI_W)DNiT<#kT;c+l$0)$Jx5nqFat8aBt)c;B2M{WMTK>a3iC8_#H8Y*nZePnGPf)4W})#62(r2v zNyExVyd}}cC6pxf()AFlvlNSc|7h6)+{aRak920h>2td`pMRdHpUtmm-CUZ3nyj^{ z$%;IHW7lSu^VzGa?ZbYoOmvwgrGNQOuIX$x1&~f@3D6FB8}Le{xOedme&}E``fPkRQFUtOv+2exZ@|?ZX^rELaX%{)xQClw-Ps_ zi)3uOSSXdR8%>)OjekC^HjWF`>ju+IM1xz6N8;H4DY~o0a`jr|WO#(U-8kvY=!lsR zKdF6H-qjTa>QM#xz>uff5UHKK&|W>^bkZPO<_<_ZvNv+9CoDWM*3n|a;=ueH#Sj}0 z*IgX3{8g3CkckJWIe@UoPevfLBb1VOF!m=VI)0KPY8dTirhi0tA$0fPEbliub%vqW6y zfoMV&9TO7*%^H;E$(W?^TC%hP?)r18HtvV3OCBxRbBFW@tnDTMNn-&La&S|~t+_cD zcKfDCc7cR!Rcxs;aRyhC_^2Op!4RE@11X~&%YXbtA%7{h3-Gv(k3U?HT^H9K)kXSP z2~Wrfp7z zYKgS%(|;!ub)B2e#i4fqxvHPmjmCDYuY?G7P^1H{D$k5CHv_S_4ZDbQu8I2VkeQ)K zlYm}sB+dq-OD3xrfc(p-t2;~7PVF!eF2va!T4Xtpz=$qy1o@a_Sd%pF&*7_3NeTV@ z2x(@E%Ba=O-e;LP&_@%?QlRRev=)pz`a%O;Z+|D~5TlBZ{v#t4ao2`5bj|HjF$xXg zRRR{Q6LJ!nN*XK|&v;fIz|TNI zNY!vM7mnxKOv)KPs}p&hFh}P_FXGF(TFE_pn@N+GNKxq16vc|BJO^!ma`MM``etxd z*MICMV3}*dmbsMxUQg#7;M|0CXY1+I5~qD{G8M~5>p@gT2%(@=$^Huf0RR7Z0TO3p z00I^u<_6**7pM3j*8u;Z5T5jX(u^P}1`aUEz`z8gC4d;D#@#X0-8DGg#WBP&-qpv| z*VQlNI4SAy5VHcI#bagDVh&DgZgf&ELnxH3+KgL*)A(OkibefJ$ItgrX#VTh?uM Nuya9500000|Nrl}huZ)E diff --git a/shifter_8b/db/shifter_8b.cmp.tdb b/shifter_8b/db/shifter_8b.cmp.tdb new file mode 100644 index 0000000000000000000000000000000000000000..7805610fdeef72edfd5eec811fec8b352e1da474 GIT binary patch literal 3658 zcmV-Q4z=+Q000233jqLb0B!(300011WpZ@6CZU!y@0000004xju000000PO<+ z0000007?!3000000C)lHnQN?FMHR>QZ4rT@A`dNaq4)OM@+hTPpwvP=TWPBn8!1Zs zKmv`i6+UPpC6K;6TMd#lYG_R4622JJ1QelPKx2YpZEVoen1;v&so08IpZI`^SbF^b z=5h8qv-jEeUZ}A?CRu0J%&hsZH8X2w&Fs_ZbUMdnS+@528`j*k`o`-PerTFXORt=N z`NEa+FIaf_LK+WAXs+^?l^+x}<+d&54|f?`#v_?+(?8T@Aj=AdLt5&yEPF`}e-}|+ z6e}l@zZm-3{BZD?0M6keJ0vifh~q`Wp+S@55^D~l%;mpO9%c55A97Mz20umonW2mv z<-t(?WhkE(%D)cf>7o3aP<~S=|4!wp;<2M2y&=fj>~HFKK=gv>dC^}*w%ziKmjwRf zolYD6FUVs!iH2jdEQhZ&$hxutNfLQagN0IKj*x@;ukXR?#l&D4eb2~g{10lt)IIVN zayd!6XDqQY=9NaBdHs9hF@1X;)6{rWQ{!unghpA|O^i`-#k;|&DqJmkNRxSm@`sf# zQNCUIV&y+lzCihI<@1z3sa$iBy;j7|1+0$P{Uj87&PS@qlXI%k_Y4sU{(m6lwPXCV zCgV?m+=NPuI)tfrou1?ZKx^l_ola=YY3#jttvoN~}}?1T4t>(Ya>Une_FGkt7Q8*jrorvU9Vj;UZ|uQDq7)6oxeq+F6AOh%!}3zbqK+> zQSPn2ORj5Yj~qH98hU@MvaY0r;2ybB2Cisb>?`sai5z&(xG{LSoht8}0N(2Y9=Aw4 zUhqmAixyW^=59Ru!lf!t-Ixx9jZFQ=w}gfnO=tWuXVpSI6I?#U)|?1ndh} z{+wNB$D6JPPa@Vsd$~uBoz7LxYc5)G{_5t%&fnR-L$2pd4_+KW#N(2~ywu^9vPFy6 zxl&~u>fYlAymR$5uEn$VHTlcW&3K1{-jl`|hx%{^)avyR{1&Z#>%sb1`&bVmTskk< zh7WnniLXa^hUO=XGY+1qI>Re^%Q5(IG_8j&q+R=()-kLU-wWj2n3XT6^ID4}5j}En44^-k++UJ`YvSQ|qU5)P-|b{?&t5%79t450-~*B3cKgjBS2N6U_`u@7IP-U~L{ zS7Q3}xeo8yL@)d3_o_?Jq2aDhG#4%I5qkL6#`8zIm_>_6Gdei)?RY`aqdF%CPxr5k zbxY$B<8>nL$NMSvy;-srkFnxIUe?ER{Io8fTRhHPVyZsf_2A)?>AFc@o4d2sOH8qN z_yK;eyho1pwsOof{*vzV)K7QGN#&yj{_y4SbwF6z`+w!{lYE{$QPkp$LXN+;E zrY|<%Z0sp?=~Y!m-v^A|Q%v8>!nlx$3I0| z8lyM77uJd)FVL4D~Ttp1yJIEDrcF!N>JV`^p@r zE0vFW!Hj*at|rW=H`O&>y|o|yL|xK%DW9ba({fcjs!jbv9Vo{u$la@h;dvcQAJpc0 zL5INSRi37c!u2YDTZhXRb*WyafVfJB(mRFoC6zy_wpAMM4z1JO;y0_$jmpQ={~qzP zB(p^MS_Q5TNZ;+!cc1hf6dwOkZ4(yh{;)a2%V?{9s+T$7I6iXiuC$$d?7Ti>>Lbu%Y=8W zX*2#}(NcCaTvz61XG8Y)$$`iw*yq%Nz zedKxjM)~-68oI)_jEens4&P`nt^78F8T|>&ct*g>1zdWyd_2p*`S`GpzcJ2BN1QoTIn@%-#o_Io%)nLfr~6d#85GxvhsMmb-YaP9*SW9Gn2Y+r{9Kg0!wg#G7C(*DO9@VV2tdyT>05Y|KIMcZpFf8R5lWf${*aF`$L z`PM63FYdl2vghjNbA@!0c)NJ6{GB%|%kJd=Xk*Xrr>b(XhlW}4pEy4{j`JuN^Sb%D zDa=p#4IoM@&S5L<#-2r5*pTwb#C+q3mNxPKTc3Vq_Uk@Y!LPG_eb84opYN9aqse?e zga7%IM<(WHY5q?oAcTZJJT=Mr|9{r;V_yhiV8upm^ zzocRQ3(fyG6Z=0o$@!1=^Zvm`o9gVpE!fXDC@Twph3p?p?04sv7tDQD{?66>pPShK z_$22)`akdP64`T2{-b+I#eV$f!NmRx8|Ht5=Kqew{?ANu{-gc;Uoa8-<6A)Sxnlpj zWdH2M{&hU~`lLrL(I4IymW}x50D-Mf`rf5kHYbsvru$bP@oeYYvTS7{e}1_iE8SkY zOnW3=D%6TS*EHZ?Eqgwj;ExSdV7nD_7t7xg`85srUl9HSi9GLuE?~l(qwyY-$dCP? zx=wWCJ}Xlz=ee7IE;#pVqd~H-!zBZP$4Mc0E+FoLA|#h$1R9_M&#hF%nB0|!o5U$* zaju);NdxVCLT(A6jK~SWb5cPL1#7!{xPya2T!TPas(k{%e|Tn=L7<$j9D*knLh!c; z8FJ`c@Oo@iIlEbQKp=ih_CkDFbI~hI6wwSCz$Bjgger)s-#VmcjtGEzBm@DlNI(!~ z5Z)lit9>J20|L^wv8qW^g$#jC5Q0FD>!pCzSt10;nZ%a{q7Ou;eKH4vzePC&08t78 zU_?2DNoxfJIg@x=#pAlWg^48)h)2mZ2$Z;b@XLe%Ig>am2q+MpR;mL8f2n2#q7#7! zg5RVZ!lWAn1UZwq`BzMONXQU~gbGNZutVs8KzxUC$eF}DkQf2cX;daa@Q3h55dg#z z2mm`v>jeZkle8q&g|lwK=@0QQ`D$r_K#Ys%Op-=->~r*E#zhi>;P-2`AOJ?C76O1! z0WnMKR0lbehU!eZOUMw29Ow{_k?21la@a#|wK=q3*2x2*f>RxWzeA@y1kZ^NxpAIhu@Pq&e{#eyP4vam)Sr1`$CM^(PFDi%FV4L-*g8(2t zK#o`YPLTt{n+XIEU=KrCwuB%62vX3ImbSI>z@Ds z0RR7Z0bG*JYZNgU##61tsaR19X*SNr%}$0)s?bjy#KmESGpETnY4B&uwpC_MXb+Df4{*k zV-vI&+(3Azx`M+Ly}teX{9yu0=X706Gq=LGGFy};2YaN8JsrOOZr(TU@?*FQk2O& zczoyO2*V`9C|TpFr~08Tt%ZAAgRCBYp;gh6GDN(8b+@ONexOU}7h=rvT$Q)4WK*>v cCQBt+P9_}p+qr-Ec_kTO7)8YY2LJ&7|Ma0pm;e9( literal 0 HcmV?d00001 diff --git a/shifter_8b/db/shifter_8b.cmp0.ddb b/shifter_8b/db/shifter_8b.cmp0.ddb index 76611d070e8c7a1521b183e17611194435c17dc8..37dd3bd269e894d795d72ed4b43133cf5c547cf6 100644 GIT binary patch literal 54725 zcmeFZV{~Or*DjoN?4)C>V|Hw(W81cqj@hwo?bzC}ZQHhOp1$AvdC&Ln`+vrGMvXmc z&pB6B&8jtPtyOhhYaxMvfKE|H+KU)*MVtQ?Rx__38R%JT05Z6$hdAwh0`W|k9(}xDH1AB$(`+pXG;_p4Oi8& zAhjTt{1{H=&E=)Okkq_<2bfK!aXOi9K0Uf@M7#s;Ue@ojT@TaDvR@{j+)X);c-5eZ z+wnL)G(&shbilsCd(evx4z6e^J!#7fsjiP8%#f;rx)agFl}n<%#{JqN)Fh5&(qCy588z2C&rA8qzP9CCyR<{ z&Vvr{>__JXuM8pbDA3|A=x6N~`9X`WcAv_))f`UK%IjW(C|G_4@@wOksR|Tgt^!;N;#5p$&A<8gGpBoHkWJ97r*<-D84R<4fSlvziB5x!YL-EpE_b0F+LCJIXhnAH+9{dcME8KD{&R zs&{1~yxHG4cda#*EiO3A6$dymb7codUkH0NBB(3pRzt%P66Q3U-Y}13#bwXBPpzmo z(=!+6%F!#I4IMSPqcrq@Dvp|R8jWY`#3L4>+H2&+=r-QGoRu^Y-r2NOymC~UH1IfU>ItZ>B;*) zz#9B#p-a8F@xZ1R;Yiua7#u_$YAe8dr<(J~`n>k2tB#4yC-`UB&3NNBZ+CZ28hp!= zT}Xyn>W3Bu_Dn}vzopZ1d%DK7cqx|i;h}OpA!`)wI0;A!W2==ht0os7lW(}4gx=>z zr=@;+9Ei;pF_^4q32McF%@uTteoH(Oj~a_{%RqXs3Ym9vZXM=AVBt%iRksY3_zfex z2PaA(wbkJ(?{T`yJPY|`utFoa2L@Fn9>ova$U5EJv_!3z_OZ;Lv|tt_l|}LZXA}CVuK&WJnmz&b`5rpI49}8Ht97xLI;d_ToL}qEyQ*u! zEU?EA9JK}8NHx9O^$f53kw~v-Bs{G?+6{y?qQJ$G+hC&XSj8osYs2&quw18QedX{W zS!T#ViR#}G3`68>kxK8F2SwqiKWKoc~wueubnQrH9_f?%tHoH;lbb?rbCJiU_4}uNU2O#{iX^1L!lYcsA6%kP$X9< z<9Bx14?Vt9?iMiwdEMDDDP7()Xy^MamMmub!? zVD-aeyzc0(o1K=ziOje76vSt#(;MAE0;3pGx|i*@HD^RM6>BPhzgbPQx>QKOOp*b{ z*=l@f@g-`D#}xF-+`g-RkdZ-n<~Uc>D#|m&)sic=7-Ld41AcEqcF2&9Yd;a8{V?10 zrHPbKrVX*aHG-E;^YmWNZOX>Utqo9%bSOPAYQ38lv3WE%dE&}wc1!S?r01$nz+btV z59L&Z>hal&Tb(*K)v}Ai`Pz(+auO^-NW0C?!xO)yPEB@Es`maNKvS@c@BL)7b(1nj zeglK46UT;d9GHz?DVncvx1e4jS(wz`^HOS2t#cHEJrli{hfOv*)QbKYO$aP^T2+{E zL{LYFNQt16*6P*bclB89Tnbu-gT(7Ugt(&LnHt9<9ntV?t=_0zu|51rdU(x#i8FY0 z;Ul!lrcZn*hO`nNajG1-!Mzw^p!&w(SSx?ltxpe`3vMQx+Tde9u-pys{;BPY$p-C< zVYF?ec%FT00Sq62ld$1%rL+wFpkBV}{pu{7;RK3hoF|~B@C#Tsz_0oQB*Qh5A!oES z3Y|BYJxcy$`JxoYea3^Atew>zpzhB$?+^>$5pa$thAc;pO*Bjy=jC5~J?>p?M5tBt zt@jH9)Y|xJYM#$pP$|OWZ*DO^&VacF3W{51xY!gfo8Z1D@5ZXlmY@Y&hn6c^`{)M~BQ zi7p)U%UG`Gj-{(U<1g9=rw87LlqRKd`FjYl>GBLNu=1mAI)j>;?b;*B*|*MP8ej(3 z!dM$`CHNV(FKB)z&HPAlmww=8za3hd*dx`whz)kC(uSN-NU=S->TC~9X=^uI#nw-3 zo1TNN&}|Azk{1xEx^7V?7ST``)A0 zS%qa)`-KEE0p>@97(&gVbIQOAoX$6*86>FKh63rvvSk^WRV2K|*Vgu_R(tkWU!eHF z3NAk;HL2y7TOnfvmjX_bjSiGOz5h}Tr>_xft=J+&KFVoOJU?+Z8+3_!7?96sZ3=9- zglTy2sMS6nlj}@aWduRHk&;^TxdQ_!6GqOast-%?dh!0$xa+j&@-w^g4^J}t+OKv_ zIvx(;JCb9_>eY(;Xx&Y*+rFSSgI36!a#`-*Dt!a(>ipeRe~0ttTKnDYvDzr*CNp7k z{~b7M(InWu9IJkY4yfF5_2N(2Yvn;dkLd$7>E;DfyQ7~wx(VJKag-xZd3hO?JbQL0 z+uGaXYvZH?tN(Qo7|00kLf4kePRJaCiS6vTN)N&it(pi}c|Bi7pHr21=H~rTYhQsM zJ1hQ56s!EQ4TYJFW~J-`MC%g|e@A%5CXKu8QA*bSzNXL;h=*%m3i<&bGDW1W(5Gh2 zV$`LBVRV#~Xt)gSR6|R^RI<#FGSpA52Y+&KhcYIGO-a>IsjW@AXtMyLm~mz6Lq?fQ ztu}P)Ii)_aoYo*E@pC`lR}M9Z#Ea*pB^^k%heXZx_gU!7L-{1Yg4-1=M#fxIIh;61 zZEPgcj<90D72I!~Ct!$8^=6=^u*hcm$HJ`h)ZOwVY$w(!;~w>}fJ7-LMZ{2W63l?~ z6mvg0`T@|wIYNBRKF#Q{wElz!*4$gCScpU+NXO9+u1^M$I8KN6|QgYH)q`+jU7{?n6fV_r)Tyqgv7k0 z+~ycO%@Rajb>CTI?k~e-5~^@eEVIxV;fT%mGm7^p%f07=EKD^#tsz&t5fr*=(Ugbx zOr$cdxGt|g|L_B1Zp=!hQSVVgLasRWH%C#?epDmp`)SQB+Scf&k3*a6&p}M|DNyW{ z`_KxEkMmBs7qP9VBPBI&;)d4_n^DV|)~)dayC*ME$R-^^=HLv(5?-Eq^IR1En<7u$ z*gTGMXC%7xhZ$`j!8o--%KA|YwC0r4{Rm!{>ja4P*8j1HF*&vqRZy?JNk`D)P);=7j=F?i`B z=A#W>z?J&EUbv8Pn3H+sRu(H@>G&dI&;kR;Z@`sLEty|s((Yps^z-}uD)Ja97%grT z{g__GX~cj9B8T6+YKfeNkLiRquwPOQfqIPJa{I3VvLt?W7C<-V+L@8T)(k=Nfj00n zkw1KOM}Et}F|Tt^v*|#B)|0?R4IeF@(Kg)qpi6~e>}Jp)BwwSkokAX^R+N`kqr%yu zlZuyIlp!2w?aqHdCVvnmw!zN#PE^g`hBw6BwbVBmz*FNwTwb-o6Z9kppt`z+S8ba7 zY3WHOe|ysec`MOHAIIGx#?phvVV_NB-!n)qThU$p(p;=T&h2#Ey%Vst*%TP`a$}loWmuX!j znV(XxsB?>=$qMa!Pe1Z9%Rd!YXjMkvg5i`cf_*^dHq?=S`km9#uK)a04{ro2$wzDx zeY{`D?LCrH+}LGf@TZs2iOj8w2s!yS5c%g9yd2qT)WJ#=`zXSqbQ)AKT(>!r<*=z| zo7_%><#`G>d6sfaE-H-0#UD?xYZ>E{cLwV~fGtlF&MA+zO{WF$}DfV zQyu?6?$t%aF{+&YhedBux(W1JVOHKRR%MP-vDcudV_!IY_RoVJ79%W+N)>Biy=JR|7CWDN2 zbjmyFjH9_GyeqN&a9GyR6b(ay^lJG&F$)6a66c;)yI!SLZi26ZtKaUpI!(A<>{5A$ zDyyvNcFl&B?Gwdyxt4?Eh6n)!?r|}bnxuBx+H?sEr4VctZj};VR~=nrS;Hf+4UXz` z>y5#lS{d0#NE;23wq`t)q44ar{>?7J9Z=2e#zmPI{JHXLAq%}17Kb_tPwKjL)94EG z#t)3i#$jVATZ7e?{2bxwHp1k|r|LAI@Ocq__$=J0GjrD0M6QT8!}Oj%~P|%%0Ts`_*U67{Pme_~TO~hS#y& zN9anxfs~d5L(Vj%;tWS0G3HUA??%oUhEG?~+9Os6#fd<8TU9bc-4 z_^4~kXu~_5cSNedo5T*r$J1NB6@r8W;%b#Qp54p3Vcp70@~6t-u+pU3g?p}3(Qx52 zb9kx22PYb7uqZ;eaH&&#t#kPmuIh>2lC3jTYq*Lr|=k*(k3s1_w^ zPRpMkURT)M9cXeAMc9;pduCtVqP&d=2w38kkZ!6 z#mnJb(TG;MD|ON4pCVAU@wb8#qE2g-=3)jF7qK~gw7~pNBA)?1eq45y{l^fmeIQiX z^38z$=f+fEMBqD09I1W=Ru% zwh!fM^4&xAm>FeRPsIw?VCNe)z~eOTXFIC)K_gKe>Zwggu_&Oc`$$fU^eVgF-(_rI zQ-7WtP3~x&Cmrc+zDtUV8x@@g4x%GW{Wan>|K+vRr|}XdR9<7(l$N*a(!A3vGYP?g zE<C4cI5MKp1RVs}8!kGQSk#+>g$haXok>3g#tiFag&o)rtj=E&$L#(q1Y ze6#PMIo}gJjn-wOz`DuiwUXG~pQw+lnY&!6edQ->TdM0>&XN6XFu>PLZ z$7Zaa%e*%p0A{VMRm9Y_d-iC7KghS^7UKncJZBp;Q67xj_w?#L&Y5EHn(y-^Jlw$N z)e8Rw=cmdhW*by%f0tY_YXeltC{F7diuhz+Ci)IjG(Av2{7WYt;k6lGWXc9|62Rf%o6o5=&6_CYFyvk- zB9A1~Cjx(4ont_O$*Ud`f&0OaBRWRSUoVW%VU?00^5Lol4 z7?3%6RW_r>=j-=$`R+-d`t_Zy!am~cc~nKIAmrCtRv5zB#wXY3yp_)*pXh-6%c4~J zHHzG1Y@t<2_X8ta3u(4;U#zsnXcyrCIe!pEf^-6UT5XNK84nfHPJo;m6_bQnpN?gt z_qbX6SWJ-N^K&qH!Z@E2PVI_s-&B?=-)QooIvo!( z)asU2chfj*or$(5z7&5*u?_>>b}_?ET?1H14G*a60Kd(VnV4V_QxJ`JcTA;6as_Uk zL$^L}j%_sqj=kI$((_LXgT@Nn;3?V}{4;|3Q!u{$-~4Y1qD3{fK`lS#gh92)^EcIQ z3Wfva_*KW?!|_2#+i~SzG9o;$)8*cO0B%}!a$R^A=|qnz@W+?5uZz#^TtQ5$(ecNN zJKOb4uSC&q+_1|pLW;!{0lNWT*HZl7lE*li9#cc6n5-Ie4m^_%YOIL+BTA$+qLGYr zQ^O#(&4YgVh89}}h1?O)ERBgxR4MXYMJ@E2XH8Idx#8Mrxi+%M>_!!O8uUE4wc794 zy#KNFXV()@H>sk{DsumW5Dh0%4pYF^p5@1tv*FOlXu?hD^hE9mMh;3;QxK$`0}jH9HgoK zx>&p5c0-kKypc+s!3}Y99#Fi+fZt0~eJ#^x5p{ku7-}ZR)6tbr-PMqxhvR-3P}tzR zXaVh8HH=u=J_<{0f3?YBFQxZ^J#wmOvQsR{%tw`>Pt3*W2=;Hn`GLSd#D$C>iWs@> z_is|2F2a;oE+{d-{i8Zv@6Yxu@cW-5%wr1#XRp8IfzA9m7NC*Plt|YVP>9RR8-dc~ znx5_1{vZAC9Km=uY0#Z-`NdM?({y<9ne+}2i z7bF#&K%k*hQKx(56oS#=!}I;)kO&mc4~tlqcE96)9GI4jSB?L_jwK`3|7QHJWB(no z|HjyVXXXFTGx*e$Wnnx{FqeJ5#R4YBi~UdD0Cg(JIJvG+AJbV<+;%qFdwmsN)L z72@$ehrlNF<)b&^)*fq>4YsbPh4p^A7kfVelXIBfTWY{4e?g(C;5ccGoWV>P6X30_ za#UZEa4S>xM!CA)KyAlLjCZaC#cqU?v-9%O8LT-xV7iW|up&JDhOcp6UMBUdgPVOg zRMxu9%wdUPm*^moQOEo#@2msA;Ko!pQ4066xdY~o@=SjA`rR0OK664gb&131dD4l= zOK>EDOvi}gMoxiU&%KXrG!Fze|7}mINWt$ zZ6?i?c0#7zR$$$!J9`))c=!9@GBK>lGW(ZdGnj)H--y92!8Ad#;s;jmCa}|Fe1>BY z_tmN=w~`*Dh;NxHsnZ?UvJ-_isu%EM;|S(Wo9>3ws`a|kuMrm0-k0t z&60Zq9C*CA{bsi@S1P!&4*{QAgKLE;L0PxlI8D%UGFtD+4u%mX*loF->0F(&Q!8rN zVm?4dVcbE5B`P=S`Xi~dAc2wA8ac8(_0n5CGwZ2as&M+A&H>BCol=j|LhP#oZ)EEG zvmU3nJSpbQ33q;D|4i#Z?31Z^pnV`|lf445O5;1-XnYM$WmS*zD&3d}{c*S~jm#hsoNN+@S69 zm2(oFD7y~I{AI0Rp>EEI4~p%H`vctoB2)&+$HdwK%>r-@i7 zIa2Y6SfCNoIn5Dcxy>oJ|5g4g{58rW;{-xNKqY_$LgorGLrs7>LLoqC|Ev60fPg}U z6$+Tn+17XT`&*&;VGgIjWlpXi-2W*575*B91kI6g(D0-Y|0z3x#{xBs&w^(Eukv5v zuaWW}5B&p1K>sP57H|mC67V0l{r?sG{R3bias|!h?HM@*{#MB1G>u2(IxXM*ukv5v zuQB(ZKEWcAL;X{sDPlUPmTEBtqm z{yRwjjimqgrrW^R)%Nu5k7V9zWPN)wqZM6vS?iAnvEn_wzsQM8-$$$p%BKh~2XKS; z@K&Po^*X|k))Ob$LjrmyDg^cIe^_0v-ppu$eF6MHxVp{E2N934h>&6=zF(${kPD2} z;65*(aRB$QEU*_88UKpBYpO-V(r7xf8`eU|yK$nB%HH|9o3;Od_k824Q5r{vi_Af6 zH}MQzQ&a6wT|Ek5pY0~8{7&npK3nC4*Q60+@Pk#|auu-)AExxaZAUa-M}CH)&k@eRyHr9_MK zpQeVLq%q;kmb%477Z0eo+@?sz!2VecfN0#au+G|go-o3=#%A7zBd`-rScY1zdX;Lt z!x6^36D;d8?&OK`I(GWJpQUO)$|OP%snuZRdEhre{5ov<^Ip6cK)a%%RZ}HI>a#2J z)Q;TyhFahuj_k68VDgM0e0rCH*4~{;s{rfB17W8GQ9a)VeTRG9^sF((+pVX1y(kD5 zJ&-`XAldVVqR9w7c3H*pmooN(qN~*7Nms-d_5!;Z4ZE4oXhmwe(djMkk>*Y+?B+W- z&-~L@?UZfvrtnC}4U;4ouwyYyh3huKdljsK>E}RTK4FS#Wqpv~qub3*wI8?apWmxD zM8C&L(J|6cEFQUka;V3@W2cNe^hUY=2SnrCh-X8^hz&5)&aamfE2i=}V|L})zI^RN zd`lew%$iC%kV`7>FW0mBu60Pma!RF#DAggq54_)agDOOFXaVj<+dc1R5FCh6|FtaO zJRx4q|JD!vmFjGLN7p{!9n27-@qZD2)fdOq2Z@A>_XvZCXX>UA4Z?{7Of+{^-GsM! zx-l`8^8T|j@&Gf_x*|74nNBs7Z4i<-?%$C|$O7ra+y@VLuGgBF(eP`|aHpF7;8d!n zs8ibaKB=sr=(fQLSk5OdpO<41&k5lm25pzv&FQXbd@)0RTqRrNUiO43ZYj_~Cwp5h zdq!~IZh83b0*7AiV573k1>NOo+W*Bu;b#QeI?z+~{slB8+w+9L4CRwkLrkez2$ao# z_q5vf&h^@W&Dvcs(*B0J2GC-kcl4k)UB~MLhsY#fq=#xsWqrb3wb&qbaamJqHwf&N zZ?(knciIvFDyAtz7OWxQ2L6}Tz+XAs2>Jb^8CY?2kG6b`)r04sht<6EfgBf35oMqil&efBS8R)&sC$7xS`eslN4P%942MljHvS)WlrA&_ zJl+Z|f?WBM&5p?&=5-5f->5n~u`vxz<=#<}SIm#4LffWWkcr2NY`w3E4+isbx z)u^*&k6+;I+6`?}8$hD8!ipRCZ4ZGln@6Y~W3Sj&fe_(2L4x13mRP&5(WKoGRs5cRHF#%+mfu_PF984n9vh;r+IkU0?q49@hVfLV9%OvN@MJ3afP1U5pIyN7 zv;UMr->S{96yG;FjMuwgHo614a~Lan>|2-nS~e|8`M0``-qgsUzLIkOMt!e_??5IK zwmaDguy5@Ft)@E?_+#k7j3cO<3+l=S>e>d&2+kwloSvyScY&bo$-VY0r>z8XaGN^4 zfHcnFtx_y!s8fFz=BuP?n!)t*uYmj!MHyGh?Ve+z{(qT9H6g;tC{T=dBxuKfM9%V0Edp4Pt$!hkbp#%^T#K31b7b0Q z+D0pqvx z7a8hNqq$RzFk*S8D;^%H2XD{fA*MzGR8wy_rcETu=a1-7VCNK;hPtExypitX>?p8~ zix8EYa673ygUuXoQuN^`%rEYbppQ^k<`7%StKW;e_m!}^XG(tUdWMJ z22}1U|6vWjdx>T+ewB5?y{B+SB zL3XEN0-2zE96R_4EHyqq7N>eKIoQPk+Lp^g|A{Z1lQ;MerAR{qL1AZPY9W#i+s?Qn zREHHyj=4h*Y;po zL?ojGu3ZWIzo-F$=pLXqfu!45)Q^^S8e1+LM2+g3IPzzIIxYqSrdDd>j~{;HT&QO) z`?aDxAKC0=p!?#9K?|9noVpW^Du($q__&XbP8Fu~lxVnOx>##~6SI-#8?IyzM7guG zh0w|hWv1UG3pj&Zi{;p7ca<_AX}-VT(t#^|@3io26;SgB4+F6O%RJf-u7C?p_y;R! zt&pu;)@n)$=PiQ<5f)_2h@x<=@TL^-f%*nS|Lfxd6Id=-?CFLd>{6uq2=f{Ic2hZ} z2k#=;0DYO#PnRoohC@UV>yPbl;2(ZZU`GjlOv;SEk6UZ8mu7|GjSx_*TZq%FkMcAr zS+U2Wx)wQQbXRmfm$sdeIo2X*&^xr)d#Z9rw{qx3B|M}9h=T>JT}l3R)K6ejD~G69 ztIa@LLmd&GR!VDp5KT{T!LZ#^|)W$%^XK2NgM;Y_1> z;Z}jVHibp7fmj1*ur1oYTSikUzM)l=BV|7p7Y@*8C4cWD)_PPoswk((K5V4I^)$eP z=bT1ZCD%Ktx1t%KUz4X?BsrGkJ!f2`F_DJ+%-WYqH%nqgdSmq{so2XKd6qE|%oQo5 zm)4dwj1F z|K0xh?;g?rTN`P36k7h$&wf{|N?3yhj9*XQ@wxGNP&x0Q*yX+NU-)JzLs%Gx0ORXbh8Q5- zxLJnx2Zf*_qV}q9*N{Y=i3=nX_qLNK-iwVOP6$_f`Hu3}==|HNi$zklhws|kYGW5o zgVER|-IbM-Z^qx(b(GE;>=vr5&e(Jmug+F4KO6DacoRL$##EnNkJrf_J`qcGxEd|y zxEh?+qVd-nBr1K$N@k&gw<>=fn9pN8TY;XSAnJ$pDPVE0iV)z2WED@d$)rB85nb5G_w*!C~(8-BS>=V=687(?)=uNTy4@@ zYWHDAH@`faZk6E{UxJcNl}1p<$uAE1i7Ul!o!!jnk*rZ^S@J^Fapi_wvBa2*5<6zu z18^nCtP1E}F4AdBzF7Nxyh_$El9a>{5|6*uc(p4wLSO3Ya-6wZA$;^mr{&u1-}PtU zSp)i$QQZi6(9aXb%XOE4JjXe-KEdKKX(bSK4~Eo!*#%7*WD{2oxq-SzMiA7v$LJ;y zh*D-rJI@~%yE1!v6IkP{E`vYb4k_C}Xt_`&{KochTDaI!WoyZfWwq*JuE+aOL2lF9 z@W3!;R*;?Kp@J)3kxn30Im$eFbTnkBqNHRcoLgjbRi2$5m+fFci=HSN9kHDOspJf` zo3H8rE~-`ItU0ahkd~Iqk|V&%Y(!epEwWH=Xi9Fnoy!#GD62DU%>w>r(BA`|9YFzN zaqc)?0$xn1k_F^JQJR5;m_R6j`^?OzE^ssXCj!>2*xN6|mF?G)kqS4rZV|Cw9=rt6 zwV;_vm29+cGrl=+2CR}~g~43?v3!s0(d$?2X0!s!^a<+H+qUC<&)v?|;A`5Z^=;Fq z^R3&a1t``e%TaTT>Cvl?4Pj;;Fc5?vm}U+hFV4Ck{sz}NzBdk>45bKM#rx{JAmy75g>-C903)r_e|wYh#*gfN~F|4Ru+zZoixlgg@3?=5b8~v zA~HK8xfX}*w1|?tXPujA8nLSY4`>D{T>{Fe8HqR8_!J?DH}3Ov?spi*3JcOWY$O=* zG2FOLsIgFSw!s(af{~YB1?-;m+P+y&W8M*3OV_u_jGhgXmKmmk2~v!4O-K;>rjZ*vj1LjSR5pnVZK?y4L5wo= z=HSN8MOA@9_ZF*8nj#=&OIhwX)GLb7Pru&l1I@S7=`7P+r#ZKN+E{%<-rDY6&9)kV zn=skotK7*f-^nR)kc4pHSequ!x%s7%aXBjVBUTMmR$t@db!it;mWi88UV#2e+SxUS zdYlnSTKt%en%Mwp$$wU}FpslL&dpVG6Z#hnk1~u`GkjV^l&VkUk~#0DYbWCEK^?Z* zVk^l57Y~{Lw3nm6#*hF)aSr5j1YT&?BV#4nV3riMdU=cKAf?ZX*c=wHM5p} z{$xF}_2$&OyKt_m&^s&oJIAaP`O{Pdh`sfBhE(;3e*7SpTngrY5eKdBuc(&YD=M{r z>Y7PHNME#6tu_cywve*CD7RX$@;gw@KM()TMtj*El@(?>2%%|0uAFvXkc+|gy>d`k zBYG+1P+wh$Egw;PeY)1k)6Kuu!;<++VpP=?tWC3LLbiEtrBNki9??}O^klJ7C1ZY- zeW7ZrrYGr|Qfh4S8eH^hE6Y!i^@Z3q0e6JFQ81-jXS_!EEI)70)#O>_9bP7)aemljYdoA1&h)1(n|&4ejk z5OItkbmA)}fRF6o3A`B|4}C67LrDRcSLxbNJ0)n^7)o${2Y_Oh8T&tAk5Vha=HM!H z6pU-w=Sp)fQ%kJm!vQWZgIOK&4<~G^x282MT97m!g6ZDco5)M!TO4_9$=Qa|baux{ z2-=LNj9{F%XF;p8s4ynw92>Bt4(is*UU!4Tw3%m8zd;gLDL_FG+ZB!m=vv$D%Y(oN z&IhJBP~}OI+l{CAhMkx<3P)An>K!cQd_SW;PA$D2qp(kbFqI1Z>=QhOGB;BcGYIdzrEJ)C4PP z=`ncj%ZUHA4--*8E9{x`;5!5)^hiqYmPIMs=bqs4wNB_Lw_M1S(Eaw5QyLtH6LI?Z zowm5#K&cmwR4jJ-LywShy5cq53{!9jo`+R@FR@yK12YO7#qG2Zn*4|!ay(Lj>G`Nu zsV~p_tPt9UB)){Z?Je)e5=LH#9CBU|C2}Z6-XBaV3dv15bKeh9 z8-k8^Py@}0Vb^nm%(o>%E-CsxXEO=Qos*CH?(`0z<-?ruP1_9ezt!C};<`M8=gv$| z7P$23!h?(yZ`AzEkyZGd9zsxIy3C2UB3GQzNQjof2y__nLtdh+SaN8B`KP1l=83OE zDMmxsgLoeNgQA7%pXEFR%7!{28pzb>saZ`#zn^(B<#ATil##i9g8TsZPfO&;ibe=i zR8wOwIXO*;P;&_$?;8tpkYOY5;sibXl~}+6_ob3pB|r8A&1v4@g+YCeKd>;9Z2q1U z?vnmi#5$sSZpODRg1}Ed7jpE#NAQ16$|~IHt*ovJQ`OO7dYJNW|LIO+!DRqEv_n*{ zM;1|{kzcGA)pJ8^@a1%XJTW6U`|eE-+d5VZE~j;F^Wch*`%>Wc4@^RNMf^A@J{1z5 zLGSIkdbS;;AnV+K#D`F z_8vOvx4fJy37rSPS@Z}^v&=jB#v{Mg-&U6o+RlbpJZx>qzF%y93kA6@^L&Amdk)i_ z2+R)QAL;8#lP5xjwftUEM6{DMJw`Ntt1ndTH!ZjY{zMI(g(8QFgM`g0v$yw(^?5D3 zg8rlvx*Sg?FHPRQ@2zT)H<-#$?b0AMG~)WJrYP zd$0hu_O%+)t5~bVM>L2pFrqJn6Kl_ofA2dwLTpcKyM~;jT!X>gO=KPqXya@9+M^ zw*f;UIbr}d!5APw$&Apa=sG5DXchQ4uaxp_F*{pwMVu#=3v){7$?B&kF$RN7Wj zg0DJ=vm%$=-{Sz>?@K}=Z*|tx-Mr~7#ny02l*@{51>Rh5<#1WxlFZp1AcuMzzed5F zhDvw+4F>W|9|{p_LS~XUj7n?}Fn*t3r`_Q82x&V4f!H^PpV5|HCR(7SmumK9q}AQR zcMCU5pzbBx6+SiF%KY;7Wo_u0r;QNBub2Kx-fBIiopsyFTgvujcC;J|>}Z*%XK=F4 z9e+*Ee@$iE%1d+{3(Z{p$5)Zo4$R{kf{tYJPg|wbJG58R?8Wxq^JBWo53>BLT&9Cg zJY?zzM~+h{!4}1Dq)On;Rs&x5WIW?Du+x!6O@mE;^`;phlxS5ejDL^Q$Vl5E`lDsB z94P*)ii~TB<`1=gekHljFJ%M&UV4K;Uxazy8zQdX@=kOp>;goqEhf!6QDom+N4l8z zu6{pt^+lkz1|=wfRJo?I*t_K|$H(SvL-s$~{}$lqH^<|X9*%wbbI53+pS?_96Ok2* z;>t2S^rz5I*+A^1uVRleEq>Eycn0bd!d%80I2Qo*u==%nellHLkQO#gAdv&9`}X?z$wxZ|NY3x}Je%80R?W=9DrkS>fZ>sGKpB%x9Rl)jYN=_o|LiU)nkvsNX z4MV@*rPwO$0`bRlBM;tVW@KP~PZx6l+fpV$!388#{FkIPMI*P4l(n1(KUqtg^dr|egmi$Tp|NFE6J`-6p~5G|a%b1xU_irdU#ec-Vo?(NJf|B+OK$~*m0b~y`PNCB<)PhBz)$k@A%Gm zrZq?TExu5#zGCex9ldTwrjm}+q|X< zaDX5k59R?QsY(|ZAhdP#=)GSPLmHJ(yCYGVMra&&9u-vuoIXCCN&YF&6CaKn51np6 zoozk#=fghqvxcyU6YSaLyfevtj?miBi`vlPTcW@;CV}VTF=>}FLj^oyJ%|zyWHnff zImRx96mvTWtR6ciUT1dv^&EH|dZah%F$}95(rK^1I(3CuA!8#3G8`JzJLkly5^?Jv zUdnuy5K9%<>lSe|Vd7-Y_Y=E^Xk_8&=;YhwXZ~{+8>e%P>_FaXu16jqjW5fTJw>zX0ybZxJ(-YJ{KPO|r~__m-W(ddp8cdL8b1-BU?Zqgsmu4&l1#G!Q# zU$yO)VI%NZ6qN#qvwfKk>CQ~2qM zD(vVl6Rf@zsPsatHjH0#&d<&!8u`UT1dhh98cgJd z?HU*lL_`Md{;^^fs(#E-0;hD#MUPqcK4wIyJ`9Iam*>#F%3P6r7&`T53aV~}pv|ct zfw7mMVxCM^hmc$$g*zRoF%_f(PaiMuwU`yw(>k4%5Ovp6DrSgU(<88XM)F48Aw;1K zxMN?kx)(L?nYEm8KHg)THH0Hbljq!Fx{^TpdQ@7)Uy1Dut7S1@MoiFzgZiKxR;SQ3DuY|NTYmO-W%0U`IZH4EK(U>A$uA!I}fb3E)ivBqp?iRwS&pOpI%si zb&IJ}qDGf2?ITQivZ-5p5XE{A0D4AHe*=7q|L!)}a0m63pI_o3sFL==>TA$tIWXIf zNh9fJojeZ1k-?53KFOmJMZlhJ^Z5$HP z4K=pxS};sRW_XC29b+Y2Vwh+GRuyh5|LGu#}tZ% zmdTcK=jgZv7S_t-P$8PRl7DE5*#(Cg*^b3kX7RJZ+lq1LHjl8J&MKh=` z9`>ArY*M+Z6J1!tD-o$DTzL}#gk7WuH(JP2xbiHXH?*1;rv2#bmWx#2{I8%-KAfmYN_VbQR`y3(!5D!zAWiIJ6(ta=> z{dG*NnPPSm`;(i1X%SIUbS-=L{N!V&K%aL;5sXfmmu+aqtEyotnKT>51x*1Ev;5`1 z&?nK5`v`e5!n5~#ZyD3t``0-6AC!oqwPNu?2I=!r#=?sQ4SxvbYOY= zUNkN-4WAV5+=GQ#LqC6)-f+jzUtPmsnXI_T>0Ng+$zli%S%^tfkXgf;#t@zsUokQ{E(4KP63h3t~+Kzsq4j+xm=+M<=9gwpX^a>Qiw73nbQ~RU~ED;CF8N{U^G`H{r*zda{*g0HNGDBuTTzKCR(1tSP6)1re+v-oL1O(9uu{~)Dx0W+02;QM+$9Tnj}64 z)2w!lLd{$ANweA`3N_n%-Ec1{Zl4~^X0;;}YVPOQ9AC4^4I%Ec=13{>KYW=o@FWIQ zf+?!59PvVsqI$<;Bb|9TN=NnBVOEU z8(R35H4_$Ms@iDo zI8RXaq_9`5u^>mlKXQ-61dkQ5=Fg1bQz%$79#}g(!w|YykW#_I2RzQQ$1iWT-JfSh zQvlQmK8%fpf>9aNWTtq`z8eU;d~iF*lws^-tL=>8C>)iUY|R`PYXi(whu`*ltp0+z zx>M>#r&RAC)}bz6PbUY%*Q~x^3?psS!egxzUx_K>_m!DqR20+>?1d{+hB5fp=~i72 zS~Dwna>km|!vEm2R<#~GDwye^cE6>U09UcycwmYU4dE|ZdqprZn)0^8sCte}TT8}c zM_S{K5Vu3Ere^Rst9Bvou{3*Zki`vnx<=aXpZs<;vhKPv)*qs1w658f<{bGy`;;ow z1h1Glduiei7BIzTv0e(w)}b zNtoeV@yEme%_)ztuIYizDkaQUDY?xml?-F`9W;`e33qXrFxQFzp8Op=TBYiOkthk9 zXIl3?J=V{P^FqAfFyW72&adiXY`hg|g}^7Ke3TUO>KlIgeR06q?>*a@H6=4!e%Kk~ zfIj-bx`e>ztW=U;yZVNoeu}%d?AnveY`LG)U*}qUW^9_1l5Ir*#)>#Hg`JVQr_oew zg~z_KW(ADRcY1Jzr8!4@1!WZ?zMeOOud0_l=KAt0Rw>5pXe@+%=P`wy5R_7yVYkql zvoUtF!-Sgx-%+I!&7Uz}$;4X)oanSmc{gTY+kGTVJ$YRPxNDi!R!iZ&xf2u64zu=n zt;pM2W~AfqPg?$-BVKXFL9_=Cg{sHw=QkK@?etf}B>O9>Syp7!6>lYlPe(*c#m6uz z<7!s-@iE8m_bcb1 zurWmn#yI?6%UA*rM1wK>FS+XQQ}U+gxDdubbF#b5(b`{vF)o_25$wdC33~_rk)V}w zyt5zmv7_gowWlB4GzwPQNl(6kjJ8ie0e`YM%wnyu_)v*xs z&6ax1ewxMKIZ<+Gv&TGZohw8e04S>GUU(4AOc7##zZ~gbnCk1Pa!@^tHMHi~aEHYR z$3BMBoE?}9X9p&keVYT;ZU)?Ab?W-asVmvt9up1s8EG%h`n{LcU%rglr|?XL`U;;u z8kayRmFTAg3q+adr`(|gbSWe72YMcJ^vtpBVXUGf^BZW4wiNe~u)46{XINvLzyA}J znTB7318o)}BhZ71ek;)9Od0TQ^;OICaZssGD~^vHfWcb;{Iwwh6Yi_i0-H$I1qV{Z3=o2?esO+5_fqh}tmBLyFr9v6|K4S`N;K<}fkH?x=wKM0bk9gkni`8~`n$Ggm zkd>;xl8GB0d)?_Tysu~C11}baXBeU>PhNpt z9jv=C)lYfDvCw_a0lF*FE+L)|YFB!MxIU;TY(?eZj$tUX9Qq;<3u>>XzQU((&%dn) zpLQ%v*qp07fW#B5>7e88k3a`O-QZBMq{T>_V?CDkh-Nq0$4=hxTP7YA5 zkWYSbnd29CI4zwWprM!`#I05eV}?0_h3?Kaa0yH}Od0siejtN#zRWL{H!(IYAIC4VrHno0 z%*Tp5F>|;xYno&2l)|q+oZZwaR=YgbS~Xmw@q@K1D?|wk)x3_~?TxVRb9Js|4`cT4 zK^QCVwEc%*c8aF^z2lDE$2w#8erN2y+VVKKPYr$=<%X--ofUlK%+P+a5EB9#5sAI< zY?zhe?%WD-BDB!t1`ys#@tJGN0Hlu0w@zKQ3}XmJR1bvSh|NO0Xvv_KXel?vx5rOc z{k04BGtIgfJGxhNpO)$OW?)Ibmpcaig(Wd1g=Jb1z}*36Y)R0ksuW{m9M%;r8MVh_ zuUa!1c#_!}J-dQEW-Ela$+E{|Z##P2QMjGOLm^IbBL6qgUK2xj)-mXv5FebgsRQxx z*xBkUd}50KTOpO`r^KK8nKG~sslIAGzrmH+cE)aXP_1X7!Pp5F8XlW%$q2F2x|hV* zrIrk&IC|W9=J_zwQ)c@ueMxzS4MQcY-5l5yB$f(0d<3#I@VYox3+U5S9 z8bG$%4)2q(QsDn2ILH@v#>!$&yJ|W3oMvhE7{C)@ix733ad5x0cEG0}qx@NAr6f0h ze%Z1R_WAQ^{#*`%xM?B$HqdEB!L0&zTYcfN7S4SBm0&_2a^oB)t~S0r>M0(3XKu7z zIpPP|WnqREW#$@(t1FZMeERIIWHEs;l!C#}Bl*ejNQ z!S1D_=Qu~tDo0OE%R(WJbu4_*iqD>WqXHC^hu`*BVGbEhnGt*qduJk~m}t0fO@vvc zRXbzXIiCA_FpE=~89UZ$DP!*MvQr(+07k@SkKr?}(N?H$_!&Q9$6F81aO$$3UHNye z2hHAz*RD^TcI9oI+s}zn_DKdEt~VE zM+n?XG28CPUNgnV*i13p4NCh=Xk_Vu-ERj&5Q)@P(EAdm&G0)Ht9LwB!?FitESuq% zWoUG8_$^gW?qQ;zqVlmmOi78e|MMQ)rb0O-6Yu>|DqqQXtc=56d~Yh|E14W&KLME6 z9=sy3PHBcG4J&O2^~&USpYF7FX*~9#^Hd)0 zHL2RLml^xra0EZ)Yiov|T#jc%yidwVO^0s4^>gcKE*z=xa&wGwNZo6>j&BRBD1@O4Mnd0|mG^G+GnkjH^!WsvK zsN(c8YBEc?@5S=<_%$j%e+GKY6nI|3VN@xH^Y5u5@aeN|r6c414tlY3k7FH_kJ{YQ z8LiekI1hJH^0u$_JlGtq{SK>_8GF{TC(Eh5ht)fb{oVUx(N;X~aN{_qUAwLI4r48y zR#dR|0sJ@rN9)S6F!Y#>su1IxUbcVt2fwv<^gyVKFf)APF_O<`9X`c`2ioLEtv10o zm68+Z&d6}9E_lvdYVl9LX7xKMO!HF=ksxJCkfiE@r^+G4#0NUUsw;21j=oEpntg0? zPjO4Zonp&EAtqZE3W3k*nVLQMUaV+J1F)Ib0obhQ7WtHbPcg8rG8Jv9Y#)yOm;)p3Q zZwbDsl>FO=RP`v$t}n;)T({I>%R(XSeNlKXgeBv#UoFIPL>J3n-c$UVA*oc-{DmhQdpD_+r$Btj%113>> z#yhQmMRuf@A(jNs(qL+B~(NEz_&3Dx*Ai1U%5M(tJKYWo*ItDK#zM zf&2K*uG(H_%)JxTn*3qxVoMKW-JQrb(Bhc;D=o%)1ouJEZr{RY&dy{7t9FlF9dK3g zkg+SFrBMqXwpKv!PF+jJV|kH*XR$z!I{Y5I!P#>d?%ZR|bXt0)b64@-)?Z&(J;+#3 zrxklGuY~t&S)R+-84g=ES$zsm_c<~HoRp|fQvZyp`LaDSEXjf3+84$e zTFThHN&r568QcR=ho5p6e2rT8Hnaj|LY&oaG*k-wFC?p7@W#lX6qfWg<2$`g%^v$P zz&X^ztw_t*XeS!qVadQd1o9vQ&)izREW`;;G`8n9@Pt3?ono8t@Y|){E%oHK63=jIhyfKC?2Q_`^#hSfNi8*@RWOyuVff= z@9>tBTKtpW?>~bc{H{v5sKRf}f_x?8m&b1fpJKQqREsu4OW_`nI{a3sZ}^!gb?a1`C_779o02ql(JwkMZ zFlweSR^^1K47@$q`t=9AAs+~2mJ*^DJPaC5S?$pbt+Z=n2a_pJv*fYVBfxQcO zrd=J_7x3SEfvjeVe{EU_&kR_j9<<%Dc^wptmKx^BbaqmvI4QSTDW2TtH#Gx(4mS!} zr5G#hqzrUY20JNvn`5Uq@mV2?pFZc`gxQ5k^;7CY?dtGT)HnR}Q(9Yd5+?u8HRdBC zCwDU}sdbyJBtP z*mI0i>RhL#-&^-4;6JYgIEVIp;PkTlKS1%_hEWUufI-eo5yF1Xld)!wUrcglMDGVr zKZf?;dl=M#Jt%~Fw_zw_?+L@RAr4#Ices7;AcnuW#v|1HTYwLy`nA`?%i!=?SIp6a zlN}Qc_@$dF0-t`VTb)uUVwUpQ8ot0Y>mP>qMD^A zg^hMbkmoIq!T-y)yxU__oK@RNPAe8#dOWtvicvWN-->M7oWhn_(GS*)mYy78?+L@K z(&|BvoomHsm~S{lam!}#cPmAR%8G>W>GN;~ zv>g)_!(rBB$-r;uf~XbBbagcUVU;qz!lhrAeJ_bI{I3vrgqqz5d5te3&%PcNAu$P4 zgn$87ADH5?W)?n-O>$7hA|?~yE?yE5a+4DwaepJK2PT6N&G4W5f)uRNx7nT>d#qsh zcA^%Z>O{z7cCqaLlm~a9oR*dgkPlm$%r4eBPK2Ch`4?j^IT7+yCqnjeB4l05zu^B@ zS}}pKfqz7M5dJTx6}8}9RZjH77MiHy_joCOy7B^C_D54HsITzpGyDf&luGnd3Qp#v zB=(xlaAsEEOqPZCKNr;D+YBO>%t?U&qJ}c?o9kc)4>K@Dh{p_P_VxUedtXAVaU#iy zPCT^VnI;4PCSyX_u0O3(DeM^w4Ty(9DTR-ZUzB4b;U6oSDej2R*n7eK(@;+lEBblt zX3GnNm=8=aHN#t{tkFE)h<`Us&COE(?B178!herFLYx7;Y}(^m=+nTI34cqDslFGW zR8+=I!5yKf%vy_4(1T9O|M$JPC}J;K<3)~q=Yzr;`yz$?WMLT3Pwn-f5cX;Ubw>Nk zW7h^z0&{}sXKYgYDHvNYACHh5_)W3J2*rVn5DTqH3(qZMk>K$4fMQGYht3R5mB3Fd z1^>G<7#+gee|0cC-HIg1d4ao=jI~Dl%T1vjX+I)|+{hg@eEg%jowZL7uM{ zVGVTj`%^)lCxU)<3Hn(z#Or37hVfdxKj>%ILgr37qBzloR#gRgdKry>H0WoMA}m_L z73Aq+OYRVzA!Z1%V!s3+Qajf~KmDm2wphoKt!Us~4JH<;hdI zs&xygKDVJ);mvS??fw0<#eL-kJ4+o~$`ck;04Tk3)Hmx|Gql>QKOICDqi{~)$u z`dK5yVv`WlQDJuAfMPs~EyU|*&A|DNpq~?herEUC0k6XqLVBGT%EKi|hu+tzSnvnEJ?Hg;ubUg?Q8MN{iD-Z*=T5p=3Frx$ zs#;hiG@4Tg%|oZR!WW$+Ml=d?SX6;@FGik|cjNp};fr?G3t}__n@d7WbD3$iYGEy= z2@Q*FCHEC+I6*;!$RJ+v+;5{%&K&Wab4n)^_RM3jIn9Xl<{}nVRqlYW@k5iRTnEw# z>tqrtYNsEvgktPsO0{J%f;S>9PBX>1gel!u@S%O#Mee4rFch3S>F&_Z+_vCDvt31L zJf*t2ST!^vEmqWnaraQuJP6KF%ws_#_Qjk6_4R^07ZsyDQ>r<_Jjkv^y~E$Y%EOvc zm2y zuKMUCntXGdWB#hDs|%Wx#*&R8kAgeO4XGLc(FLTbZd!s+_%IsOO?ok(y^4~AzIGbo z1s{35XoOP)FnMC#beRT3PlT0{)=h9=nX|#>8ljWh$<2p#ki;&YMasHT3wske472RYWdcbgof>G|4kD=x21{tE#RmB&4;( z1x*THifKCOXv@ME%R|iTi*xR!SvP?5``SuCPe!m`MnR*=)0DokO9UR#nKjKRJo}&1 zR22=});a`tmIvh_-qhDXXR@v@^qkVbX4d{ul&d4sIuMU8m5^KbK3?i>G}HdZ%Iw|7 zexzs{PodsJX$kml*R=F}L!gP}MwvRD^leFHxUhwf8>E>L-9=)Y^IXVbk;p~yy zYiji44Hw%X>PqiR&($}YxI^|B(kk3d!oNz$>Z__Q4Y~3m8P`&plx8%aTw`>;Uy$e8 z(DR+0t>sI_`po$Cjg*cPD^N>F^;c=Up05cs<(|is{uXF3qUiZd3dkt|!5LiM*TaG4 z9|8GqK%NZ9odwcK4-ujl=eR1AHrSI68r4rH;cMo(gq9;g$0f;r_$wD9l)YTeO=kc*K8Le9M-_|lyM@W>LbU-0Rq$R~~fmwoe;J}Z0>6L5}vX!Tl<=Xs5S$dl69 zG%~3d$7`{yGGOFp>PC8+t7ViAC}`premiNs5c*a{-08HRpu@X^FFlFQ!rHjt(`n!X zYwYsiN4y_a|*^Eywt&|zf$Lj~J6pw6I)G}4I8AT|k8BvePwIV1@;wtguwZNrn?=VPNI!34xuxq3WWCS z6?JYWEmgD=s3ac{4Q2zUr41q(6q?lvK20(=%L55;aemh_$`GVQP0*#G$*%6jzLx5~ zE1!o4uq1 zp+nm3PB(nwVy*VFMkvx;5crg9mZ*Qq!DL~9#%uo2BFEAGZc=nk(@Ev&l=N-)uKOkb)h_)t5%&`(Nd1snTTK&}Z0)_CN4rd9U=xjZ1TH;Q#up3lDmf_&j= zB64P+`9(l*bb8K*`sM{vRbMRn?@hh+@}y8Ym!{xDXJ-ZEZviVHs z`5k9ENsL0yeT_)hP~R#?rc?(ND@>@D9pd#cH3ITjq%=}r>B(`|T>x-k1ap608zX}@ z{#RP>pkrV3Ny++Bg!ViZYia1K=ppXk4@mqL(wTSe?F^zM+`zk?~Ca%@TZe_ig&-?-T zY{-LOQ7`mlUrUFy$Vi0d^bBHOy9HmFsfsV%a}Ce%RaI})5vnf-ZR8t)SYrblp}I^g z%5-=~kro3T<@-yJ`FTQM&lXlMqkK07KIaP`%R678as!tly^ZqS#-8e5*5RAO2-RD| z2-WBpeaWBF+d~TtyOq5>%Tbps&&w(8|DfkXXUo5>q`92BQn@cWajPgH=``$68fVdx zoPu4VdT~tk4NpemzEQaO&~KD+W7Tt2y|WnK;36XT#ktZWR~NCM(vLjmG$YcyQnG1S zbZ$n7=|Y7iR29aAb3Qb7S|FYDC#pemnkf}d+0Bto+MH@wk9?IZ0f=gBN_9A;vZr~O z%EKHh&y?X4&zOzDn7!V#e+qLqY2?LcR%7ICLFFa?qlO4?jzI)9@G zeMFdKW7&4>V#GkjjIP6+qICVs^ZB)|1IQ6X|tdoJr5soV++R& zOCD1?^Qs7))}j|kN~;(0bkcrBSW~KU4{to*&+>)oM@Q^cLegpo{elqs$H71@#H*@q zp*B*Eq;xEy9{Hrc(v!8(OO3w}i(UI=U!zHf%8HQ*^y;;Gt%Ppz`bZ&9RZ-R{_+$mG zNVvYF7sBJ1{y~Elq?6VTeBumLIa`s^V9lOf7eX&*-+G%piS_#{MN9`ed-7nY-!CpW z4|E1 z3v?Wd)r-2DzKt8sPuP@(IP+XUV>0V|B&B~)lPIT2={I3?WgRMjJWXr^yc)`%bBbPD zCw*FKE7hTuKZ?-$RhnYS!|J4siqNZS?@(s0K&86Z;bQ+Rk!OQ#d@7VAIDt?v)_hzo z_WQu+O7%id_VxErA}ttb-WS5!C2&Sfq!)9(u#t1FF*-f37(?TeYQRVJOkdk6?5CX{8z4x6n1vB`pNav+x*~MWO&}!D@CbAJHmRPDOU|~UdlNS9zvDFG-Ymuy4YiRd zJh&@OReh{z+oXg!AiX&5OJ|eN9MkhdE9LH@)l^ki744st77pdcA)(wjVn8%I7JOL# zJf?$(tVXPI&Q%p(u*2{PV*_8kl*ABSe{-plBTKLIH!=O zstzhzxlMEZ`-QpevzU1u9nO{UEZkn^`ae_jlIUBjAvZlwjs9GRopgN2?`s4J&kTIl zD)`W@`GOCfD*13(M!hrW=U2hkSt0be7Gk|HlDtnLVJBT8T19MGt7irM#I+D-74hn% zQo^blthEpe2K`(W^b^-YED-c_hQt&G#4)`$=%<&p5N`_lIknJgN}mW4;w13gqN?gw z1s^)oJMg)>&~r*ZEBK^z<3pwW92`QAD=2mh`iW~rP6+z>L?I#V78I?T{k*$~S5?Ke zBFjuu!s%HnQWp{3R30_CtasEMPqFzhZZnbUWu;@9FVl#$UW}Y9EeoJPs_MalPbcBr z)I8nk>)3_HI_brtSDVtug|lk4s?f&H`Bc>t!4eiNQfEYZOEGRk`}JP(^3eP{@zIOp z^{o*1p<5mCgaoZI?Ie7iB#-ILULA2>X#4$)QpaoTuR({PK`cPWwsBCfja!3lJRh|2 z`!GutPl){t`N}m$a~#1o-c`_yNQ)M;W>cyw3Ol3~3n5-dk<22bxYHRDeXz+nAgUu`btlZ#mYfH*^&XF`9`40*a*#UGl)6=GnC=~ z6KJA5aZS#?fzRQA&(b|e7|;9qbMO_{ZOZd zPBXUz+NmwtP>W(C^fX|TBW4Bt_m%SjU%Oalyn_Z$AQqM)l1=bRm-*uN{vuwU+k}b5 z>o7t*%>$&d9O2z4@Mylu(Nr3@3BE=L&Q#bG`HLFMg*HkW+OvkBVN9ZiLqK2h?$5cw>G)?PLep0MaObKUnmJ4fQke*3bV1`#GTE5)cAv8az3F{VZ@kKxjLq$~42FN<=64SAP<^uuwL68}3NWEBN^XV%+8Tmor z)4aaU$7_qi4$V$Arew@?<-Q^ft2C#nJx#+`r0ENR8)6zmXJ7gYnkW>97BApm?xNJewN#81vls-dpdd}$Q<_LdR+9RU^ANovR?TZ{lKj^u5UwDH% zF4j-9RrP@v7@`>XKRTaO___Wvtd~@8FqklPPTBTgb zgBsP-#GN?w9Xj#_uDDo-h!?+4Jr>lka-pZRdk?4i@VO*tW1B$ptw00L zKHYH^B|HL!gdDb~QFo=WSSr$suXxr6_}b+|2M)x-QY6=oYSp;(7x6KjabrzWs?j90 z54|j(J84FbHK`uiR_~X*JoQwr6R5Cx^!h!Yvd57UTBqO&I!@*7C|PrDG_BYAl;kg! zhfXTifVzCBdr_2!aV23%L+8d9z5b^4TK^6w9qD&8G#0coD3pFLo9a6qQUXnIUtdyw8NBQ&le*M zdNJn%^p&2Bj1MtAEYN&BAUH`wFXpqQzS5Hsj7;jq$Ugc?PewiykhmiHxIlAAK%&fh z2bx0z5<@S?cIf1s;OlDviG1Sj`@Mp%F9k$X(8{_m7c^D19d!&8WF6`oo{ZqMOhp+f z>y(trdYQ`kU3U+^)T|C+oh!s7t&p-3mat?<>fUY(4tXf=TcF5{Cm zZR)&JRhlo1hS=1xRD}DL%!zXxD9W@zO${IB3!L!=pU@9qIiF7VFbVb5No9@Sv}3o7 zMN{sMG+DDn$_>bp%gmwY2(9=fYsnE>mm5l?)2NQ~G$=EHAOw#4PBhe&)6h!h8OY~Q zk|htF`iWF-M62fXd zLJM`BwSc0e87w5qGgzSy9p{0>ow5>Lxt^B-1MK@bxlo%-}VB?D4brv-VQP=YR> zvUg0U9E6zO9^@(4RM4vXpp6B33|&RyctvegSvA8n{~#|u1vi(_O&jHI3p!Qu!7|5P z7-D*Th{cJ)65bPh-57j55cbhOrqS$b{-%J$xR1tAms}k8NA#7RjNB=R#r?^GrmEHr zY0)f?*XoBuOt%a2Y#e9~2--L@Xd~u}sEwzKSkPH0!497#8ZYw)By`h8X_-}Z;X`FU zjs4U2htMO<$UyVI0U1~i`ryE4|9}i#4;nL}da;cirmys5B=*f?uXfMi>vI9wFCf~7 zg#(ARMWFd`Kz0nsb^%#8Any&xI|MQNS*4(R<#*?9zJ*)W=Md^WtosXRALVXD#?*)^1_ z_fj1XI|UtRs_I#~^YXBhmKP>w&zLdHeNCwzDaKnm>B|L8RgEk{r*HmJU&c!qXNq4W z&bd7F4N&UDdS93?@HE>6Uwa2%Sk0>!>*w-0^j&kxbD`FIV6KwprV!H&h$NSXzNkwy z@COuOb<#KJ7jHm;OsPf{DcDIE?a67X>I9I>#e8-W9soHOC;{@&>8!k7<-k8;^?SEv!vK zUGP7_TCSIoCGcWB?^5LMPC8KZqntbSDkd+_L7@crSjann2zh6Nu-|IDXv^fmx?H^= zVJDqKzd4f9&B52t3M8d*f>;nNohR!%EPLvIYREfL3C)oHV&!$N?~JF zb$y|YPWri&BnXew;>?0`Cmk6|n{A7D(SGxg7T*mt*N2>Pq()OhPf0nOJlJWX7pKLQ zg*>FgBCM)9GsyF8Y860Rrm;WKTh7_OT@Dms(b?(ZLlOD{g?bU1PWooxb7k=Le1W92 zqaZ3zC+!hzL)Q{3O-kk51g(4^IefgfE;v(5rqE$ZlR_T6H`vA>0?pS8&YiSKkrs5C zO+iCn?h9#wxi&BJf5HlZhlfN&sG21RkJc z&ZW#%b*ykU8d7ti`D{^)NVvRk1$UNkar~7Fh^>qT$TXTd8dfKr6l`M*QRneW>D8jG z&fih>H0y^x?EWDIPZ#M_o|G;K$b?Y-#M#nbS}9M58tr`2i3Z1Mp=XDhJOi7DT|SiR z<*sL1>o{+z)iFhVO6#@c)G3vxs>+r_Uu`7yMJoh?4iCwUp5s10h2^#J$Y_1nT+nMf z3YMUuS5I`Nz}Vm&t2ksmzMtz2t-TA>84@dGM#jNvhM+TK1gB(k29z0AY+tw4b33 zON)y_iS%wN*1g6?J>*lFz6Lv>_VYR+H?^w~I33x3C}EsMrFs>CPcJgMI`uT&;m7-$ z6;>mtbs}C(s~XyLe`BV5ZmaYi1WNrJsj7?SDa)U@dgS2HyE&DV(W^pnL|l3MJ}!u=LZeLdk1tlo)w#!uzz5g#rx? z&%0b%vzeth_Y$v?CTqfx6W6CWBT+A|iPqLvdNPs$f@nzt7p9?1S=7taASw`?6rmUU zx+S#qsDWSjT3Wr(lYLDJG^>%DPxlW8&NSRo(5xQ#=-ylKLRg*j|L8a8{Kj1+7|-u5 z&n50{mEg4bG@TV(hBZ){gUFtdUN9P@AdR9I?fKqTV;)LAeY#IOUQPOG%jdRy=28n5 znOhXzJ;KFfPSdnXFrEkd`%tbPNb8YuK6FM9(Rd`T5?ngWO5e>w*1sH!+XC`XKwhBG z^^_8BCOcVq%0clz#_`gA(djg+Z3Gwm5msLHobeIi{E9G_wI{a%`8y2;pv4B_{ zuZM$#+XQW36`Yq4se{Y3v1btrI{EfNUm~S+WkJ)lUUczb31fq=dn9y7z_HjOX#R0H z%EdIjtQY-th*ctB^URH|pxg z@+)sC{V!>Zb)niA*y_jMgz8`0$Ro|w+d75^*Um(>q?Q~BZ zRbNxW%8*XlG;p4v5vM2H^OuN5LAH%s#g~Sa(ph1qZ?~YsCCJ8dndvOS;OiT5;)Kea z(ylZDtQUvgt{`PSvNqZkq`5YRZUyN#L#{kOVdJhOIdEy!vYPPt1(MhAl;5C26 z`eI7;^H5)4y$yW8Gi-y`nF}k)%h*q?7u(P0>DA}Tv7vU@I@Au^Q{Ci!#a&t>Lk+7T zkL$9jkvfx<4mqL0S68K!n!DM0SsznXGs=h^k5thcQleQ5)hG0ZGmuE^vOVR6C zD9wmOi#}Yusw!KgBQYHnR{LBcHfHjCvdB%Hv~E$SbP{5UkTrA~qYia$FKcCg6Rh_F z;cD_=^gu7xysl_fnv{^Hxqhl@b8^g)l(2?8mziedi*_BIRZcYEs_{yx{5k;HC&`oZ zp)WOre0o^Wb6hK1o{7;(tAwEl z2lV0`cTZ@Od^^Z9GPJSBODt4EKHoU!JRH_hUzKG`*2cXQQ>2c?Yk#r?AFsHM8t2mF zY1&B_7x~myJA;nH8mx8HZwYBJx>%XkN$(31o+7?fo~pV#*lPLpsFaQo-Kc(2S}d$| z`gM@!QdJ;5x!ibP;B$ZQbv{w&X_3-rg+^uWq#uTI<1tAurHSW)(s$N`v(a2qaHf$Z z;;a{^7wUps9$Jr0B|uKoNs9%V^9s(L^v+-#A1Y{QuSZCW-v*jz!yc${!JbbF@(gT^ z{p+FJSc?#7!*tlLvCqqmc8z^*8~bQBp(p32c8xu&gv-M_Lq1)Lob&uOlxysdE83Y& zXMWxg+J3hbB~qv1s|Ux0a$|*}CTcpb5?@JHgJEmIZc1naC=lAACU1&x=y=1$zBZ(P z-q%*-D@RgVMreR?THIQ+DrsdkSkk35QRb?`s1e+a2B$7~W(L9vPcQS6wwzD(-^37gncP$oFh$+u)H~9eDKd=p}0rj z)4~FcB1+Fs(3oz38J`$B5T%K}Ok*0BAyfAS7rQKU#d=4cRWI~pUuac&nLm_?1e#9T zK8rv5+BWz)w`h}e(wre*P;<4`jm7=l6c*kTp?v{G8C6w#kgT5O-XPCo0ij8=A}q~J z7FO2KtF=*8aUU5*v3%$V3kXyRZB!Kv#no?$bkd6WOP7Y#Nz}PRzn*a(siny3(akUxyLmW&Tp2iDNP-+4SPDcA{6$=N^*K#~r&M;Y(vWB7Iqz(3AC} zvRRObe*UM;aunkHMlG5CtMiY~s_DrTuPZmdnWf4w$zPC;opVNM~XY_Lbt>jrIJkT*`jZ17tUQf*<+G>BCo zlu-&K*7*Bp3B+OT9ZH+By%E0w!QX*GEO9X(#4EQSTJ;e)qt#T<1eu=-$XP)@Ya>{> zICOo(lMziBL!y4l-YCU1=m+O8D0k)@^#e=Li)ki>Sgb|_KJEkC?-1LoU(5~&;Lyi} z9jV#SvgjvjZWd#S*%xKO&1&<){ynY6@vjbXm?G@L-t(-Q&}&u{;)R2|y4Y!s?_`m4Kcc z`sIWx$T>yd@SGY#%U1{Bs~661RGL_7^%B!rQP19<5sSe<;#h9v3~dZXSdsI#=}bPQ#!IGM~*cog)_`w}n-~lSAm(C7~Dliu(&L4-$?Kq3?>}RJoYX_4-OrM#|H< zQ@Zz=H6)Rp++9`0x%%ff`VWw1(`KsUx9|rcm^bJqub7$6-Vq|1S#K@`vStW!Ocapw0 z#66xlj87;ja}F!ZULql7f`Vo~^+HefwOl~{9cEoK67h9k= z5U-=ddbXFyd{F}z!7j#Z{~ZJ{4Jp)sV_Pl7h?38B9$ zj6U5ILcbxzbe%vGPi>7SIiIDGq9BhOstc4SQ&)K_)L&`g#)$ zkt@c0eh_TqdZ~qBXQ1h%$whriV_)RU+W^r(F27-U@?5u)J>eWikPZ4QbKFT$333I33x%^|eCipJt6X$%AC_g&nr@ zO`Kw!UYQ|?#ztv^(vxX4-@t*9R?n;?*2ZAX>y0O-s%vnrs#7_b`WHwi#VqqO;^Rk#{UJmD*US0s+byRyjZrKG$=bac%>Y@#<}5Q9OS&t_X^!B0n3}_6%%g(J#W9 zlU=h05U1%;VMKAYu;S&ZEb{CNy-~dwxj2kk?;k?{a@Is+Ur`(Jtm#D_w7w+!8mtvu z4zajiqe)K={e^%`3Nd}7uHd>W#QnB_JQ$Gmf<+B%1=k0Ip05n{yhyO;Uxd)( z8neF!nd3^i$HJV{9NF?3wv9UjpLkC4i4TT~x!Y*FsYZ=c=SJ!00cGTK0eMxubZKz1nS$)=m)T|*M(Pi(2@xY_hZ(Wv^Lst# z*w%`3zH7$0NM%A#4t;@8jt$ldz@x+5@lx5;2!}p%R{$Ow(ksqZTpeiQ?7)u$&DJ5^ zR z$SPrN%xbG2kIII+Si&q*ifv$|OE0F0B~sj#d8&G$C;N)$+@2Lmq^zMQzHqLrUd-of z!Rq449*Y($4U_{vnNN<*c;x0Rcn*E%5U;G*5N*WL=DgqwCwu6{oX-d}PtK_nnmWr+ zVCb~~hp(!-x3G;)Iz5z8y{yJuC#-84Yk^C=GC+`zXAsBY9U>hZ7&#?V5F=L+jgN)C zsjp6Y>%7LAecc&K$X!ENL$?}pQfPVJA3|RsTMEW9?-pp{tn@u0y$-Obiw@~?$K8uL z_p&Z`o3P6CvxT*!w11$vKUl)dU6*@8sP&!-623$u$*LgM4^AWT$o?TWZ4)&Asep_Q zD^XtyYbIypy4>wTys)od^AF-)RX;9Lxsw(SW%$I+rRpLv_cKH9sbU z7&#@#d_yP`KiKZJ4dMU7?+8V_ANwe_21VOz35Wc3JYLbP<*FzFhr&(Z}zk-vnD773$M+ zmjsnU)YVk6S>`Q!s2z3)VV$N-=*fHz3Uw29)%jSIeSA9cFO-Kjgm%KX5Y{{a`B9MY zbAcwN&OIUSkA(K>M+2XqgqTt@v(V~?gRg%E&1WQ{!PP-t>F`G4$t9!h+2ZB*6dA}sn2R0!+N z;0vdK=6vYO5rwZ#qO4Qc;kY8Klr9T2@uVyb2c9`zvE)B7aQ;p};?Cb#o5#Ey^Uj$; z=Gc$Rs&|q3FQGjATaYlW!;fchm3mI;2lPQHRF|nqJ}_NA(v8eEt!TEGtV)PY$V^DNJYvhQz$QbC4(N5=mHb z94VF?vA)Qf#=<9#7LLlI!MSo`kSCk|5{hB!l6T=&G!;koNwyI*X&F)bb5YsR?xFW-4@E#p9dtS#Z_T!Fw)$X75yC6O<6;M zkzUTb*f6V#*w_6_SZIu3AFE=F#M3R#2{L16t6uC&-|%E)nJ`)y_j~RX_Af6%Rjl%w z8s}bWv}_=7swF@#e1@)O+)AU_)mUtS^iqGtFJrzpa30LPRLY!FXJ9hNx?r%h(Q@YW z;nZns##|d}xp+>kYM>(4y;H{ouSk7aOAvx z=sTQ}4OL9do-@N7@{%Old@rH5eTUrUd(qg?>G}V%p$fLBIB))rOhl&ndgx8Wd63ML z*kNw5`TtxS<@X|UE?P5wEQFp@G3SY4#wXH5BwJWL)x7gA@`lkjbYL)UiP1VpG$J8$ z;y#mZtWsc_wdu(|fP9;N@O&$cFm}3pRwe}e?xUOCK{J79m=E6g!oT?TTcR_6eNp!A z_Q86H5kCm;De7n8xgG)hg($o|0RbuI9KYWS4aUDYZg8QceYH>4Eqvj=fo}8pKB1wB zvP>&DpHj8UzM!MKyFT%D1^H0TS(u-%wVM);#A_Uur$6W%{$Jf^R7r5wDN6!A>yS?k zljAw9FqO{+Bnk5YvJU;Ii|Olda$#-2?dL>qR0Pnae(<#)vzqe=hUELz8djQT2(b|V zAvCMjG>9|efuRBPHwhGeKygPDF$GEs!KO)z)C4Vcq%&He2$_4Y_=g*GxVel_bU{K8 z6Iw(?95haQ6Jl{XTWG#oKb7V|(v4}6oah2q#OfS!QMuS2fc7Gna{oBd&-&b94Tz^% zI5aSDoqneeokBCc>eS1nI>pwUsp+)kK65lflNNPOLCIh_1uME?NqlKu#apztX_f5A zdFx9k2+cwPFYvZf32!h#xWFRV9VmeVs6P<4S{Bb>gBMT@o41Pr#f>Y*5G&Qe1@#is z;q9IDgXiTnihsBlrk|#i1o!PIYM?b$a|}Mq_a@8iaBS z=@_BVA?sOsoI!|jr5C!uA2%=l)i=19JuEG<+)_U^<<=z%&;vEvh(exahz9cb+VtIo zfZL=l2fX0|t*=d?O}Oq&w}o~o{f7)}sc=VVYcz(|OC1RgxcgtvXxQHs&HI=Reb~^# zFDTx4{-LW+Xk*3c(grv{8!`2Ijnk~a0a{swe(=1zs~xPf7ED}G8ip^26=Z8+;M|1p5Se?cpFTKu(u6iFN8p9&fxw4{a6fF|9Z#_+T}@J~TZJonD4dq?hb1zK8ZEwi0@O+j4I`pJ zaN7tSXq!=W@qGJ3+n*7|{?JAlU}vN02hVBsxIh#8VS|HWb^x5FRX#ztt4SLBzR>iw zm%;bsA>fNRVa&dlxPfLpp&eLn0vvknc}$yjc1;V|0`7&y9#B(3TaVGrUwVe?at*HR zZ=kNIKj3$5;*9^e_@BNZ|5{%Rv^stPS}xgUEG_h+b2h#mg734y)uX!$+KX+iB6=8D zy8+t4zH;be;2h8fg4VgE0AApWnRt()6uw4W4k--!?Ge_}pBv4aA%R?-8- z8&E$IjrlO3yvZE@aQ}&J#_={6-Ihx>5+BSDoN;6P3AC?~tED2!aHPSs(iYmkoo)^j zZ_}TCD%lB?evk}0vT{Ln#QRu43z}u=4_bGBBfWAgfWBSO5nT%ddMKf$BR=05d?5Y# z=>jy`^iahc@*PDto{)p%jW>!ytqn-Z?m(}g+h|TA8ff99+PXjqnxE1ip#S4^ z(~M3M+SR(WkOKSLkpKORul6cw`H|=xv^vW9EdA${0E%`hUS6X|;18HO5ro2l(K`LS76;G^FvXtGC5TGc}8AZHD4?!A& zZ{ID+f$4L&@rAaRryo3hO}!lW8v=wQ-9XG`>%#=ccQ>y|;W<$<{MT?YBM zwLL)1`6%^fjXo~y{{NAE;>qWhLN>e&q`Vr;^qzKU(}u=vkZFybw3@W~cKXVoR+EFJ zNt7^~OG$&-7HcQ@*%mF0{zCmp_?a2B2p9MC&iE*wT_{o<8z6P$>tFoqW#(vB;{&;o zGboAb{)qL7fSy4&dMQIc%7oA`kATo-rVNk+I+@m}6X;NO_d<&_A4xxWP9Q&)Ut-@7 zG@H_m=d}7DpxH=heY@9>W!w3ml;Px6_UPThh({fL9eI z9kRxO-XrKf^xwi9j8R8$AJ};1^!dcs%b?qj)ODoB9^U}~x`!KyJzY@Hnoc4ua)PEk zMo^g+=qq%?Wm+Sspv7n?Xa~*#=X8R@w8lyiTE5cKZC-Eb z$4Zs<95Ooa^>gVuKjcyH1}*AMled{RqOh4I=m*ci95n^M<>?+yd@)7~c_(x=iMPIM z#%a+ab^0^9F|$W*ATKUh)NmW^_AQT{C19Pn;f%x7cC8? zwc2>b(IV1sr5`*ebS1;v^wneFuqoYmdV89_au%Azs&Qy&X7!*T1NL#xY#GiX8IZ5~ z>Lo!&$op)Mv}o~NEq?YVKb(R<@1&daAl|^&+z>+f1`L!J5*IvmwKdwlw%U42afO}W zzLIX17=CsX*Zb)|Tpyx$b49#CwHl#t{R7>W&%RF?4JdLLrxj#Io&@UG_+eHAX!Gg{ ztco_ipaHFo7Jx6xVxWF?wDz;nBQg$Hy#QLjrr}0H7>66um1UaR8$!kXPT|IKDXJ?& ztW5?NUCW4Z1(OFdBNPG!g;uYn8)-QESplyGR!!oohtQ5$0p4^K`2DU&yCQi)TK~hL ziwgRhL$MbWwDXXiT1tPFP_u%A$eq&(DRLh9*A(I1lt715zJ%spm3;Xodf|Kt^a#4~ zWV}%ZnIEMjV+I|8RqYSq09)GpTPBeZp33t=e}*b6*B&T~2_{_XM^5 z2|$k&^bnBNw20vm#Mj3VD8^KJMKQt)S{n_9;0py-DJi!wF&2n|Mw9$fQXxjrQ_gNmuguR0%`%fj` zewd`RRo9Roa@k61Am9Vkj}W3=0@O+-(9SC;bkFXf!HpF0I?>dd6?6g#=OTfiq`_<> zK__SvfWM72;|-UFW}2G578&@>Pd|A2ao6=EG{4(<6G4C9O)dD`UeJrWDCFIp-r#z^ zL*w34(C#W6e&c9ACg^Eh6#TGr5zieQdV$bx@6ZeTL_g?gKS)eb|F2D%m-UNK?xxUy zj&bPYf{u3R6N0W^qwtOU&-BBZz?;uw%M!v=a67JkBPO-Ktw}nB+}A9V(w-?H`}vXI z2#QnmEJnzc;EUdgq3F2)UB{uf3c8I$F_sD1PdoI7L%H1l zj!#h>I3$F28r^2;kip#GYie)YIs*sX)ApyaTZ}@7kGtxpqB?&vsg_MqEzc%8bZZIo zVu!9QDEL|qnKk)Bugj2}NDb>X0R0T92I%o*X&eins5_w(xEQ*s{I}8`v}+2AQov}T zNpQHci{fo>y210fE{c?SJN@A4_eYE+1n5NP!rKOP<7sCB;!X1@#z)!ufNHzr%q|MsSU%VW(Eju=BP~MH zeR95?v^KfG)t4(6Lk4{FM8S%G=16q^~W+>4%Vt^HOacrepP>ZTg~IBFLZ4kCBQ&>TW>VJ$ZtQP8!cCiqnY)= z1zH;VaWsVVOS)&a3_3=Ld}n$<4+eTL6s6`u^n<7GMQlL`&?~x{#M>T%ViueE0v#vl zc!y$DG+cXWfo>+WS33@S3TiD-@YB^&zD1`!Q0rrXb`#;?+l1P(y~u?&T4)E8FSNZo zg#uYH(!x3e8e2#}dl{(%FWhsI2OHy9Q_vmi(7+2cv);HJBttGiUc`UY$7Z3|H==(L z6lrX)A6A@$kntBn?atmHU?;91_aH?9<=PWk!;NuW)*;^dKLWfY zC8Ev2K1ob4riXE14jEkkF6d%43Iz94^fO~aR{NnL=V3F-3{CxTn8~{lDH>MrVK=s~ z^8kLtU(d1G&Cx3AIh;1ge32_~L&wM&y^M;hAGH5^^#i+uKivz#Bh!j5E}rZ%JC20^gRx9#9=<_AgEzo)BHakW%fI{c*F5xt zr!QNlf1I(oY@L3-7*~S4K0nXcHb<{*B&6{ev69(&L=Ae-U0$=h9(1Q!Ut?%mCY~)N zW?!_(3m9Fy5`|M;tKbbBIG^AR`sZJ~%}sQ89x6E>;{&#fY%_7an<&7WNAQCI9~Gg+~I8dSP3?JD|ju4o^_ zb-S7)t*(J%9e2bZYrqg5)3Sr&z?D=hzxY>?-huiZ z8OWbNkzd&dXpxsA2e<&WaVa1e69S4^CgY$x(@4M!MJj^!IfpJ7IMiX&51wD8+hY73 z@)^;i1hqYBp!v3-UvV6CuiMwVC}M$@JN&fTVLh03P-1?Lv;&*q)C7WCEd?la_YDH9 zCwMg38~kYf!27GzZ@D)MewiyM)Gx89IX?!+ZZC3KLcv*UspfrMS_3^=`vrS&Mq3qr z(F5fi162R}v-Nea)^MpYZ>eK~l)#Nx@;|(-iJuzBpIX`VFJk{PsTl~@@2V-SJCO$L z1GL}@3h2*Jv|)f+DFRyMz_qjTg*NdYp&1917eFolfy0@C?$xCQjoRBAIb9IXIVqw@ zt-jhkpsQ)=skDp$_3a}dpjnuH@O-qZRlJQ7*GUf5QKX3u-B@U^b?6p?{?VbE33|0d z-!G^i$@-9>zw6RM<`2;uBs2~{#|rILj&^fFuXN~^f}Z2hxOx+OcN5xQJKEg^y~Lr| zTMo%i?V@bD^`2(Qc)^WI4IC#mnA@PuxR;8?N zlHE(n0J^n9FB6pa!a-k%+pU7G;%FZbbWMjU-^CsJjL5jw41wEyULi$zc z4SZ1-aeRQbV+gE^b_{{7E{?FkW_}>HANg?>?jFGku%X*avqBHHJ*zI2|4&Tux_)*@_^?MO81Vfx1D zVQ0sYec|cLRBbE!R=k$KH#(bau3J_kp5W`-T-yk0qduT%&H*15+Fy1|D^NGyQP?6F|TfP1*e3)>k*KY04ue`7*` z`g1Tg6V%2%LGuAYZHyGCj!*uon?gXRuf-1zx)!NFt+q){hUWg8w)?E_gwWniH=bS( z+6o$Yt8J9<^^(o(v2Db3X_3sgGG|SBkxt`voa3139SzeeMfM>{#m2dI_p((WfU~1!BB76=nPPl1VC?b zwCG6y#V%mu@LoY}?h70+{*EWsG8nDarq~^4C`On;i#ChSV?Drb4y)}3|8T=f%#CeT z-TIn?JAur5PV&hkh~NTW$O%A4)6KkrqHPbfo#}dG&dec=hto_VM)acNm4TO+}zvueUep)4zwsIOlKiZe(Odjj1oq1$EwDvGO?E$?H3E47t`c7*AMBDgjsAI9UU({}ESw zqu9bNzcYNSbJcGJV+R!+9);JMD>#o4snnJIq74q$FG;wFr=5_}#uK{fUwVGerOClX zdLHgvzg4(;zlVscrdZ$U$zO)n^wjfkhAut5tTQW~dJ@e@N++AodYWt)ngD9O%U)3= z(H!)Hr?2ZbCIqNoMX;HmS5v4qJ~(q$Qw%qGhfCjZIQ3;wX00VTz|Uv5v*(;YXZGwl zQV3-T%BVJlI8A1q^$kcJtnt8iTJY4fex9UvJ9}rWxaumj6Nr-AQt-2xxavtjPtp6- zU7vBTn~Go5G`KIL+x+S|LF#%%?FvgmxLag?*}i}hSjS|}npWfY7V&dGJ;Ch`qO$ny zEPmAWL3%eSwk}+saITvauFpAFw3;E+YV<_790QeVNkVeh3G{B^qW=I_>@$Yn`B#5nrYSs;#QI9ja?u{0bVZs{x0%Ia-9$U%vA@4lf9YMI4G% zy5NhLn7rB}z*=5IUlH2*9I8DJtOhpPe+e!67lvv-glz+w!HtzZkb(JX+AK;4q-t{n zbTd421SJ0+-AM1d+$w<8DdB(G@2ebAxH3;I`wE-UC`4qaN%ryQzZFnz|MZxh<* z9J-*OFFJHSL0@s`T!Oyt&^HT;BR<%|p$C{cV*F-@zD`a+@wH~7eMQju9QvZ53)ZOS z6O{ICJ{kTs$7d*2VJ9e0*%HWLx?c@NU12Ed5zwNXHWXF>l#DS$VJAQd%uuD}+7cn* zM!RV0GWZplu-Yht?*2f1Ws;%J0WE3;Ll+X1$GcPpUPYIm`v;#m8w}5b=w=Rh`!4ar z^D6-B806@|4%OXDUw7yc!om1Ljw9Q&sI@sW(*kyj`^%ITXhqPYPHUW#WnZj5f{Y!m zXm8nABK!eGPJl%`O1Jr0j!?KFr@LN^H#y_+iZro<204SDN5BY$mjRL{e8!UF~Q$7uqWw zx|N_;HKKl9$__$%g`?e4&}Le{uV44RpVZ|58$OWU5aWv-x~=eC7fyAl4BA_zhBCfD zb&uIPj`lX8y~Od|hUh`|4o5ptXf1Bwt8u&BaZsII=Fs;GUt7BY4snD!m)FNaB|F#g z{gCio)yeyi$m?mF=ZDd|famkc4{H){JJ1iF+jm35+XZyvxuZkR6Lcqso+~KE35@TB zg6`nZ^998YG^16%+d8y)r$#ehmG>MMx1Ac}_5ngso~}bhqsed^X$R=G4!u;+l^uGY zp#Ds>y98a{(LN;TY7V_y&@CK#m7vQx^btWvIrK3>mv-nAg1*P0*9*FmL-l00cRKVh zLc54V|0(Da4*k2J3piBQ$otjsihjh=>gj8}tb5m0_RU{P>t#iLvkVy5LCRar0Lw?s z0My2HfMUD|=u&i>?L02%@(z7a(A69|NzivV^fp0Jz8l}01%01Lhh8lxN*d#U z6#X)@X*!sOl%CmNaX>doF zsBo+6z~@^1)_OqWtIo%+jve?2p6VCe**NGb<=Y!kADYT=i=*9GWSHR4*hWO0wDoH3U!dBS^X=*#g|A1) z2*~qTI7p4=?71& zv#=bCvvPrAAB&-z2zre}W1kE@(Ne+_Z6xD>b9I59zB|V7Dhy*m(NUFCA-p*hw}<VIx6%snNClFwupEG76Ge8HG2j zEW-0@N2@jZ1cz=awAVURN9!(eXme?_i*T?pCWNh{YQ8jTJ{eZE)2v@VmWlo=_nwS{ra|?hUPh-2MigolFMvT$K`DN3^dyatv>~BV?nQMiI% zGTFqmR*0aE)%KaM{dJ__(XJ*ztLHo1@6eUR`uyk&`i1c0`K~Ug@6`>YE$91?+6wZh zw&j}JXTCkDtv26V)R6|8FGQTQRpHx+Iu>+MS34+we?@O7fA@0e8H9Kge3zjgJiTPi zJzB~f4$b!&l&?SYUem(&By@(~w{*29(cIGQrO&Xd==V2Hzs+ee{e6a2 zB{Z*f&GSBYYoB3uUjG35-9U8KY*D^X*6No_tQ|yWK3`~0xLseuS~BgR_R#*Z)mcDw zq@i73B9`UK$qU5J+Vv$o2RO6Vm!NGlif&je%1izSRL>87mqSsLgZ4cRMLi4@V?xFO zZ6cs(IT?yJXXK!$mjP!CgBC4@$YC9a-YT>>pV(-XgO3GTcHnEPLl9%E4grdGk8!{} z3sBsKVig?_n=i!MTj|EC3~OruMJRaAOE>?+8!kLwApV9TG}K)eJG5Q1#~NzOR4z*| zBnyF+__cs-naWz371r!cq5NB~?Vwa*+eLaIcLQA*V4eGc{!`GU9QwGR%RBTzK{2Xt z959mrzUUVj+OC0Pdua8`diar8$>*Jw94qL-4*j;EsLjlxTKi>9{!FxUfYVN^U)Bz0 z?ob+`wl>>2S5Sc=7(C+Kd>DTYt)U*O$zdHK#Msx`(gi#LrQ4}3}ZP&}OcC4if zUF}FWo*o?|Xsb!qjUD;>S{I%_q_y3%obKeMglw5=vtOMYw{{cTv*1S&vE zTG16XXfvC3Ru-MLnq)1Z?_k1v;>m$>4yMxP#3XTGtiN(?35nRC&n85h1V6 z6kplZFHkM*ZB7EH)|-A-S;rB59jiIO&o%3s$kSYCX%Dg)-UoJ?uAI(n1jT8I#L1O zBeAcQoJd0haQ(Nq;w(QqCr{7V!}tOGJ_^U0D>yGJoYnQ)AnCg5*Gkm&xY`x$;a9&n zf{_k8@eh*V1`6`;(2t!Gs9&}CkMn~a#P9i{CdW+PNz@nv6L|I2`X>RmRtZ zv;XEr`F)OKoL~Kti~9Y6bN!S^m8XeG)uu_FVsE@OIl|e3TBzm||79LMYqRfs!ZxpY zOF8zPPrgM`SUx$t$R}RL!wT2FZ5^D=nvW2_niG5}p`6v#=g-fJUwU-QF5^6y`NYRp zHQ1&Jl9JN|)`hnpNLrwM%%jRcXWzX&z4Q}wUyUEP+e9?#XH&)sdKGEc=1sIWdAUP% z=G%{!YU|67=3&(cQp)eeAL>0$cRP9e-XqdH3hPHpwYB)5&}i1l1_8~UoC zSSM+ywq@VqQ0<>045L+aUWaOLe4!fE^tp`Q;9JwDO}$=H52=RJw~n4nKPbfloqI&=|1|K-qy1byD23kdpxL+2Ot-wvHu(3c%Lx1g^&bWTD4pKNKaON=b3P?yzsv2#q=bISmFC!GNQzFcI22_t&=(y#pP-(@Jc6S9w$hvu zt*#|J?O=xntH6!gCm$($td>b#M~kc0MsPJr-zJixKC{-~jH%C%j<}!6WH8BQoD4|o z`RE5vKX;%z7bcU0EE#A<(~aj{4%OP&ul3P>l<%jmARPP&GrF?qzDB;D!%D)TmtL`k z<|S)h#i8fUcs(?q{IRjfaGjGE`uWS1jo|yh|MiMg4fl zR>EO|qt(zZap<-}+swg_o#=?ledNdNL0hChb$mZ5e1FnKVe1Fd8?$?b(IXmx~M~+7PMIg|E-tDh4yWZ!!v?z;86XlOS5DPJ6iqL z%X$vIMffi6Q2hdo=YVz^ES0>-FRYp}K#k7r(3b_B-=R2V0vr}`C`K}XVjRM1h9?DG z#-TWa4zyz&`jDWgt&PJ2f?~wn(7Odio5N7FN5FSohu$Kno#ufU<1`P@Zsce&MhDc+ zBmphXBmp|s(OxAeYE_fr3PDjX8;Uv`98hK(it-#N))yQ4TR~C&7>cq9v^f9E(9;F| zxI<47bU%llEa;~k`eQ*4bm)nKe$JuC3Hk+x9wX>i9eR|Yhd319v_vdg>tj8%*2j97 zG1hmX)ZOjq7q?d0(XZh#`i0UG_0Yds`>plRj^468442Vcwckg@j^7MpuG;|k)1fv2 zo_;Jy$6=aBM*Ip19mzpk(fFl=f=JcXQ!uV-*NR$9FuceSbfaqo|EEfWz*>_aqmZu9k1pf>X0i3)aKzoG(yL ztt=(MOWoW8bg*YGz!Nw6-N>Uu9~wew9^*&P8m_l>V~n?V2ztLmR}%DAhpr>2A2VM= zP+wNBDJV|qv@#X_AcTs(x}oUD1N9u5OM8qOfc7=Ijl-P84`_28;O8%NMV()ps4EtI z&7ieYGlvN-7CJ`iNB*@A@a4Le_P!R`hGKwN*cd6^Fg}2%jo#u-$HC8VGVIkztNWU5 z${TZzt#G}l=dJN<4eI`gwT%E4v{pjVwOX|_Hen%b|oKDBj>f>|!?o7RV> zGWgaC)-OWB)+SjGtxd8XTAO4&__9w+uC|#aolZ_VhhU1-{W zyTqY7hUcwBZO6B^XHNgEIW_(0t@i!BJ@nl!@#TZ2W^-uwI-O}b={ab*?)wbdQ|nI& z`p;rqd&l<7EtsG z(1XVuxuIyM14SFj&_DHq7AOM_V4M%At>J_W=s^HQOV4Q0Ck2XLuA%4w14XOF zP^+60)J zf|Xb=6exP3K&@v9wB3uyI{UF`=RnelY4SutKj+Zt--~!A@q_l;74WPFzm`f@$=LV< zbft3#9@T!gjcb5b(Q_#MEH!n7%1I8@m6B~*v}pm`(OKG8>A%@dyGw_yqeFQITDsHa zA)W8`GuxXG9cU*xTAeZP&+6zlEm)In8P%3iZ5d^ya^LGfn%7U>>q?{M6^*)1%$IU& zNO|U0^=R4GycTfAmVFak+%^??{Ytau)ngiCAGcM6L#s(Hccx#HlU)jp7XA9wgt}I( z*-{^N9L5L-zuHafSZ{sG*RO6`NjP{~<?5y2a$J%MjN!G)~t^{vhm#J$;Z2bdDE-j;c z$+eA?XMQY5TPw|FUu%=CO~Rsd#IUtVwg=yi(sp0lo@DK`?Mc={bD8Q(Wi6}Q_GDi< ztL@{kc4lVXt+P94xpqmf`;SqJ>>(|XfpgFvYx5||^!KxT&t1oCnn$Vph=A4so`d%N zZ+ALt_rI|1S$PC^^+LesQpEsE2`>p{nj{Jhh~qDjAV z_dS9RmmQUAC4*^E*txI}+1H!tIi=RIXoQfyMDh(Vjx^~{eJBV2H>n;aDI{e+83(lBNzAq3UYie+hjdfWPM#+ zA0-M4Z#hEYS6%&=aP^zB>iS(L>%k(cy87=)>zAtk(-^LP2}WJnuf|iqFpQlX7SFek zoRAeL1bK{Zi>Go_SJoV~hFfJ-SN`(1x_(7sqOSaXXO(7uaivEl4O}4&P)O5S2(-{z zh}r9mvk?DvYyEz;mLdF&c(vxQi8T*h8RAPcl}1a6qg)xHU%OXV{?d4vFSV3-lq}53 zF3s`kdUVaXj3@H6rAhzF5FZo$9=VnhJ|qzg{u$?X?!Groq6}U^EOA5n&uwC$*9+S2H)frn#5e8CFPfUx zeq(47r(L0jX!UC)o@sJk(d5ANi_+pY`oS9ig{k&szCa(L8_(vFz^^I%FZl$m?`N+e z9Gd4o{YaCZ6z2QnoAk-yfv!a%>=lK!`IN5axsCfB2OZbC+Mzmf<2mREi(gl+t1F%+ zSxl3Q5kE+1-|54fjy(FZT2BhQ+{w^9rnEV60jJZuw8$j~N@(XhR3*E}p*mXdJBR8S zFPAw~W$<76nvs@+j8%r_(0bvkUopfkQPUZAkV1*gC(2ynXm!@xf5lM0r08{~u`ugHjP)y# z7dyT>AMg3<*AeZ^KycVq>q2q9a(E76$^!t^~aeJ5JaHDWo(V==;Lv!5T z>1b~f4l6iRzcbk!x8_rLnmKq)>i0p%xX|=VmCdne{>EeTmo=MZSl5MitHgLYhfWsM ze?wEh`MHjxy-jHS*E0VqXmi|}PqJ#}u$c>Og7Ed)(G>C=9uZpqZO%sp-PrN{W23zK zjnbtYt$xSzy$;oHiF!?{9+q&l`i0TvTsX?n>M0wZ!&4%!{~GB(1Z~b2i#fjfB~WiA zn#PMb+GqO=&0C4uum8fRexr1xYQGCQ4$ldP=D0Pd zTQdi*$(N`+M@d^3)!n_m1lA~eS60%JKs7ptLosd$4)Zuv`C?YZIAF9CvCh(x#Tj14_c1}2bLeD2?d&eZ676ZofVPZrKsyI0PP8%<^)OJhS`0;t1}NGF zhN311x|c)G5OkbF#|!!;hkjqsuQ~KcLBH+5>#qahP8?^wKwdyU<#{1z~GHz*^ftW8Vp=_MLo>ReP4! z{spbJwyph}qd#?V`y|oB^(PM9M9@}etesY8tcSt2Gu`_#(OIAF+G`#Y^Eq~PvDD`E zvkyAH%L}a^ReLkhAP4w8f*T3#tMs&zU@oEct5;Anf`cy~mKIu{V|C4Za|vU;eDKq; z3hSc-)!gm*>R5%1V1QOj7+*%|$deCEM+Ew_@~Jt6yw>|(3D-Q9dAmzD9R=*qSY~s{ zqy_%Rbh_8?o$rr=)RzT0y?OqqB&;%ol1)>}FSAXkFOc=JNA}dWOR-jU1Zm zxBk?;=-pY(yBLLn9N_CLot5)-R&z^CYshBFn(O)Iy4zddW+W9PYi@}(*T*)`0H1pb z2Ol?W;rX~}iRgQPIveF%3fkXn{@UxzY?*f?HNB;-DSGhjb*x&5W#2Nw!E2{E<+T;$ zTg831!M!G%`{>#dv$-$GsW#bc4_*dsBc4XFFwz}A6al?TS{(ZZRVZvF=p2ySXlO8*V${DQvX(D?*? zv5UgztMrCmWAkcQ8%YPP&I$j{anL!RcC|5UvR!S=dT3W0vmV;j#@se&TbXSu6E%&t zmD|&%s(MQm5>a~iZd zV?DHX#(LPK&6{=Eq^t0MN@a@G0qt%Ywi4BjUw@`(t25S8FRSJIqcdF_>Q@WRA+=tA zYSUi!w`k2U!Q}v*XYjS@>r=Sqo%JO9k4Vmx}gM z%JseKueH9``e0F72ej75wMe^*kMl+It^r%03ftM6Zan(|Fb2>0vumK2c8Qj9ZP~{* z=|>vcJ)~@Pt@W`UbXP`yc26|7*W0qME&EWO>v&Z2-ko;;JX=YBcJbLB1?YDhy7BbA z+p&Vak!xPxN7vn=&34wj!>hTJYu>Nd%we$ih^->&c8eRU(0#0aMnOkW{0PQk%M3nT_OV-6DDz5zkHevc7p zUKiIR9B2K?kGlGB^=mZh>ch>yMgykM0*{76s#XiVYvDVjKC=b=LXf65e=9;$Thl~c z`Flm``o(_Pg8vrBx5Tfew*PLCe(_0Nk8^f(fcT|HH%Ii>7KX1DVE9nS_aycxqwO5| z=^umNRQOLI{x;vVwxGU77>dwfT_2&a5zoQg-2lGN4*_4K#VvH>*`J=2Zy~HJ9ISVb z_`icp9DMy=S8WT}C>>}OwcYj~?2?io1fNes5H8>pUX z;nPC*9s3i@@0N6H-f!EV7&oV;-@mHoqx%@E4A$O5EOwatVDOq`WWju z=FR7g<5X3%Gn}{zmcMeS?mk`Cg{G&Qk9O!}(XX9Tfw+B4_}aK6P(4e%dEbTcMF4xy z4^~$BQ<3#-XMgUmo*cY3RgP9p-cCV+!`mII=PLV^xO#H%T8{RPJ~=e+oLky)cuY7n z$HJe{t|zQFpE}(fi{_KXmvk~bAu=?dhwaa3*VE(usndFHb#vVO8SVE-Xp1`;^xW#^ zxcM{M_4N4WmE_HF^Jlc{>G91Rye9Qr@a7Y$n`6+X@1 z`+)ksP4oQu^wYjYLhEHzaap1D<0N{PLoX+2Y)0`y+^p=w+a7{inF>@_<@vRG?-$xD zx@8n-^*kS|oq%fX)XdjPBhYGTWP2uoYArI}g|;ENz;!TN72wx*tF1YO+SUr^mj2Y; zZEJZH%Q|cOyxf+XnSJU0^w9^>*RwI`f%X=e!~Yim00960 zcmZ^jJ!lj`6vtmKIZezZXL9*6@#C;a8bLV}0*bJ=JEz0#mzz0bA~+xx3N|7(Mu~!8 zV_})XN)js#D(M8VF%ZGhUa+-O1R;g*KRbKghc3+Svh#cY_ul^v`!!E|4f2}grDUKJ zp*`U{OIjsD=rHoc{ZF&odx6mjb0?bbA)4m*fAB*2azS0L|NOYez-u-n$Im$%QWvZq z={Q;xiI!n4(t#7}lO~yV&o{#QW>@*K!oZm-ywX~>Z5c?|k_Dv7LswXT0TR{A0M(=LCtmF+0Fgr8d z138}G{nBTK1`Z}c)LnA@C=^m^-xa>E-6*IUCszkHwg$}*<{6#n!ewNz?Qgpe_n8qq zVgwDftEQH}cs6&T*VKrK!q2AFH9vBchT&(HS8q-jsLVi{(K1Yt(y`gX7`^A&V!W^% zI&me|)kY$Pmpx$ltJk-$Op^k`3w#F~HI$hRzyq{sK^T~UGVBzbYI0cP9;mgZxE@8%BlM4 z{{bx(jg`>J^0e=d&~>gt!(E%T PT642R6)XM+00960-bE$R literal 54783 zcmeFZ^;4Wp6E+%xI|O%k*Wm6D+}+*X-8B$g7I$}d4T0b;i@Uq;$@AuYzwd`rb^d@; zPt9(P_DtX1SI=ED-Mh%2K7Fc&2m56ICkg&3tD8BySUK1eb2Bm%i?~_Yni8|JaS$_e zGO>JRVr6FLCRQ(Jkdg)$6p>|o*JB|m zfPtZf;sXz7BZESqjs^oi!GWQb2>u)$4Kwhd=B6+;?>ePp{^$OZ~$VqauH3k2hP&Z9Rt{lqhfJZ^ER^#|Z zJ8dPn$Vb>qwX>sRk%k%%bVW}AaEneYoO8)>^U_vcDn7PJQRk4N185>^R>ObzM=033 z$nrsst`blB)*@m>LVr{Tb$vUY5*`l$;uR`7RkVUqnOwnuf>sd;LR}43b6+SAe}i_^a$hm9 zAfoC7nlr>oc4;O%T}G2$a?6)K1mx}rT{BS`LJY(0~#O=Hzb7QCZ(EZ9sR*z zo2*Yx%Pfckrj0Ba{ToL~U(SafQx@jeuyVcT)Sq=iUz*poTKamAWh=4HHWiZ%^W6*+ zB=Kw@ppm7=O2nz`Q~G-!Q^$khv8)T<`40963nZ+owG6&?vJjcU&1eQd9M+X3dJpQy zz%$BI-9LxB!Ab1d2-6fH$x<~dfPh&W-{omZr8svU;6W0;5MbGFzjp?Lr17jM@{LNCzFk;M_MXcsCT^e zws1}|OXf>M`qV-$7(5$nAyyOGS?2s^iY9Q#BCt4ll*g-y;q(z;_=*>isMR?*I?fwE zpgClH<({g#={I2oYA1N*pHuuom!Q;1Hg72cr; z8d4~JBKhvQQ={>!OjSs_PFX_ss8MzTT6oH%YtLehmrQ}6n4sJAPXkTonQrVY4 zs_XpJ;%!O;N#n~DM3fZDoK589XG&xA>ufhHF!`A(IED+Cq-Jk!Ey z29w}r?#vS$MLn|A&=B2iyc(BClS+;hJK630eHyy_r>J6?(NT=}UYTnjVkgs$a{o#_ zD4HnIs>BtANGP$Lq}CC0cP^I#+zbLE)4C;d)6G=ovtlC;xG9{pXXmS-39Z3HOx07y z{Yynvw@-HqlA29`C6ZgC66V`qHNEKUg2Iv2Bt$+$1V%Ft35b)vJl&$^qjN7<{^g%y z;f^c+fN0g{GHC#Tu|+882^G`N^JFf=O<^5i)%Kn;d1+mlW<}zomQ!b$CV$RmcS$|Q zH*2K2J$>9JgH|_N=sZ|JdA;;20&6jX$zJyobK{~yMAsmzVy0B(ZZuH?Yv42ewqx|~ zN|$dWH6NRrmAtL)hv6PItd(@IfRmKh3P??Bh7_)HuM(~hRL(WNbl4AI7 zwIjI9%eVLgXRB$X)12se3Uei15~%Muftcydede0Ba{IBv4Ir_bmbRx+_926enyj?k6(dpT6Y;2t{xqR+UmAg;C=PRhA!`A;ihj2H?%Xh4B%F}3I zv6yHX7)H{4RRig`_=?yEZZ2*xS2Pk9hsXQwAKNp|1hwtzmVb%+KWq`SA~{uO#M<0W zv95H#o*GAnZthFC%_o~U`W<))zOFiNSR(b+jTBI>oV8&lHsKdGy%Np_bZG?5B3iw| zZ-wieQX5+|!`FVwaBjc5v?`7)FalG_NkV*Khpyq z8*_=+Y+ncqF@oLV9py*+ZT5OU&x2rT26RdzjgoRCWL;kA4;k?!- z8qdd|9B^Xg9E48ySx9|-%Pg&XQH~=zP;p&|1$-<SzuT z(_Mt%O;NxZ%{TZ2b{z?MT6_D>i0#v2I$n-{^uD#2s3g%)x z>izNXXtUIQC#4muq}cmp`^hfGzI5RhSXLWj1Y=G>Op5>y&~uCvv>vnEZv-940^1E zr<=aX6$Zh-M<74<1Mg!b!bbZA*d-*c2QzlE7C%NDoIe=+`+42qu?8K7z{#qrIv!qc zURE&TY|5O7;4fgva2wSjYc1Ai04^sMt!2eADckW6UCsXPPXi1o?C1&vFvOoZ)C%2M zT$m7=Nr&BY)CGN>a2XISLh$u%`rQ#5E(yxrL`C!BzD!+>tnxPyowvlzGBjWj&{s#F z71wEmoDH4YSw%P3F{_z}`Sm4cwBP6js7?92^Ii}twSh7|^L^kNwrwJ%SK`P+JME?X zdW(67{2)NQfd@S}5Qq;;iU-X#Q%Ku8(KQ+H}e6u^u+45e*5MpYY8EeK!(5 zR=pEm3*lPn&S23PHlGPP^e+h~l6QXfSt?k~vGcmGdQ;$J#5InWWE8p?zZ;U1INY%KkBJ4e<)o9Rv2qet;>hY(wjtgvp1SQv=j9z4S$z*g@W9LP_Zhe zwIK)qPwB7*lfikjPkmU1@dgcsQhR|#fTu-J3wZ)9BA$L}pC9a+Uq943X z9(<9lSg7&1z3TCgIF9pMr`o*Xv_(VellG8GoxgeGXUxubzhV@`{jvRNFUILtfo80( zV=K_D6vNu=4YA5-0nDRVX6VB6#eD-k;5~n;q6I5>N&Q#&ie^1y@}0Zq{^mpwFhOyJ zshV8hkoApSkP+!o}aRkf<_ffS@&mxzr38@xIg=*@Rh#{_T>Xzep-O@X>s zXCrSlYE;oz5BwOh6A9yh4;}i!7pf6 zDfyK#dk+?we{0JBMuQ^{h&bQgMo*6lsmbdwf!;g(uo&ncAwy=irvn{76{}wh-INc8 zk-9Ow!@!55c_kq_c8f%fugZi94QQuyc?#519d&1x^TQ1rj1+h6dnLz8kH3#Vz>tkx zskDApDVN&{VhyG;5$h}t#&<7034k66)kppNquZ89 z37@9GGj0VJ2|Cx9G1wrOD>QLS3Q!R_E6Q!%SS3QUs*5F>H|n$^Yx02v7dJM(H{HtB zHA?3;$?#*CF*r6vS8JPA<(RM_jpH)8T!$uW1-ymK!u7%{Q`JEqb^bVOqWpD>tEL5q zQ?^}Rf!c?PDQi4wjL-NsQLU42VILO?eubPr{YNOU38%q1j78i*dz7F+2CQ;yYfdCc zLWTTwzpVk@-Qe!G9UBET@Rtyl0JQ@nPe++`{&8b*e({O&e|N3BmD? zwczHAUxI$}86f5m?PR}O#;>okyqX6@W<@lqvV>i%hib-MljAId ze`o4c#d!}2bADxaWK6x5TE+9SF=z%VmuA7SL3Q-i(xf!cA1I)8=CQdtuD;*b3RAna zDf_-~DxlVE1DPVOGJz9ccXy2V@lvtk*jsmhSmB;-S0tp^IO-U1 zSmZ z=|aaXv$efz(#O~k96LPjn=V`y^yo+FZx}sbXs%htKi)5Tz^snwvLM(btX{56xHRf3 zKg#hal&o}2xS_pMNdy$TM+WfgQOwNoGTHAg*|`?2j=oGjaWIE`0b?_ZdR!e?dsp+r zD!)e#53nW-X@}s6rvmNlzI8?j7O;UcFnoPG6>c-!5kj z@M(z>tUe49*t}~8J3yi6g3WegZ#6jn4BCgUG;^Z!L7Xr+2@I6eBvT?hd$kpjq? zi*)XzQfK~K$vL8qeqS`&)!k2>*4NrC#mexgio-Nw0F}ww5+T-}d#@$#HjIT@SBO)vhf^e+RK| zbuylC*T8LscHvU%5SlYQF*^`(s|>h&K{qn8DZHKjWgysNA{B2!EuKg1^dr6304Qyp z8z3?vqx!%E$@W%>`>0|Eg8N1a924e{Tqvv3C$2izNUc+cJ>HVH zwMY3^b=$a7(bb7N1A!0SVr{PUuSVj&JOjLD*W>{^I*E?^c2oV)DCY1hC(%kDfQTa! z;mMRxow|qOlP2pV&})F(c?Pp_bomxkV3;SX+^~GNsYDg5zYbKxz?s4J$i6n4ahs(E zorlxrdEL1ZF>z(b`+uc{jYf_`NS_yIKqRu|hi)25_Ne2y|1 zCtM03l*%D3;oZIWbTtxp5zT_O?~1+Zjtmrpd|&;jk#922yB>wnzaKqqWn8@UZS*R} ze(es=p)p*w3t?ZRk9z+#cj7_P*Ey>L$F=)dlLf99RRM_XyX+9)N43DB`VBGaOO5;z zr{DVJd-m@U5~W>!gwWHOZl2pV&?GMp@BACwcf8gw==TzGE)?sQ@g9B}=_E?rC+v2m zt#6_qZk-)eZsj&&%|!ky4o|jB#a@;|g>}HCo$|?1rq@<|prqOEND)uCaazPy`BuBe zn`mZkZA0ZKC9T?YS-3*VnH@WbYMdWIAvboBw%kK zVcxISF&a@dFrkpje=lIcu4i=vLGlbY*#`>H!~ZDg|I58{p#>#AJ=2)Q9u+6q>3YuM zmFcYj{0djXQnaftN~VeK;y8g<8Ti06;(De%4|lO3?vH4(RYC=sNk^k0yavb!y1wvc zBGdKLM`+&9Y_-2BMR-%+c=b82$$OBhDjU~D1Y0p%=vC(JGU-pN7=o`a=+Iv8?d^Re zNGLzA2mh!}Iw}_qiFiX6q*hzwT6TCJ`lh+_(W(8C5Wpc5hs}v)mr_Z}zDCM&fGp5i z4D?Q|@q@GV-O`hF-g+0il}wr}{yCuVLqdQ%%gBfah7lCHkgn2Lv%87m+MwB`1YR>$9=9_ z(n(a(#*lw0G?KcKiq!k`6T3fLTK<<@9uKr&Nil21S|A(#Fpfsd^+4@&n|6&XJUrYS|*dQVhXN?pB zZG1wjGEgWAC;`6(9dzCICPf>66(^sPn^uRz>y>$wu6z{o#@~B{&NI|4rYU2_I9$&g z83?{U;PF}nFgKqRRB1cjF!Dcf1gyXp`q@e@yx>6AMEu|@nS45%CSshZVQsk<-oFs#OXHgfIV+ez47H?)ly}& z!*d%W=4lK#*?N!$i^jbb{khAy3O|7UqadFc*bh!e#D>r(#4N4g()$dj74?jKEePS} zu$7mil`FM?^KXT|M@5S$rYSI%?PA#;VY%-+Pu^Nn$zc*P!wQ}qrws~?4x63^4|FJP zPz#aq)j9#{^L7)j;$DZLvtp!1DM8-7@In0{PYgBoSwiNGhA2BrjpU1q2| z1Ph(O<-sTM1`aW7vX@a$u}K#ANV*RkZNc0=CemP_esLf35oZ>;CP6j+c-A)LqMnZV z0*0BlCK5CrsEEj%VO)=(P@*2mXCBjQgd7{bTzhY1GbVHWKBN%yV)x!7LT0Pr`|0yO zzeTUQs>P~{QZH^H)a#B_<<3$xW7}(cnE|hf4xLb*$MQ@q1_S=9F6t^4W%O6Y_Au&c zQ}K{WxGgIo;8OG|-<|GE3uQ6JNlt=YJ}xygKKlNj^Dq@K&*mM@F2&f!r49#s-`MFU z5^05306S~rvvM_fBN72WCmys6hW4vRPMFk@6Y{A*lR?^9GTI0gY*)U|;aTEZd;$cg zWcTBc%CGR8Mp+Q0=Nf1ZRhR$DQVEFNt&8Zdb8(*n@nECGvVTuq(GDAI1V6Rv#SG7vZM}t#hA444!>50+eozJK^?r#Z1%)EK6OTsJy4iTF& zD5y81ypdv%mb&Xs$Zd>be6WX8nZ!o%uV}!VlfS9ha7=jO0cNu#2dK+( zdf+iy#IpZ2B@+C)>CrtGYa!=ee+G*=xw5XZH!s{_$7*JGgW@9tYK+PPl^L5F4yv%< zvJM!@)mOthAX4X&XUL2j3)MLu34TUPM!&44OplEy5u#BT`lk+yjW4Oh{7=2`zx`bC z1)BeimrVJ$|6lq1i;sWpR%8NU^& zp@W2&e@h|W{>0XjwNS{mCvA-F2tVgdPD#pUo(-5Ny%%0bjGiQ5eG;50dw_!>CN_AfB46LbTK0?MXu`Q`Xl}uI& zgz{#$zN)%drm{lxi8V(BPRm}Y_Y6FaP=NC&Ag*ipa2LY9bz`C~XOAup> znhuB36%V>);E7Qn4`JiO@LW`<7MpUomKEm+JY?eK)tUf@;kt8@qaMK<{AB99dqE=)Pl_S?k=% zv%~!5)>n3+_t?+Cfgi`tV}nT4D5~_RWT*1?I_Iooz<%ZvD|DDKNl~vLr5-_Y?+O#D z+Agx&52Z&lbzF&WquTKG)v)}jPaHh)j~*6EBEZx*LKXpvlc(b`#*e3E&&F=rk7DOJ4Yw-%GOd0T& zg@B|kS{4a$(1Gx;ZXAIB1$4+&s)W$*bx}ip@l+wq+3uY`#s`5t6|f=?u_>xX`{(rD zA|L&6&CI3`!iep%oH=J*%)R|&pnNHOt>C$9`mb~C^%tUISXn=CYi|Lky%gxU6z+ct z26#}4Fc#kmpZ+!|-b)6~L20a$uv`A$XptYsk;NvGr*>(tn|G)0B*n$UIg7%J!^^R> zH-3|T4dT%DiI7Cq36J@*H|X=j|MiS3rP6}8(0BERWLX4wr#|h%fPfj)(;Tjo6ljv1+A{mjf%nv7|Co+3GnAb|>o6j-@^naQh6?Wt~r|ta2 zCrE%>^@a)h)F3^TH?vSy|5ZJZMr7=NK^H^_LZ{6HMc!#ff1DCshmYE<3&1tQCra7? z6xDlNMBO7n-!bHmu)uAW$b6p7zPB1d&PjpJ4cy+cT8$eBYfo@>7@4DldxGE=C_~7U z#gF>@424pUF;@V-sW`?-&r^56$?Ww@E^ zvmct%iqBqG-P0_5IXxPMXCcTRTZPUQ978Zx;H$bb?5{i5y+OOk;~$@_xTG=Q|GFsO zw;bZUJY5G{I!tutA}#Qq?hI|4P83SpzU%6i$#7X zp983?c4`IoAVJthZq@f@W*@gg@ekaq%agz;-G8p7w_xes`v(tRv4ne7sc#Tfzq`~e zUj90@&|t4g)Pr4D6oy1dK^eR@jsF!_%ol}muv;!$`jFA$fo|IJweaopgZpOy=F;or zW%~&w$k>ENA{OOGmz;a)oDGdjBy!ENi~Rc;X0x?vJ@XjW&X=JhQ?b*HeTIfwLOr?| z4%KS9d>k*qmgd9i`^G^m`|<|W>Ryv-FwN7yK?w`vzj*|=_#{Y_y~u3A6EqMGfx=qv zSElj%P@+GGhp;B?uRHM{8wE*5^iz8O#vuqlXjp%KfT(JD5p>iE^SLhoArb!|&C<0t5OV<=1t z{xW^M=yh}Fx73XO>|+wP=;;mOI|lMK3K^AYx53h(_ZL6@&;4yJ>s8}Y_c_!@MBuh7 z*U!$2p*UZea9N9c25eN?V_w6G4()mJEP^Ft8*xqwe{|Zf zunM`4wE(}vB9SntR4j{v@QAJBzhM)Y<_3`aDQXun&z5NUH??^CuLV`iIKRT0+7Zlz z1IhOPPmqsyp)bo~2dYBC`fDR-*(xp>7fv5NKjG!E_Udqc^IL!Ah>a!;@NZy+MWNK- zi=w!EAJpV^_)Hx#r;7k!qJE&y=1b_)$L89*hIQ>VlUvlrIRw+|;s@l)_xVC}2~TaO zkTksq?Z&fIiD^Y!a~OcWE@^|$y=7{(efG1P<~C);_NK(3)u%*H6a@#uc|o?~Ra6}2 zPv63^lok>A7I5pAd#!6(W?W5H(Meyg!9TJ_H;*F53_>QegGv)M7;l*#u&DPITtjXf z#tb)9R@o=xb6PfQjUYIN;9U<2jPA`>$dFS%SR|fpl)%#`3lr_VmGkl|5Gy~?Ovug4 zQe?~xE2{l|vCUx0{B~u~Nms`4C3&u@{dPC(<4O{6HyZ4q?8Z0*cVc}o?(we1e|eAm z)QXDfFYw>cfJ%QF3&MlQ)82|i2EKcEqG7sKF|7@ag^7>(7abreE~clI`Q(|4p#N@d z2+b#Mh3Genqdn3$QIEWMGL#j%D|Wt1p!gFsz@QR=O}QRBoJs}0tKKhN+aX|6=JsX85ng{lE4l zP8RkSsEwAeUW4LfjQ7F~x>MY>fkK|Q9G%uRhswOk7lJI$CmN&*s;k?*uO}^wK%275 z3X$kJ1!c@aT|dvOddRm0#2!f^eV4IHuz=~**ra<5^+E94BaV`c%M))1z;@t}Xdyz^ zs!(M`svGNP&UtH1hD~dIj8<(r%deZ(YLEAohMqgs>TU*EDYdl#W?Q(?a9v_C&#kt_ zz^P_WFzAx)$19=EK_;44ZRzS%7hSsY1v9+jTBsAQfdyjj8U4A(lz1oR&=Q7ol@PHV z3QqY-ZU!npgI{}B7s9Q(Fl2mcQI082Z4*u#^}c=>+u3OIF@DpjM;w@}Ks87cZaSk9 z9U7;P&^^)NFs=rNS{3nmScEFgsY;~}CQWLtMbQs$4xosLqJb}R^Hv|J;@vb~(5+)K zDD0S(T)5tt+UvWsQOSpM6=JoBKaKBdKreaGikUysc%K|&enNaKQ3yNH>Q#KON<_=E zpAYg$;!mRWPKQhX!#(69fjS=`AjAg=Kl!J_QmteziNzy+GSEXRx4#?uuARD94r z6&XKSur1&t4~*XjkRw?47zpO)ec)jUUoZeJ8c8IzTQ(2(#7i)1QW(U+IXciR>=4c8 zjs!iGr@*W5%Ps}t6TAw&*@W&|nW}YO7N|G!B>=u`{^CtMG~b7v5keAK4gfN6s@ zpZSHNYMSHcW1cI0AOrTXXPo}(8mv44vdvueE-XPu`fC1Vmi^whe zTjnlj{v6>q8VuEU`va~(RRx6%p(>ERjkTQFeSkeKMdE-~%x9Ih9OVHiiyNOUAzURZ z#{2FVSZmSg{v6@KM;bsa2GjleXp;imdjg31oc`jExyjliT0#4QJZ5@}d=;bp!qB(^ zesjAfRQ$X9wPl=X9Q{0Z656PKm-q=Y*eV?SnuTBZqkwU_vyK?!8adO5+%O2-YQ%&1 zGupSMlWDn$zClyc{p^=2zO_Q*r@)a8nWMIxHh^@7<%NoSWR$#u}#hMRm9b4C$|$Y);im%?@A zp((}*LGL=DzfDl0hrth--c(x>;LQ&TlDyPK|$M>0shScm}Tq^t7QMbO%q2g*fH ztJDi?%&Ae0h7{(;wXQa-@+rwPKR-on8MZ2lzu7~O^Ncj5pyg0j1kZMomy7FNa7aVi z#=9!0lqE&op)&V6N8ULZitbZ0ImwEcg^T2(jrmub_HSj7l}rO_D9FnDQ%c2zE+}yt=u=P^o3cw7LCo zLv1Z}L-qVstJ@iDrdAf5(>H|LRW&Q&I7G=CtnsELG5s)yXL4w24L48(&y*`iJW+zG zFOZVTZ1s5mToTCZfu9~Mb&S~sO@U%Hr+L+4Oxj;%(pfEo#MD~NPP(vR8r8&)R(=xI zby zTPHOHzNQG?OqC*-7x2esbr8Zw6|YFAorNCz55pA-4f%Oep9qjSQhoZw%WUEaZV^&{ z7hB8A(@@8H`kruLd>Mla5nG|gPF8Xq7Rs~RKMNPZ&&3-mHCHi;vs{T7z-@<69v4}e zUxQ*KgaU>otgb`n*0~Fy13vMi_a43sM))n5j2r~zZGp)g;+UcLP@;Ue$ZRu2IyPJX zq`=Qr;XsDQ`IacOx#{?Ma@Ex`L4m%gJ>WBjDzo@-PFMkR2x0p7Ia@jN->3oz))AzL zlS;n7OD%P%7W#4-NnGmZM&hq=n@0~#c#SE1!@JInKoggdrMf7yRN0fa>eNP9tMs~+ z;MXMy!X`gNi5bok8A}3KEwJ#jRZ(mTlErS>GPq|{AA&Ongh02UWH-FcXUPF=-t#R# zo@r8MU@=wZ94Bum{*I@RU*}3MGbf##`%T3`g`}j0JGSJ{KX!HC%rwJ(s;=^cJM4e#Fb`mSDhj2@NaQ_UvA}0+b z7h{Tmbe{dms5+d45|etBHr7d+8ALHz&!ij5AxG+ek(O-aL4(TOIZd?Tuo}~=+*?lK z*h>Sy^`bC#ON;Gr2XVCY<$TqYa+Pg>APbEdOguw7t3_&FeewbuHe*4oV;DK^Z~{4f z_SuLhki~yCR!lJVB)sxlp?$>y<)SK^6ImNGhfCE|U6oQXTsZ15-8g#?eBpSwy0k=Y z-Hm<+YgH6)&UCR(gh_Qu>T3v!FQ9d&TUxDAu7=9o*dzPs3t0@gdwJq0?m?e8wmvTj z<_MLUb!;>3itRU_DEop5In|PAVJTJX5MtG;MF26@D;_Vxr$S;53v*I&xyie6->U!? zf(7@oYv4H6GfCu7OU0@M?i(%ax>wLDT!COcS|;+l+)Fe^?h2dCDwRl|Ksm^j*eTgiR=W+v54BjWs1}=Y(8Q!EUI& z4E(?^D-0upbL51i z3{KINZ3=nw;iFjhiAKd#87q7fu|lM5ZP;8yhJ#iyTtu=I$W=f8qoykgDX#dGy--GI zrxBgx+^!Pyg8kHtC_Tq)l%6+g445|X24R#hDFfQ^Xam*Jh}Ng`xYE{t6s4L)t@7a{ zCsr)KAG3}rW~`Hyfwsz`*l8?pc^eh#9_(Mz(3|rgh8ORd;La|;26 z;eG!##UA==TQ;NieE|0$vU}kd`Hm<=o+2dRs9(b=Wd*}_V8$JGUdC)kHlJ9AdTwa0 z@ztlm?nV19{@NgTtzdluD;79~7H zmehJi+j?%q<5&1{rsF_qXsf<0vy?)DDq(GC|Ixq(!cHvR%8~q0Y7_Amo%Bpx3ap5? zhcg}+i64WnMOl#jAETOMitqmegXB`>!;d%7Hq_z(X6{FrAX<^SSO)iqmj=?|#?}f#opw6gTNOs1G;;K?dqqY zUf)5|B4#Ge_`qZKUQrM?oC#BB5M)N{^Ow-N_+i;}@QY5VhncQoqssnW+YHRu+ zR0a7gv&#Ow31VsIZ$MaagXn~+r-B{1PmDd?ec*3MS*%YZ5}y0(`P}WXwabIOFN)i8 zW!Oo5u8`FO=oPSG9S&uR4Af2iY2O?W!*D62MfOQ{6)SuT; zDslSA7bl=tco2(nGRWhM=fU9YPzvJ}Ekm#gz{5U1#X4$bM55lQDo8y$f?Wnnds>vd zQest!ZCk>T^P$b+>lfqpzUR5GbflafRM*R>QXWp2v!ZE1V{Cjwk{co`g;)Iz2{cCU zab;WZ391l9%oaE@jlFc1Bd4X!%f1IR<+uu_jCMSLd^B=aLdM6hB?^||5nRf%(Izy9 zOh%yZt-u3FtSrM%$b(nPMI+wGkWSZ&@$|{Nwp_l?BA9Ilxuo{YT9B86wa6)ApggHO zY5LqfUL|4-)z#^YMG60|sfg7JDY-wD-A4S;{kosK;N$p_2MB>4?4*J=@<0%{a7ho(@bPL4tImT16NIP4K?q=pi<4$r(`; zv`zp80$m#Dg8LXKyj~FKi?cgb^HKKQbJ?Fa zY`}=Bc;Hq-O@-9mvl`V)R|VQe=ZRRZj$463CC^N$dXDAWvk^ujasaZHc)^;+pX!V& zhSf&Ri5QWZTY(8O3>oWz)I^?A5E~ZdqL5P*jv6_wMY6`nBn^V`Kw%(-9!6zC(ycwd)vG2 ztNbDTGNEe;9M2;?-l7JP=fGG~Wb#^vIn0bqrF#s7X2;vlO>9Z8;`B$4js~nsd(Z9J zKR3USQMG=HL5LDSeODW%%A70;LEg)hcA1Uv>)b{Aial4HO{A}&(kDrxqSvG$P9xX2G7qN!&${IWB&WDJ0m$v9>*SWf~IKD9)j0c?2 z>c|dKmCG>dHv;P~h={6HPD7CqoQHna(3Llc3?su9Zkx#foCuPfznA%d-B&+~lR;FC1 znr6+2W5UB!_CGtrNQGjg323_FT#h`E;iI(XM@o66w?PNR=75px0=L;rYY*z=`@V$^ z}FQV5S@^_G6`*NsA z{~d{rHhN9bQW07y${{>54mzy6V)d!!= z*E5e+lNg_(|9Mql?#tlocr>#@sGlzELoy_picbQ7SsjS(z z`a_vEg6HK(S*eE}utogPkXY zf%ipDSB*PqwEtXkm5ByePcHlHP+2O1;Ni_PaD0q9o!GW_OylicJvRr<;1^nk9p1{# z&;N>rC#qvXV&96rAN8vWl|H3aYjH2iYZ~6gvL+KXe#8~JPWMNJ-64tOO*=+X?AN~C zB)yzcRjGzhkGdg>P9EgS?|V8bM_tWi&&t>;E_(xB?S+joBk~wY>vM@`1uWBv+2MG+ zB|;{;VdP(BNxVEE$z@m2&ytR?xN19DYd2X~PYKGu0N*bRcr~2_J%DjuPW8~BTxZ)Ai}Hj_Y{@{f zDr#zmw4u(T3CFM-6_d@Xp<}isD5WL9r*P-A%6h(4YG0Yi#&eq2dcEk-LaJa`OZLoI zCSe2Xl$}DlKbKnUxnn3BpG>7iBVucFFFUToz^zE}2PkJ6088s*`hBM@wq0C%uJ|tn zy=Z!EcI_<6nd#N>W(I(CD-tHN#hcs2l}rF!X=yR7u^7X*j3Vut-yXbW_IP8=&Rfr% zbcDA}Ka@Nb%sh-3pUu_)7XRU*0@XexEnD=p|pR|EHzKX6w!d zpz`Z{>0MsOg133y|NUq_^K{<%HLM;}d;v{RYXZFhDG!whzO{5XKD)o4b}d$87G}}i zy4yl48pUT2p&@^<)@d(0t>E5UzSUs9D;0>b|#H$R}$YWkU)@=E{tgKXak?e-fJUzCDiglj1{QaLO&EQ zpD~rqYPGMpHdE=AnbZ^l9jAjC0W+hm{Gu*1ya&VL+1X}Fa=?8WvnY?>Gax*um$E0y z;Br*|^e)+Ha49ZIG?YOnhw(I|EmGxy-J{T_Mqfbz1lMr#t__}{e?D3z>TMG2Yc;GJUFH#VlpNR3;GaUK{~Lq zKT>|F(rMK&717NtNbQ!n8JZzx7r1!3gt3w-Umw6#Apu0R#L89%hfz3fMQ2;=-H z@Mrl_NwLpd8B0|&Z9K2_`2MMqf#f&b>VSx*1dK<*i?(FE0TOqjsZKn~t}xQ@DB8E` z1;i%{6ro>%2@Te;*BvS&dKx`O3rRPmqf~b-d!9Q2bmHSw1jtv&r4uyj(NvCgmP|!w zhgDJQYUf7s)oE4QFNa2|4OE0Kqy@iI@$aXWjK|=IF=ojv~4Jr!DuMx`nCX)a_Ti>kWI9?7bM&fQ1xDjLI<3P@? zebWI~s?wCEdE%eB83rbbwuUoDDXo;v;^ zpGB=t^N-;3A)B}ciD|Sc?xZZO*QsJ>-#V3`o4cbf)HBs|bOqvo9XtLrX90?@JI=O( zwc7<|MSRQF@Z(%Q?ac%>!FGbhjmKfhZr7|_O?rX-TJM?G&5+j>bG6<`hfH;QQcXC^u9}CbL{sTFsd5&Tq9h%735GA4PUPqYco6-u4fGqcN(pl6G z2EU@W>0>J|U)drBKMU~|i0NrnHr532&1`cM;JkW zHGP46gs@>PNQIP7A>RLQRQT*rwKh|le1In*^=4Q?)- zdvv3D5g5XWIY?p0G~jyKE1f>n{?!w@4C@=i&Hj}(JR#8t1#R1(>EH;p3#)+O&3wG? zT_y6488X2#`C3O6s(0H+d21YJe~I8H0Ui0u*}k!lcCIABPmH;hvvrB2^HNtnC6=7Y z8e4L&QJL94e?cOV#D9>8*#X-PkgHV_u4NQ*Fp0ToA)7XN5P8+(3`y=POt0 zs}bCcFr*E;juG zBRWpZ0xIS?{Eo{*tzAeugVM#H^3|w{bc}}vNa4t|wr(^Vm=df`h^_U?O(@!wH=60u z&QhYp_$q_`}V`w^1HaK%Ud^n$Vi~Z7WG)t>L zD<;W=6BtG)ooBOlSF-}jkXl6drB)_S%BecEs%53RH+<<3pO&8!( zk4`7+c^e@{f>)=ldt$mJxm4O~YEQfrNH&OhVufXKQa%7W8wGUhBj77-OUjR}wmdba zSSyk|CD@S966Kqe zvQ|l^j1X%bd@8oq8|1L%dCN<9>J2*aUZh#;J!Rd~^u*QHTp-ocEZ8U0FHfuvR--0G zh)I?=mJ*+}*0>oSns4~2xq+jDhmix%p*eAHn)RTI&%qYQguqo2?FIY_z_LmqYB^=4v4 zlHp#PV_yrwzG%P9wf@xOHQQnf+(EGRe>}0X(+guwn4xVMui|5odz~BUyx6g(G;8xd z&f5I#N?M!WYN6qY>nz(TmF`n9*mpckzdUi3V>|ftzAj}f#eBn0Jr)a7*iM@Ddp9SB ze48|;$LpgM-1rdCz$5C{HjXW)S-%er*6)#=Q95%*jTu`E897elgA?7FZ^8zP4Pr$Cr+@R3WmNrwmi;hy<+`x_jVdOl9T%tM-{)} z5sjdE;to@_Ew^<>uwoo@ca7e4bWY|N)i4TCBAv~Xdy&p{9GxqMv%4R4v!ipxa5hf> zMmn!`Bv(vlcc<$LM{*jShg*9fQsQrOP+vTd8~Vzeb&C6BksJ4>*)hA?YF&to)4IKm z6M`)I0}YoGJ++3r8->GOR7_6oh>Ag5Y45`t1++eQLL44X`7ZFO5yQ`MQnq#W4`(}a zHm*Kb!;=Phm|l3I)LGGg>a19M29}F85g#evcA_}k3B-InbQ$+9XT^3MUvb)c)M=}2 zu-_83-qqS&@x*(<+B_;#+v;ha^R$oN2}HCEVtL{W5I|GhGM>N?o0h>gqeFAdJZXvP z8nJ&uPiZYr+yVR8G(`v?l$CN9)Q^`ziSd-tpb$-QpOu9bt2Lj(bEj5YQeJDN2mwQ3 z>9acV*%j0Y58Ze3oTo{Va-7q5`q-a+v@6Qi1~3e?B_-GPN^w}I(x#i_O+z! z2>`|AoDYwT(-bM!U0tf|0#mh|{Wd5^h-0nwJ3KQ$+^ELzac9T1$k}mCvroI;+PxH_ zmDARnPFrbrcPSd~ui-o~!Ws)Hhg;*N%ivhxfqjQ367`ob1tdeNN!0j|Er)=0XPE-< zsmlh#wR>N1?_jLQ}c)y9t@r2oLL0MYExqvDyBjpUM7VKU+tzYJh1_7TcZrmbXh6zMi(Ol zK2Z+*)&s8M`lQ)^w%ba&zcbI_;R}vFk65jH;zWxZ(R^!qnkSxi@EK~=^2BM*K8gKQ zUJ_eympG1w{fu;E{YIZOcHxO*otW)0t1Z}jchI;F5Tp7?d9PFEEl18hPMLcxIYOKg zUGS{%!yhTTS}8&hbpj3D z{jnnu&fw5EFSO7QVxlPkpSsKx@cbrGr<`fNhGj@XP^)jSuZ}so)_1{Ovnb_7tMBlJ zJ!_VM_}S^{Y%3*C3?Ft$umpYy%E_>YmOI zcAKNVZ)c%e(I}-KnZUH2K5ywGg#9Z~A@+3oJ|D&_K7wwPvW*j^taGB2qb&a;#Hrx5 zHYC}Nf8KOr(a$Wzq=Zhy*bC3NS}E?1w3Iu;s8DVI;jI+SV_F6vb;^9~v}KnO0>OyO z0cRZ3S<1;)8I%&Q<)&zTbh_c#D%hu`ZNVGlhR64xMfm17tf`qfAQ&&Ki79#FOv?kf zJKI9M6^yB=CB(lS)@{9gTpv$7V8v`gyleR%_$@H#Lwh0RDV9E-c-oQE%aPN^;-QrF ze~5dnq&L=@-*-p&V03B@#7Doaj;82eM#ocIt3Hry)Z=#TRicw6?sb8 znNT{t9Q)a3DSOnhTkmCAoDiou>|N=gajnyfe5cHDj+{Fz4B^e&&U|sUBd3;ArXG|r zhel&(>kekL7Z;&wzljAvw)zflM6^=iKh8PG*Kp>_I!?b1bMV>KlI#h9C&m^j4=1-_ zbia18m?@>ZhlbB}#~Jmdm6C3yaG#|!+~cUEl|o}D#vWoloBGGDfbh8{ikK%(cQm{o z?y=$#@u#Wddyi{v%X#+s_*j(6`OdxC-JI3LJcrKpJLH zyr=hD_67T*j-1+#oG%?Yhgup+xs9XYWXnH$!u;wGDhK}X0f-^-8y=eTQ}q{u{1ix` zy>Q5%l##Y;tZ-n;B&B4vJmduTJjyq07gt_Pt>+{)ub%6*7!n9b>!I3 z$^5Y^-}kIBm2!6{PI%kVxnisJs~t~*Z`TP1IvDrs9gF*$WpP5-@732b=m<)Qzkr}A z#JB>ENjZxoYSy8}nM0?L8~cHV+c=E62=+JPwahpC)N(jQBd~GMpiB_rO2^*2It<5m zV#I5~Pxh@*Horv1o>hLo;;rW&6W0ek{|j-3dt#{N)rI)XS$mr2d#grgl!`~?qoL*g z^(4O#_`?WQ*GFCGtT=G@8~I~u<+>|GQI+yU@R>A42y~F;mxJ$Xip$x!`Yg2OWg*5n zdsf>zQNfW;+=6c=HwQ92dfz*yYR=Dx)VSqV*8bQWXI=0X#LrY8k?^be%dPcS#dd^* zfLDIT{9(o9NQv8H6dyeW(-hq&nnEVr0B0#XS#sdsje|TCiM3VLdreelDcvVX53%%t zGM3Kpt7lX?I5fx1Q>K`xQ%pX#hbegpdwMhAo;a2>Ws=?|YVu7PPtS7zb=p1Qn6jod`F+d9DQau`pj_jar=e4sT3b6UxA-w&=ftI@s#F}NK-s# zmsraEoKZ$ew3hn@R4qrhX!!gt$e}6lw2#B69UackFje4Fv+fJ0jQfs`*PZ)T-$MPk z&fT5+7mFO6Z*o#9wpTd`bdI-vmNm*kOmOrmc3Qv0iVB4IqxU@Hy_n>1qqftpU#+#U z5Z#?#>}~A>=$-#~Tg4WJp0H7s@@{98?ccw{dte+n;Ob(`)c=RY5KprXpLxRrb<$p| zXYkFWlqTHmy2)w_eiNaj=#!S*!?6oeB;fp`L6T|96L&%i#Rr5~ZB=Y1S(_x4tg$IO z#jOR;LRcC~`LLy-l=ze>mF%fEpvF`7wrm;h4_a{o?E5);JCiI%!QE}knku?`InH7@ z{BI^lpOIEu@YJhi1)kW$D)Yzhg2r)P?u=KSH9At_JAUJ}+BqIzN2{koeCWuz%u0bb zgjgx?bf~3|5D!@?Ql{A#HUDh~DhJl&?(X)pB$rC)gvHD&trU3fOVb_&2a-=nVaw=| z@IV~3CwUP9|Z`rhCB)hhpWVvpw*DVdDwD-E0oS!UIJpr56md^NF1}fPT_@5DQ zJn+zSWj4Uaq~v;vNmU7J8BY?``W42VmVq}*6sJA>vpI<@OuZ#cHmt@ z7JK1WnbsXBPaNX(YqZm^%G!mP>h#Ngb9++1@ZF)br$T%O{W1q)C?!7gPg9cC=J{9K zWrhzibqt?xhM#|!G|liENauCRp$<15w)PLDTxTaZvOMlr;mLeQ@&c!)ZggS)8b!*+0i&XxKIrrmf2$bxHglYw{@c;# zSEr{BIX%s*i|y(|d$tp-o(gfkDFC0k4DNxLL#LbrU*j4+4ZXlJ5zgipMkWRRi@nt^ zh_QoOSW`>J|GGjYd*ago=SYrkd0HXvaJ=zZRvCETMFq;hbJUJE-of$4c5DN`MuELk z>=PckU*^qSQOYbwP74Q(T2}0*1coOq8J`$8hfXoy@KdMYc;R6%^u%mu7Ctv<%ajpf zzW3%mMd9^Pb|qvWiTx+r@%>Z<^0!?jCVru zKv~E`PryUdv3^Nu-z^mapB{;~-dKxKXU*r&p-fyd8WXK0UbRgaaax)frP&PK7yindCcS6d(9CE=0od6qFH9!C!5}Q|^MU#ZzpY zVXU1pS6O>0dEy$FiKradPXIScQ{cBSJH*Sto9(S%^uYfo0s_%m@QxRFoHw5Gm7|Y+ zw<1sc=;*Vhqt9cGKKEK>U~LY+Q!odPg_J{qtTaVmQw`yn0c+NCe+L8%fr{~36Pz2+;N5FZ$|xsgjFVEa7(37L&jwNa)SQ13q6?F%Q#wHF z=FlnT8-D7Pv#pp!h(W=;jEG^3=Uao-a-*Fns@Ej(&{ zS)$YT~`NHtP*GMw<$d2_l}3e{f)RB2nJ)4r92==!8Wzd zc5Lj4s@>a(YuM27kZE?Y?Ei@jcOIRdHV%-FdYWbztEuB5AGhpFh&vq*+0gNj!yONK zgk@jwzicd@AjGKE@ezdo9ASAac+;BW{ji4=Rs6o;l%%?$>bUK)4Z0 zsjR&(4Ki(OWADW^ve0lf)Z%nN1gypp58mT756YT@9jyZvKlTB)!f^z}p;}OZhZ;>4Q zW)3VP<*Sw_f#;S@0r=E%u$)QMDdyLmWl7#8@P1L5B@TwWTb@6i6}UUes2#Rr+S1y_ zQ+P+ZYY!%((J~6?clS6)fPO#<>-@UH(q>hGt+*nwh&i2 z>`hbVJ%_#T2Pudn@)_RjYL$`l`oOPZhUR>lw$hYIs}CJzDNhO_&q(JREw;cj($=~_ zi2bd78<=;j)s~bP&(pSqxYm(8#924J>|nTYcjI3UxDnyg!s*4KPEQ*Fs`0*`Z|z5E zhQ!Nc9LXOz_>6P#`K#X@h-0{yGhR13{%{w-Fkb5wE4G3ETVWv&Z<}{U`2k1rNsgtw z6+~#*p7sgQRCr(t{8w4{GfCPf)Q6O~hP|LbJmn!%1wM7HE>0~h60h|V=xkE8K9^e2 zDeNulBI>Lqo839n3!#3E8<)JFQ|s+SeM~Ve`JG*dkV;#J zZ$@lT3*HU~WlW-O3nq~8&?!F#-y_MNS+(Go>_JLYregP!u%}dKcv{tBi`JKx(OjV^ zZW%qGSOz-?~9C#wp@(HE# zMeC`dJWd9jPXX3?jy_YZ-MLa(-MJ5PqBZXbG0l=wD(!o< z@OD9KpBA1Uv)YmpWB$0#6CHg1>i1>h_~8HerYWV;ehws0jIn%zQ163{*Rr4b@C4j^ zi%E9vOUf6mGD5Tgju|h2J|UPIFbNJ!Nt&A)Kq~4JmBG2m99qulmM0P79;aVegZ2w{ zqyq&oRm*Ybre{q<@QG!jd+V5?btapsD#a7-Z?Y~7dSTikVnfMzmmJeg8MymKis`m? zf_}xzOtmCSY1h*Gbw-r#MWOi$pE~8bASG%Y7D?fuTSsqN|_id7`C;DAZ6#R`GtB9++Oh zT_MZYMZGj-{>rfptR}4S$`h{yEHL;;xtqho@m3l5*UABZa0Im9fJr!Jxk8M$QlM!# z=m?g|$&Nm_D?-ai+1;uI|HsEFBZOHmV+&dz2qj`|330MjD@mVHt5%*k&Ps73PvQK6 z52`?0a8`%b2LhQ`zu-OXR!WjS?>YE1wras!6QLD~7(9z^l@ZSW$x3=N)e%-LtxwPi zg{qWKk!7Ur*ZW z&FW>ZqXhJ11pBQNG@4w(PCC8_YYO!qEU&`Xi2AE@xi51E%ABt+_*7L)cgT|S>7>J@ zw1Err88=8XBf5*kIOkgAut?-WKPQP+HD0+NmawX}qmm6=jiy{jlv4RsqjtW@YYcCC zAuaga3?Qb}rwa?GueXH09$L%Z7rrl?+nA*==s4Z?#$UM@QJV+INIchioO*rh2(^P~;t*OzEH(a10TNOTv(7A=REB`P}+#&lz z(kduS!oNw#KsoeFL#}*K#? zAJL8KC)Hn2@_N1|(3E=~Q~G7)k< z(Ti=q3Z)J9q=QEF(@FdFP}+P(+0ql7`K%8G8CfZ-Xc*a43A(;M+Cyn`tmIsiFqSrV zNhzT;7AFMBao;zTHs24W&2NQ|`ML>zua?Svcg}JAyCWDFBZw z;ra!iPKtcu2yodqPw7j-N3{|8(CVxp&+{4ukte0IX=G9_j@Ob|Wx&Wy)Q$8sSIa0L zP>xsmHR?`!X9#_ZBJOnBPtakUQ_z#>EUb+RKAi?Wuof@#wS+)hs#pf%8K>;u)cOVe>ueBAA#lz0g2;3UnxoeDl-et)++%! zPSb(a!V?4M?}S=7p0gcm;i2W@Eg{M?k;cPSv79udH!M#Lyw_cBdOYaj{l=StAr_E(mW*Qr|G2fbjp+t3#-m9$|B40+9vG&_(YN4JL!D!h49$diIk{$ z=v5WJZ1~$ZmlB>v#g_N=jT^aubQ-?Fw|SA9I$`f^O52m)TpRR7=75x6A*b)mQpmZl5$PK0Tjj`< zYQJKI3H7o=ydI)PKpu;f7S~sLa@=(n02~;>+>O`9;z1k#E3J3Xu`l{eXniR{dmf9m zG%5X0i2Dx$62I^HDdL;QeMH(YXk$Xq2EJjW7fX1YtaAX$$m0~cr&*LrB)ynsB)xiV zoLy^`@6kD<G7|Us!p(<% zgNz%io~!Cz#rOsn5xFnUl^(gehy|5?7+kX4U*GLsc_0}j&#zdRKt4Yn`8++}N zMiH-0Xf@Heda$t_3wh{^mPNd1XMG`0N}B}z=y~{v8(TPLSn`XFasD?M2o zz0~-Nu-LU<_BD!hsH_;7K(Ah_f0EEGUb`0ZR24=GJ)f+g6$#gu^a35n^bhJqkWN}B z@QE`}?8&51zYo?7)NLW|PZEMOhv=MM1Dk=m zTKK5_(03U^{a&VN(+m_wvGihF{Rh=wdNK0W%kL`KsEO zhB$MC#$?v_2%Y6lO`@D8rL)55%DPkld79V;cr}zi=M=rRPWpn>R^WwLSoxy}tv{qG zmOQLZ+OP<{s&)%y=G&-L_d5JviiJmTx}aXH)wmMu_hKoaW11_~OBeY^C~e*xXg(0a z`dHwMT1GDp>%vCPxi;uzykdNePoV)H)ggUJr?8Ex`p83NE-2G1i2qOWKznUX~wTA@v4i^{|jK0|TwVI5UiW;_4o z_487pu~t91etMf9oR^H#`+B7)wP;6J4>aYfA-3(D^WY&=IZRXLW_f&$yD5})R6G~C zGVA_ayiAN7FAzGsRbPmO}Y<+b5q%SGPMDe7h=IQxyBXThmrX(gp;@ZXO1ZmeHC5GBMf)eEMMJr9Kqxm38xYNQ1s|3_kLlncYY?lP zb5%u|o8`&1n$mf-CK+R`wq=W1W>b1mgVAX|g%k3dd;!bTYev#El^f?2@>JD+MN7D8 zuKzP(F8fSoUPp)RC!U4d%Uu5#i(V3aYc=Gi=c!|m>#&oK3Hg1kAmJH-&)W+=v}?ZL zL#IkURF+Zi3i|nV@O5SgJ+6g#XBbJ|y^yezE)lIFwyf1NgMQ*#h%<|Lby6u|RSni! zh&KoQTov>a*Fr2D^mDqz6b8gGy*KEmm$eXY2>Lm>&}vGb4HDuc@Z6%R>emGyI@3Gw zxw_DEO1~)hq;$iBrTy$5LXRsbwhQ`+YekL?`uS`jA?;cft(yJ3w}@9&#kC^KPgBC_ zSu0W(5#3ZCIk{}Xsym)y^I_cPHmaAEj%oI#5ox^`Ig#=V;tdo?RZS}RbP~=5&C{K} zj$LT1lU}4bR!_4B@yd~^LL1v7j|WRwqDY+)>5av>4ei&XE@IAy=HH2rUL3DOLfnUL zb;RQmG-N{PorJHGc+0#(u#zZV9&We9*@4!z|So zgtKa_s=i3Ra*fd(N3e}|7c?W%62+|9l+F!s5k^!MPD9~hVgeIQu^{-Hd|4-(PLyz*r zH930*K8FTA%k>~(Jn!o-!Bxn;z-xLN;oSf_r>$wIg%RAbQ&WoC_smpPN^O%LZ|ue zq6N}Pab3zfp{(1okcU<^6x!&d{R^6^I=%>t&X@}N`9o0}k4UGA0c$K$x-+zhhHl-? z5@bNRo;zt#X;H&sSgT6~n(`|`bUtJeucmc7e=PV^)k#GPrc|y09+7?uLFh95EFJXo zfshvQ%%W|Dhw`ECTo<8FsTK^e_)C#bJL!FrrjUvC^MAp9&MV|e>1!eM_?_bS1^bEX znrzeYMTUF(Gj5K0j*fXuK3-)ssSvYK2^98MqFKj-g zwF~R5s&YOqrSjC@PI{sUeM&{cEv|Oxq>lxJfSLwR&R@SMaue+@5E_&Dhk@psU?3Ox zRMq#w3IUvrpciOTnk3o)$_REV=X&P40PY$(#iI5LjD0ojM~kh~m)Jws7kvj)^0M-w z6?Z|N`^nMEvx9_g^4t{Up(3hi17w|biRsut^PzzJILM4Pq+YDC1@)DljQlw8XnVMuCnIR-DagpB0oh0iy1o_*G@l7H16yacYsl}B z^8%R(i8ca)GF(MsnpO3+8;f4nk7bNSW8V&ZE(zM$D$pDfXuw(1l_kU(iSVeY%wc;P zbypgTr6RrfihKXT*DlCoe1HS7uoPh$^~bT$H>Jtn)#`H0eEFEpxW4CCZwOK**x66%r^&_W4fOuH1N%FkG=Itflt|{O^G%t73p>7 zfYKfHR~`#KQ4`YP>OJnI4x7^bsF3a}Q5bpXofP99&nSyFkDU~?z#=n!r>{WRH4kfQ zr+4OjntZ7{o~)mPV48G+I}pxsc~}I}#Z>AGy?&3BJ&u&nIt3rlv9)YX%yZ67>$N^F zmZ0*`NwXSImk)I>igb@F2}>F}H@@igH?7zDcR1-tzoVhCpq)XYF+;{ulL&7rbCV7S zYt7qVB^(RuU!r3}o(MWz1LDcWX;H3cqc4Xl0X^9^N}8(rRL~(!zjrUTpOHPTc~fs3 z*3-13&?EYWC)426L`4~ieXH1}{cW&Sly#mD0R^J&cyd^qg;wqlq#b26r}V%la*oJu zVLynTuVrCf%Vt)4W(gyo!P3}G1BzGF*nUA{9}KbBK8ps&YlnbDn$iwaialS9FzCgc z_tsZ>GBQ5I^x#0VM?i3rhF;8PbA6>JBN&;~i;>;+m7a`zF(7e8^wELlfPh4qcMCKJ z1|)`Fj_uINIl0W}#t&GRAddTOF^ zzASK#Cp|t8IA0ZH-X$QX1w^H@(0f68DGzC~tB89qoFmNvq3qSO`%LEhLRbhLcB(X0 zwQI&2>E21d55BY|Y`%`9zu;pw|1Eh_g!>Ngp-3marSR3XUY(4tXf=TcF5{CmZR)&J zRg~;?oQ#G5hj3ezIdP5yMVS_;slh|}aE`+pd_q5b<$OBb!z9#KCzUmR(~jLT7EQT3 z(qzpRDK{WXE;EOoBedd|tR+WiU2Z6mPN6!^)1b@*f)F_F+f(;Ar=gY1Gmy`LBugGT z^#!Qh$dP#3A@%VKnyMOE#IzSaLrJqFCG%kDd9d`;yt^US^Q^ZrA1x~{A*|LTv{2Vs z3n)sO!9t=ugBAMFaUMwADJ#*H>$$3K3wp+?`7T)3opckuP6;Om{F z)|v_ZFrUZtwTs*okf#H34TY{3^O>x#^kn2VK@bxlo%-}VB?D4brv!N(SAs5|vUg0U z9E6zO9^@(4RM4vXppAul3|&RyctvegSvA8n|0FLy1viz@O&jHI3p!PDQkml}3^Bbf z#NxPM3GWNOZV0{}pdkhyuSfNjo*auC0}|st3Pam+v9E{qm7a{;DTu}WiGrr8)(dIT zERWafCqqoP4)SaiX!Z`;I3j2x=8LF}r;1q6St!8{pCKAA^M@pK(?)5TRdwNmW$MKK z=?6pTk!JBg^S=QZSPy#tz-O<33|$WzGogC1jUBA7^kgLV&10{2=iuwh0r^Zov=0jh z4r{YO^T~j07m%$3vR**mACPwlV)nCIK~q)B(LN3ZS;9EFGO!Vt*vJ2n21L)PrA6!u ze=qpjJs{=C0Iib=G+zzKo&kyJwR)gAIv{iM2+Rs$G~<|Tc!g7WKAQGWr7^N&C|B>L zIv#ckI?z9=63p~x%!PjoV7gqD?#rnB?ZhhCB@?5C(9+w-WGgalx-DYnia^4k14)OmB*r!K!Xb?$Nic@KU1oY7imgo@`w-k zgs-Z)m2SM@Vm?doOBYEA^E-LyDJ>VYu}H{+-zCKR+Lh$-$eY9YY@ZLI4{RLr;V`23 z>msHp>DibFk~QD!2q$8pzG!ZJq>oo8eV8V$d`yp#Q?Sg}q$2K}v_)tQ?j*4QFHW6X zs7L}2T%3X{U~71Fx+(bjMS-L=P7sU5Wku?AlAZ~sG%1ZIUwK$nHFwC}*NYC#*G3_gUny*?s;(=v z(Mi9Qk_6##TAWdE?xZ6^Y4hPCUbNplq{a6F&2=HC9HG&a&{I;*CJ%O+=*4MqWg!pg zun4QF&Is}xPOSoH%QW_9ddoT6x66SdEIK<~d?-R+pinPD(@Eb6e69?>o-dG;wi86< z>7<>4ZRlEJrAeuro1m2sB!`dJmIY^O$rL(FX=2EO_XgYeL!kL~!MT$bE7F2avngok z%Y7j&FxTc~{!dsT@L*B;rSx`*DH4Efb-toJ?4&aa&a~5{&`(vprSO%~R|=a?X?Fx7 z7h6JyXgoquFG|rYqP2viAN4{{=JQtihq%LmW3gVrhu5y;`st)4iV}cV5`qWlm~$y} zRsBdf8x5(s(0sNiMkHKGxPm)NxD@`%1;kd<0c09Y9Sy6KP6)O!nyB-5rSxjiR!v{If5HQM>46Ag~jLeCC0c?LEQyHY6C%U#cO zPU!-rR!0~0DXrI%Q>Rp(sw!I!eYKI)7p)KoIy@jVdXD=-6qeV{zL)mBccYrs>qpYiS`Y+?6J!+e1kU z{dk&xKIMIxyvm1z_P#VuN|WmLA>QD^dGP1ONvhM+TK1gB(k29z0AY+tw4Z%i zTog*A_foO$H8%1=pUU(#*a5Yl*A2O;U5&u$$o50V=qxJLs|b90kgPyRT{M4L{>0TI`%`J0`%39#QWg;CjB`pat5AlH z&oLoh(>^bk&b%sG=2h5TPA5eaG`*d>Tb?E{P(A}&g%b5VSo&#Kp>Y1;QWVzj^F=Sn zBEc68&%0a+F0&Trfyk3J;mA9e5;!AKFV^8Y`btmJs)CGYNduG-%9KUDObwy}!ATK% zv9Fs$OOG1(g|Fq*3q9G_#6YtKx%qVeWZ+E0Ed|Y*fsgLJ1uulvN&k<2bI!BxD#3Vu zZ+R|pZ>t2S&8O+C;4-X%((Fg}jP!!hAO&d@y=c$(wi@$5^6Ark+VN`APg_2>V9&?(eRf6$6*x!e8bst)fl=Go8f{4Z=ah2e5VOIKX7P9{3Slk+r2Lti~ zg{~L-nyjz%WaKtMAQObY(%)v|e!WKuxx5BcJsL1v^5F4M-R3kj=g?@%VhQ?=vD;oXBJVCSaS z&x0ZE&xE*RE>bVn{EhlbPex*XpFe2+x!~&tK`ibs7c^D1L9m2od3?NP*OkM^(GkO{ zBG&wm(czJck-5T1`>IMnPo}wvN?T|Q4nV5vU-U26VJE#;+<}6oQ&Z-?rc`e&=80_9 z-Z)PzY>ZCH2)(v_h<_e>N=Hz3>OMtP9n~z*aw=6~+^$1g%bfz6@)hFn4o>q`Rh0RUJ-$^EB;rzd)*K+UcG+ zs=lU#l_8z9ao{{bBTi4Y=dTluf@~YNh%XH*r8C1!-^YUvmnIv_Wu~(PgRg_+#0ix- zr5$MmST7E}T|vrvWNox7NONrr-3rq0gj{)k$lbRE>)ku7x(JXFGGES^)~PU&#(<%XD+NHFJnKoUTi;Kp;w?Y0fEnz984CLu3FU4tLhN?i?B3aowSwkF$5#hxja)UT8duB zLTN@MTJ+)KRaMy{9f|44u-fMmu`!e9b470Ir1gqArIQd-gsh>{75b4v}9Q6 z^qU~hrK&)Ba=Gz=z~}zp>wKcl(;}rW3609!Nk0kY#-oy6N)yi#r0=W=XQR2K;7lV+ z#91#+FVqFOJhUF0N`RcEla>rL=M|hg>0QA#K3dSwUXPF#zYR3cggsE>f<2!Q4bx$}#y&4M+BNpMZS1bugr1z6+BNp95-tz#4Egl!bfeB}szHB4xLa$4L{v?^(3HCWQ6G*RZN!l)74jRvPKc;r}euNlz~ONbK{Km(NH zb#6h^NjRg`)9fR@G^|d#Ce$gr6f`N_Riq$&Jt5FM8df`x3n_Ru`O=GH5%*vGHl*M? z)eAk@S3HYgVTy&4F%8~)+|?aV&}5MkgejsgAf_=;)fJE(n<4#d_m3CS~nK=_flARQ-t;f6lGLZ?L@MAntOvhj|PM$&5E!z zGg(+!L$B6GRmFW|7{&6TBP<|LCA3jhG!$3ADbh(R<1bwrRwq&C#?ds5OQ0rVf0{b9 z<*0kH=F9O7`szxTLVeNJ?SfB6l3A~!%o%S!Uh0k~XfnePB&X2ObF44UxmKsfc`)P| z&SPKDCF`&p`>MKQUrN&nV_zv{of6^mH6qV7pVHUCKrRj|j@O~q(~D`gr&rJC9(1p9Ei#W?+c6 zAvLDFzfyT|=;JaYXXLX=Ku<f)w-;?wt{FSiRWl?lPJQXWfz5h$}#GArfy1`2VYrG{jkjF>s#8q zkXWRKZ<|u!h0HXFRUo8{0*N*LUZEt}Em&09-iY6T;BUuhOgP<9U-&dVB5+2lsh|lm zKN*lSgMQZ0py@d^3r9Fg#xzksWp9*X8uWv67(Ab-AJnjVaaa>W=xY#xkNd#(JH+SGFJivxi~D^6kf=TQo_?@gDWE8NF|^r^SPG(`IwgH7IxBHf%7(D zt;qMN8=%aY^U3;3Pe#z|*NYL1FnHv_Fl#oWIr|hmjKuT$8Q9JaXf^W5I)@uEVDO;J|Gx*9L#L0tmu`^$v88PqW7ISg<7kL3jwO zlTKCwdUEKO6RsfVCVj(mY6vY~9e}T1IM1#$vDE4%rn91+y*(oqgMq}c+{hW)7>uwY z=Yb*3GJ_W`&O5VW3bK4Ep}v{SgN<1EQ*x%SlZ2Awk&K9S_;^768jv_Dd&irMi23|E zv}tcM5=pN|19CYHgDS{;HpO&~T#VcrRs~NEp<|bXUhFIGFStBNI6j2FBZgDuVm{aD zD?J%0Pv=hQ?gz?PJRE#I7Ld3n;;BHhbl49PPyW9v_s%1U}oy=|dppw0KkKwVh9mY-PnXw}o7QmENA_Qhn`m*0Di2 zFrOcXu$DyUK`y3=XB#%3IqZF%tFQEAJ~+)-K}O;l^07+L^`)a3aA04tgrrHlg7dh5 zj1Qb|3TY8no*z=Icu8sdz!@`-da;C=Qbp#gf-ihb)cevmJekj(SyzgY#WNyCRu9N( zA*{HQ^!*|3@yua-LP?o(SXuTG2`Lj4Gz+R1da|z-1M=@M>za{>ulqt@4`<=(#hgE% z9p1;t2Gs2KG)ISZo-udt9rD*XK|k@Fu5SbhKSbSZA9_4zd*L49{(R_-t{c)Ko)Y$j zkaOdSwTp##9TnEIy+r1V8W=HwR;%jNY`P0bCw-TOa(qlL3ATDbpxNx@($}#;9_6Z` zrxa%%&k7?;@52DATx4Ug(8N<)<4MkEYNY7N8jB-T%LPjqRm8ND_6spRCoBG#^Nk_B zUZg@?1z?)fg3YTto=o$;5Yw*)B%XVD7}0o3I51-k&a}=vE4DbSn_nm;JUE1PM?mfi zsq=p!?t27{T^K^YH|XcM5Y`DHtm^~K{sFlA&V==tT4m!GA4>UH>7k;=A1&C=^F zA?_c@0%JXYEabQc3meP4&|mhoOo-Q)GBT!FypS-ZxQbw{!dEA~C!4-yKG%g(D^tA4 zvthv}r8tZC(Li%yh{gIL^xeY<=cvGEbcpE+fhO)fIW%ZK^Ca=QYaPQ_8?lBxFw`KE zmn?m~friKxV?I9)wsD=*!mu;Y(D&$z`jp1L$d|Xn^5n@*I9tByw9JVGXIih0NpZPY z!pUSV9*MR2Z$eIq@%mDzy-u4`tNQwZ1+TY(Ac{8{1=x17}iObN=<>bdP+7uJ!e{&_B%@agqngJWMil-t!6V~<& zY-Q1@Va>^oSp$gE^vE!xxJFp<@?;iy_J!W4UW{BEMy>Y>q3@eD(b!kiMm%eJu}RjK zWM6}|f@^%x^W7m9*J(8A$)UdxkclCtv+D}3yF%P=4alT`yfawTz*ca5BZMDAlg$)lU%$#W!!S~RXibP1IXldV zHJ{(>ImfnEobz2f&P6H{dUEIshjMJNRsbFq=8l)mrbamQnY#k;z>r>Xw&LnQ6K4m0 z7HGB%=^oGT#i@6i9ITD62R^q1PJ=yYhzYh{dibzP$FdwJ@JKe zW%Xh{-wIY2Pxe@%SZSaf_{n^7Y{nxuWx;dkJA`;;#fE4jmNw@FUpT!%FXnuDpm|~* zrO?z_o&rO!1vq?F)xCvnbkb>|jOt}I=DJ~B(^v~!;*|k{?2$nni+6~0aA4%5OhJrX zMKnGZ`lh}*=}ik5YxZ?#C?R(YVGZ4C%!#4pd4C9f;cO`w%lz>`6KAFG3F)=BMO}1A zpF8ee%(<6!xm$%*re7+oC8fOr&Hcd=X70M&V?(X?WRUPB8c9|Kv3_vkgh%!YxoNAQ z`OgPrR9K1nT39nVBiH3_9pZ(3{hEIe_p16?k;BPWi&mdvhdQXW+3X9r1AW?_=g|Kc9zOsT};vVO%V!iXX9{7w5G*O4g z1e#vr9%mvJ3w-_=kgWKTm@XS=vZk@n#L>c$L94d~KH2n_@X5NBf@BkPf_yRX$$}CZ z>RJ_+5NV#vpsD5VIF{1O>g$sO=QuCZ3+L5BEgbW5)+ms;_rf{ucNi?0Th5&LY*9m} zjh}_ysD9HH;ZMz;S+-olp+ln0_Yzi|Z|cR@oJ=!xdY&sQ=-Hxf4dv=D0}|8XsxUSf zX>QGmeh%x#tkPwqm-8;(lT}6R>;9!JG)AzGRWU~5=@#b%nX$7~FZQKxcrvnl7%hzZ zJ+}}0mzSn4nev(%=U!^GY#?x|B|tBHhOTDZLZjK$SZoRRQh&uSW4=Fd9?ZQ|%A8YY zU^2(LV6e2&a_02m)M;zRTpMb+cuuWsnO$jyu4epPrn0GNwU=@%=G>D5=NOA#IJbQV zRwSp+(CK+Na$Z059Zt%+{Zq5&%rJ+%B#AcPOXzLiA-DNnG&Xd4{=aOff-Ne}o4+Fy zk!ijidJ}OTB=aP8m|JZAKi5Y2y~v!4){LJHq32Z0`L;0Q6KNunEv%ku-g!59!zeI1 zFc<^Gs4*lOk&roYpFuZPDKO1C^kg4E4yPYH-$Y}woi3kM2?4+R=w^4&OduNOgEzkL zuYYg9B_76epj-y(k5?nA)UVc}Lwor1B=z0!d?x|?Wg)yhj!2U>S!cf&8jMe~zTiU3 z1#6$2Uhswcdb-W$2ZV+u-!e_(%u7u%_65D)-SvsLE69hYhK2c+I>l1rk#dd0O7sUE z&i||Xp(+W^Pi0BKXI=8CVRAgD6{hmpfFxl)K-Q%nbuoP%N-nGoxc!pojfw!e)DONA zW0rUx!I1njwT6}EX+kW-e+td&H4Wm7cwo2zeOLm8A5g>zC@mw)P`E_eW)!IjTI!r< z9DpKZ?h)f3ZqVW8a!1iO6M~q~!YtyTaoUX#i__Uc^UeCHG?Pd-rbTk13tS=TIpm^p zu{{9oMK0yO2hk5c_c=4xgRN8K0i-VHYvqp3L{m#K(DZ5#E%&MAlvXpdqh^pVaC5n= zxv8)6?^G#WQJYxlik$^0-}us!4sVuM6`fXV0HyW%5(-V#Wubra^x`fKUXpPbZk;Iequ)B|$K`t*b6xh}W#r}i0&xIv;-MY2CS$@)_h425L<&4sND zvrY~`S>{`1{Y_2J0al887b+U9ZQDF@i`A|(il(noVwL%mU71m?`;p|qqR|=yT88G( zzhrAr1Qh5dFp4*7de*IM$Y8XXh?zbP=o^5;!1|excltOWJ{!>wp4QUG+vaq86nfZ= z^Z?Y)P`r_x%!)Ag1e(5jqu>SUap6B&o2G4yM?)*T`xEZC!52^PHd?$5rbO6#jIeqB zPPsooe=LSbEtH^3ky%(j@%cVzRBqT2d~QrP%Z(7M*NnGm^{fYz=1O7)d3GUlx4!b} zB(9>YX{6N{x}h2L<_+z&HxCY=9T*4r#*H0T;NbiC>N=icQM#I>SfdYD^xQcu;SWm~ z6*XFXmkOwr0-yzg+vYViTLMlC=S$1(;7#Y`_a zO{*+J4Au~P@Z$;7*Y5@26Ni8=;)J=F-FqD`ZCZN zz9EIDM?WEGUpmt=XHl^;)Ry4%Xzf>BS8Qlzv~cZ{Kv)r^bMtu@0IJ= zVohAv-ZP$dSqdDX4y=uV7~_nP>EnR*612mu3h)A7tg7-TO6+UIbsutN$$|b-(A{ek z>5cmox;gdnb{KwY96oSDx4rQu0E7y+i--%JSVaL(|DyL1wM;-UlY=KpNVr~3H`C$m zDB?S%#__fToN69;^X*{44vjE?tSdM7gWwBy?bNl_--!?G zk7Yh^#|}HtTI~T8Z$SMF5!N;U=>jz7lc9~x6zzHG|<8c zwRM3KG(V?5K>x?-rWu_mv}<%}AqDmlqfGH@dZ2@dYX6lMtx8IOgN~#=L;pE_fMS*a zQhpX-ZH+)r5|l&`4xoWuAvCKCfI>3W4s!sC{wq+FK&C5Lx~2Qa=*=wUH}oGqU=5b9 zk0k#<_ov(V9Eqf;akx#pJc2}s*RRPJVgSu>7*p2_`W5ikmktm*Zs>MakO%VOZqsDe zNt2T^WV7VIT z9>f&rNiGeSBIbyHU;RUb4~eGGPcO6xZA%Fac+iq zePcJ3XDQ+hm;39dq8kaZN6N327cuqJL;Q!Tx@r zH+3b$8!qrgiOTLkyS>GL!gUY2jaL7o@5?A+ycGT5Il-YgH4y0OT@-O!jozjnH(v`5 zuO2J3g%}=6DKh)U5GjiJ5j?RX*Q{VWLV%*|XB65P)sOV&;?WkA2kbWil z!PD20TN48G;%?mV_6|V@lNLH#x=)??p4qIM|6{G+-~8Wey1^!34@eWrd|BBxG-Fe0 zgZ;_rvvORZxBo|a!;?=_M-1^c{PQ$yZYau%na9`X|Do=ewxMyGsU1;cJ@nEh9Zoih zQcmmq3EjE_=s?=9!#B6&dYJxp-SE}Jw9EB2Zf%J;t69mw`a9E(#lZNYEZLN9Jh67e z`iOq*hPE>QO5<)bix%PHp5FB%%I9MgDUJ=0I`Z`|{`ImBWme+@xnU=iMD?hKjU53! zooK@B+;$@|+ee=s!j> zz>kf}0QG%yxZ|FioXmD@rXdgWJnCTEnD)R&>mdbn^j5m@e{;I|AJA(=bMNV*puw2~ zNdMdE$+SS%ryHmr>s*@<4Z~CgqH8`FnQxV5oq@$oq=y(^EuEYXq$I=__-gYJ%@}Ae0y0z*SxMSqOgvo=?Blj zobLg@mFON$eAg0rCy-s3{l@+>;Z)>590^g10IW5{YUvLQnz2QQBv-UsG z!JEJKwmyArZ=~@mbmQsMc>2o8+;+UY1JB2>G29DM2>|_~uZM8(y|qs50@nkxS{1HG z*g=O@i<8O|gbpo_lxLy!^jKGP#DT6|ZFpS{Qr=Ukw7(#`n+Z{TZg z2%&rf2FeSG3!b_|3$0w+p|!cV!kBSiNjFOjKii4x{q!HM57N82BHo}{gHX8sfo_%z z(*1x87bq;2(+VhT9O%=887)?Z18rUx_JX4Y4QOp#0DMsv1NFO~v`3A;kA;SHSD^K) zv2GxQakwE}S*EGIAynM&5^gM)qPnKd+GKFi)d3hmFnJ&|LLpF4X!TEYBMs*~E8rbG zs!4qH9@;T0z?<&!y5IF(S0+zL>wh|Q2|-_TD9)My?fhh?meSuO)U04Xa_4kHikwIO zHAVQe2B5Pw+dKle!vfYys>NkpTol_8N)&poz!$Wh&JAHmm^!am# zo*?LF8&RA;ZG2B`q`gbfHM_Ks237%CZN)KA z^*c-pUbw-*Yy~HoTU>4sEyIFrobr1j$-W@1B?X`F3u?O(fgT~~0U)hu5yQiXZ;Us_ zR6Gh{G=@VHZ-f9j|0~a#6;o&>#vka0bVDmPj|`a?5%k$^3b!20zFJLkt?Tsw3&D+& z1Qtb^ur5))K?Y?#ljEp_f-CQ2;XTLf4}O0nu4oY;-@vcw{b%Beu|h7D;fKJwmAfQJ zyJbM!g8^Fb1WWkme|XbfFf+2Xgj$j1flT;vfyw@JDZf5RQrd1B$Pa04hYS$#0qVz3 z(P{%~B{XOk5EQy+chKNQig=x9>dgu|frRstKv2?PwjiMsw3xsjwro1Ve+|vh>1(fo z-$L|*ryrGmr-XKQH(fw8M$q3obQ?i0>Y|YT6Z8f@=Q}i>dIH)Vg~M5n_S1r5ry0i> z{BUjqp4&R~0-@c;p%?ave#Fs!gqWgrv<_un3+-+S4d`fxJ|^fWhdwUo`ZWp}asP#W zSQB{jd29tjm^6sPDPdmh&{YKmU&|r0CST}vd6E;U z;rmoyB-H>thAfR^0b10Z&Mi+%0t<-2oz7L@#i0*&(?WA>x3;6qT1taW0FYktiw`~RWttYHtg6nYL z3!N?2sI%c#+QfD!(qNpV#rSTzHJ3K9iP>$GW_ZU5sdo&e8lJayQx9(#O~TVglkldc z#HEfF=S;!%>@M1$QUbKG?C3Arj(%}Fdd|`VtZoJR!PB>vHX{V+65#+$h#c<;Mq$JzKO)*>TWFHb7w(&sljJl+@_wpTN!4Zd>l(<)OI?C z(4Uco=2@o~x|RyQ0~uME-S{G398WiTX&5uM{v%wVrJ)})Mo7P+duGd^Rzx1mTKAn5-X3dw-N)liJ=0l^rqp|S4;pF7eG z+Or((rv>FX0J!5G-q!JViXk+;DBY|T*vw88F`!%MrNjEQ~b4Y%+NYA$W zxT96nb2w#?`65@~hK`XldYMsCKWI<&>IZfQf4ZNDXEhXENm<`J8L&2+%$`-Q_`@`bIhCHZ64nlplAv9AVnRv2Gy-oMv81EAxJ z&294wNc&d*t|V#f+vYQt@9a8bfjl&vW>|(&-qB)by_6-fjSk7i35W5{e*05K^~$#` zqpWAppBeY&GHM{>2j{soURhG!mr>KtSNDl}o1DHeZ&+%xO-?^w^vA*9>tV*0)xFj& zb!|$ih;}ggRNTr13IsRCDG-}S>9+N-D~cbi6oc#c@Kd*t;rH9rON8Io#Pz7!Ra?v7 z5Lbl9yDnz^@D6wJJGhA7VJ?2Un{ayK*PrmdNzy>KSV_$PpwE{`w?OBo+sX+D3KW_* z^dCYyu(iktZC2m_i$mPzvqQmn*@(SM=AF%zIn~3Y(OSL_xwS+VvWRJ!ByD*A7(XdlCM>zX62wt{0Fcf=p- z2N53D)UxdXg)V_&gx6@XiWn$Hmkib2oR}9g^hKdX$cAd2<42cuX9hH4v|4*%4}qa5 z8zBRy7G%YZ@){_YnD~eL4!SK)8wkw{^;3Q7Y#w64z98{@QaP6#op-ucpXvP8M1yIX>;BbbZyL4$mqxLpCrwiga4@DHI)mNJbbTutKm6j2p zzI_A)G>g&?o{x03ino#CI?d#n+kfRLpK-n9EZjg#o+sKq5X}c{e+;GI25NgK(dp&DBg4zB--qp z7Caa-O{0#3CjB@ zp)bVk7C~2Yv=0cnwnLTgQVxAuXqR>9lY%Z%qX-GNKfjbn1cw_+4W`1|zV3}nM9{-+ zf6@%%*Z)-*%Hed8ZWkx>uLSk;@4puG6OL9<&jIBnB(uH~SLAG{dEj zgm~3)q93H&aOl%P-oMfhrv}~-3(LJeFLQeVR8yl=9V{;C`#}9vbY=1B*J-XUDDsh6 z|0;ss?oh1SL)iB@bWK71YLgELYV{C&ZY`*vd0t*n$ZImR^0Kz4PrtCCg-Nflg3r>; z`UQHTpr3Il%1F>!8iN)qbb#L5O(CF=45(QNP|P<0wH6c5ag_W(?VH}PhlS|}&+EH< z@us%ZOFL>aqKBU=9Ea@$^{uO&1+`ro@VTp?r#TLL2zpW%h4ib@8~mUy;`jh<#}HT- z?HB^vVjN+C&HPww$CtlvCl|QdnrFOiD5&K^psNY`=dSeu)fv}I8_}nTf>Q^y7&*Yx z_7LK27rOEEx#eww`d-p#LD9l8zF6fA4qjee*XPT1eA585KF^O4)Yl9ex655rm(yCHRu0ZIpI%dM%=TUh7NedFXU!lWi@+Hrb9uvmT~zoE~;|G}#xPzD(7& zvTwy}`Fn%2$>zFc4dMyDzRk6jpf>6Qn&uqvNum9f3r%YVU)paZwEi57O$4=ZE`;_W zL2XP7sE$Yd+J!cKEj;kmHB|knr6)QWn%nDI&s$p=p}kk)=JlYp-n43Il*U*O1x}a& zw-#yh4EVef+RUOwE%H3c3Az8%l^5vTbOWWqA&CXhnLXAH8E_95d0_{7s*!KCjU*Si zdP|+zW808uIgxBQ$O}7Y$Ku(N=Jc_6*aFH1tWU!KchSxNfTG3)ijfRMQMUr!!lCHf zL|Pq(LH%hQZWUS|8v2glfc>*Zt7Yn|$P{N{Iwb2=%C<%bx>}b)G0E%6}#^L>f z{>h;je+MV5WiVPT?Xf$~P>e8x7Aq$V#h40EglH(_1q!ViIz`a=9ZFzL>tp;fp(tsr zRRMlu=>~cgxfhP{KE|^F*mkVb?a*m?pQMKdB`V^ zAc6~gAtwMGMK|*Xincw_cBX6goS8!!52u+#$h#hyENs&6%hui6epXlKh5b3e`en%G z+2S23e^?ICGlQGwkb9Bg3lE9cWQbn9f!a)b~5vnLO52JM+kT zXzgKm+5>tY$n$=5ZPuJWg`7INHXP(dD-QF$XqA1IZZ1=So+#*N9I8Dwn*#&wP|Tcj z`;z6EwIo9t>zuw{4}w-JT>S{tK*}BK$AO=YP+#C`J)Qseb2K_;>{lydy#hE57kPCg z*^iiwmRPj&vYeXjJTmK{wTC&)9&|2n#?-ORbAh^|WLmS~D0gRUn_&9nMa|o`33xQN zKkNM7Lhf|vtW$H4NCo%7Xk#8z zPstNpUl&(C2?ktorhn=AeU~Qt7wLJZb3LSR^?nZ!S52`;NS3Lm=Mgn$O;0^qHu z0jYyE9{6?;o_f~L6ZCFp?~D~!U6pt&QF7@9KbwfFo&@wHy-(d0C+L{##^M(RBJRuR zHdj3-NL{a}U7VQ;h&=cWu3{p_}tGP^1N(&yXv}9(vi8 z>LJh~zDx^LYo+-ds%u*O3L31d0f)CZT7=SHz6&`HF9?Ul9Ew$>;ER};yxO9|T2n(` z5!wYEsx3FHRyEpZg%)*#q1ujP+kj?pW2FydV7{6*OArF7+8hDh49^?^$-hrG()%vA z3Sdo2c%b(>bX7qoIdmmK|K`vY1bx(@%L)3VL-p&oPdoH2Li?OU-z?~h4qZ^tR~$O8 zpszc0EYd=W^)l8)eYl z38=43GSoSsMXg}yB7*XGfy%(E=<;*_;1g$q;kh5(%mHuTBYt>(17ID49Npicx_jx{ z4n0gb7+=V7M4J}1HfLs9z;1ExOKE{t1U>4s#yMH`we!Qs*x`!ymW{>0A5i23Si~cA zo1Ya4g)4HJ`9bSLQTREi4o9W+k@ zw#CT#S@n(5#!3#~Vua3+3dak@E~HVTRU2qq)UQj~R%owq zw3`dsOzZdc>)!Vhx*TA``_LO=e6d46EPU64Q(Y>9_7tmsko$L61RQRs$q9!-9@<=%a!z=g`LmeV;?G6Lb}a>d9{Ja_C=$b}@(kOVFhq`VT=D zcBrnA_p9L*-PO_R>1(~Ld)HO=&0k9EWkr6o3>eoz%3I9<%SX)s)W&syV!R0GvUHp6 zJSON$4xJ?E8V;Q(=sO&WJ#pZR^4<8}BV=Lof}Twv5nU$YykICNn@zCl~Ljv`ZnREFU@j^==LTiq;QMw0rm;(DekhJd~q%b!m|==Aj=vvChJBEY8XWihV4G zjuG@4hsHh`e4?dM^p_4rtq)qXrhF$ zV{t%VcIbS9VwBBjbtIdb)fo!CfdhdVsH*38e^=2#ix3iK3#CFgxGOM0(GZ3)b z<0x!Ao5$K7a*~Y}d41izj-bAL*ig`3YIJQs+~z_<83oC#jKUk%e&KnwqtzOHf3(qxz#? z-W-Fofr@(C_=#`Beye73~nBZu)5Dw=!bO%9y=TKdH z-|Cmst<~@Fv0td)g);3e24oTx{6qzADuzJ5Pm%0H3jv(x`DLid>>Lc{6f-Z8}Sy5u_Lu>BcstmrpGe$W0KJQpTuX3SjJIc$eV@&5c zT8*1;1vU4CKP91^>NxaT{z7M(7R~LbUitxQQGRZ`Z`)}O&G!S8uRq6L)57--bk^Rt zUbT17+2!M(X|X*0;MuGl-)C5z=s@dJ zNb|hU-P&iElh;2$R~v}VTK%%^T+y{jXh+K^KjOWu=*;IK?FqN*OIVYp9pq~5A6uOT zR7V=x^(Cw`zi#CMv9or43C{t}to0?Rokr3Pi$!_K|A6ZG!S8k`YJJeY&!MQZfnrR^ zIH3Im6s;^n(dLXC6!kLTjA78CbrCtN>(E<-7UvTit#a_OKr0Y@ZFLA@jMX7P(MB>3 zm}dcs+fb~c17f8o-rhtvR%KYb1Smqma{;>fAKq}``2z7b6rrJXzSyDdnmyJ~Tc&bZ zdLdZ|tfVbdx%8a%*6dB8{9CW>pj2YpMS3B316>qgo%@0QOVDK<`k0_AIdqbs7}Ylp zm`MO%^q~xG*T8WuY4yu`_^DXQSDcmnNYMQqdbpsd&CH@&`(;i3LbS8D(@v{j)(*x% zP#U4OHrqK@P>iM+in&$L?&;9!*Y7&iv;tqhI{LImbZIJtQ4af26dikQ*UPbXtfdQG zZAUkr9vv-ct4Y?5wc?>G9qIKtYcDn+eKZ8gbS z(G@jlGn;l+6`i%3WG$iZVA@fg-QI}Kx|-}|UT=(~yr03-Swys2Ob=+gAZMY~V(3t; zT16;2D>r;&f$_7oNLLuqdcCVNpy-nV9oWibaKK93!R8CC>x$>;pC1~kJY?gDkXL7H zuI%a;sFwCNCjnIJO+N#zL-QQoD>5`&s<+9lgx0UC*ho-s)oSa_mO8;nwuNvw$D!>y zQnrp(zpRJWepwG%R=4E?>%+JE+I8lvmA3q4Jq*|SZLoV1L$MwlZI_|y`3!};b9Nu6 zxxN@~YYcsVsJZ2+{^*xn@)evr`0c)xXt?Qr99KM!oGhoMtTQ+)Mu=q z!)@Jms|U7{>CbP?y=LFL)!f~manI(SuXY7A*0QVwPBVe5b*8HGN zgKy5*7io^8;Y+ywTU>G8sGa4h=YV326Mi3oW6c$uR}jwXdN@eBuKGn9bv?Rv1$+3_ zugbhmJnU3bNP-(E$iGWJc9N-nx#U024^BCNAE5Aqubo)@4x(u1uHa}g<{aU0jB`~P zUlY#$yC&r~SbpgI>eqVI?~k49=S8YKO-!mbP4X0*{nF$xXA5efnos=Km-IZ~zViv& zyyh+C*mpiTgru;1a%hoHyo?7Iu6^4&IGZ&eCVn+1_)sU%DP^s%r=s!ydbYpS7oBqS^Kj?kxuJ~G+xo%YWea5+N zEw1+q=jWX(tQFzw_kymZ|HgS~`k%XA?Oc~ET(7BJVU6&E@2latA%(y;inn_N-Hb4{ z*P5Q*5bJsAHuP0Nu};!ZttZ~-P;L7m45L+a0f%Z!caa*^^tp`Q;9JwDO}$=H52=RJ zw~}&9J-pI_c?SGK_77F+XQ{kp`!(z?9dejeb}KR1%1S! z%M1FLLzflw35PB%=sz5~q@YhZba6qSap+=#KI_m$1byD23k&*!Ll+YC-ws_s(3c%L zpP;WgbRI$f#j-VZC5m?G2D zN8C?mGMHpDP6nj)g7kx@pF7Z<3zJDgmJBqb=*IIdhiYx?*ZSzV+$2Z)HsRn;n9-F* z_c>bS>p83<9C{gL)zG|T&8s-{+!?Qj=2Jp85*e;_@?t+TwByIu-!JHuj&?Ia{rKb< zLH&v)U5DpaLMeK=CYYC&k5h-yC`gZ zA9{m!E^z2JLTfPw?FR*I{tk$(j05d(G;f-d3Ervz=5!S5S?OlaTYI6N)r z1`gG)x-?6+sH4?yy}Z+*Hw)jT9I9V{@f^@jgQb!e`Gr+e2B^{b9Qv}L3po_0On}2; z4#h|YP>e%Z&G3Yv%R3Zj(1CWeLmw0rwY710K+x44dbglx8yGFxBjCH9LvI$;PV+#F zaheBcH*~ZZqXTMZl7JRxk^mj+Xs;3!wW`T*g`lXH4Mm*|4k)t?MR^Vs>x&Kjt)M7> z3`N-lTAUST=xKuP;n0%={ft9T6!h~B{h6TqIP^F{zwFSX1^uc+e<tj8%*2j97G1hmX)ZOjq7q?d0(XZh#`i0UG_0Y4e{nmPDM{n64hRf)!+V3M` z$8*7$>ox%Xbf`^$rymQ_ahT?j5x?S2M{>|sG`=f?H{Cdk%}^c5d6irYonK_|qe;4A zA1ytjMJo_|y=1z}p_kDarM=qm-BkG6SOr4S@g0w9-`|hqC~6}O;P46Idx8s1SIf6) z!KvA%1?yo>&KD@BR+WHfq#$g`f2ei2k z@beeCLffzT*PQ^qX3*NHnZpDZ3mqf%BmY_l_;OuKdtZxeMKM4uY>X6d7$3mXMsM+^ zf>|!?o7RV>GWgaC)-OWB)+SjGtxd8XTAO4&__9w+uC|j@Bww!wYNd^eHd6vwx@ z4X$=J*gZAvllc`(YCHYusd-D)-lDfu%@@smGC%$>FKGq3^C_==GT+)(olS6>)O7Rc z#-jB& z5??-OYBq;%PyRJ+=OnFg{K1|k@Bp$-0?kZ zEu;L1z!t(`g3DQ2L;5nRc}zh$_}Pc;h3|dzw0g5$G0M8o+NoVJ%JqD^Vw6`Tv@1qg z5ABLk*2BzNG5Q87vzL={8~p(;wSb~mfF3;N$PGn19VpsJhW@!9v}loo1KQWd0pol? zZ4D=6Ko0^aT6#u{J}FT2at%cf7${mThGG@y)M>tBgSC?`TrWZ8oH36&p8ym zP=sc+Dc)Wo8t}BXJ{Wz?LA4+cdrpqly3VMJ;4-*tEBFkA*1f9>J zZP~~AMQ;YB(O9RQ*7{oOgFzrCp-q6fDOid1LV=;N}@N21bm5hx)Kvz0<;8E>&+qed36+MU2&r(xYsGQ(XT`Ae7 zMVl6|9i63pmHwOUw7YcJIy#hhprt!q9@6=4KeIiC=s-Ks(dvwOe^y7gX~CLo%c!=D zYRf1qmHS=?(!751URN45uV~bDV!o7HOUg69sz=Md=Cyz`w(OhW;sOjJuO8DF z`?#$p99m6sxikHmoa|C)l<3#5Ce*cR&6fI*<1kt{_|@VvGv(3E$V=6CEffAE1g^PeVo3_$<`)WzpYL7WoPZa zI@V5GPO=^@b|rZ8x=dX&V(TAJa%mamORlY?Jo95g+FEHY`&yf9Z4wrxBZjR_vOV~A zl(zfY_9SbkZBMcun#)vQDr;HYwkP|_S#2MOwKFs8Zk^pZ)3r-_-G7W)WG88X44i}Z zSer*troW%%d+s`B(>zM$M+CGE@Eo-7f4kFJyZ?=?c?+?J2~IoB`;v6Vv6nJcY5l(2 zaT3~i7n-I;Yf+pgUJp8M5>5J@yYCZpxa_D@E19tt)sFPColXBpZ>!1PG>P3b z^H7;L7d@xcWgigSSkI&=>gR(9urHAw^NT zcSsC`tFAw&UBLiS=@)xnB)|4W;swbCet?1;-$yoCe8y_J?FZ=$f~aX`@#BU;{P;;t6wKlSN5y%)Gx|ncag>OjU*>z1qwkPrQ71E9MzRI zr|GG(sw;o#SzW&&F;Q3kp07%?m$=d+lLoGk1}LOyEd*L}sT#{G_ij%!`*P#wAP9CU=m zuPfKp6;F{YrpYCVA0)Kz^x;iM9(|utPYS!-$ zI$H2Mhw2$GmpN2r@L&47O;R4;Y33M1hFb--Q3#-VLO_3H@aN3v7Z00H6KIaH%_2hv zotd!t3ZS}6!0)Zs?;!dZs|?Md^}<)bVu)R$rZemyg%X=jl)1#w>a4f_ilKf<(d$fO zVb+Hj>sKN#c6@a{-t*P3BifmP;IN~}Yr7?Y>gh?%Y25rJMLT5<96m05o3+!NnyWkO zyGN|=*Dh|F-_CaE$0W37OI^+Jy;u0!NI&G&@&D#nG>6ul#;dr{?vv2G4Ep6qpXc$7 zHF$28VMWLHZ^C!H)5AF7aH>P~#MNd^j&yt<7QPl5oVTGL)Ghw2i+7XafckGd-YMvN zsrzH4=U)VE{`%yaj#j@CxuHX^6Au2njrR)L{9VlVI1bkftv_o)zhBuLw|6@ZHwcH7 z9jd1_G{@~-j`l|3@HU6)cP5+T)_e+2GY79p{XXbu7n**lvN;yb-*{~PvSzak>$%Ww zkr=P&(8+@OZ)oZ_Ki74%w+gNQTISybZH`;>Nmk7qHgTa%5WZeJnnIq#!$Rx7&H0F+ z8#%szY?N2OQM#<7)$e$|-=X>~QLjnW!_tmczcAXI3r9LyJ!Qjlcv9r`UnBjepw0PW zNyk^e1nR9s(|9pQ`%Isqc`H%-^X%`mCUfJM<|*mvQLhg5nG>`(tpmuf_;)eD#WI$WSIG~*a6en64ih39* zS}lg6MFSLV14B`h1Kq`;rwcmHq2mSpx1J&H^`RZ7OjbMOQOBi29>By50O-BU!v+}7qg}m1LUJ2Jc zmU+8NHys7+&sb)2$>md1&kgYDrl^-pS2Im;l5I>nhMv(Hvid?t7q4-s(%J|Se5%&3 zbhJ7e>c?p}>N7N7x2Qc#aD2xKhpQZ_^_z`$K(gJ0w%MY*^=%=vy_64V8-l-$UExj9 zUP@XmHCpRytq-=-S|8iN7}5pw(UyIzhuN|0dzYkfe_BDly`!^;z04PCOYA0BUua#} z+~)H0g?fg=&5azI>$m>Yyy)Fo&ATLpf*j!MES;6}byjmrOl!zy$(rl==DOQk-zFp# zBx`PoHP^>B&j6o03kM%JZQ=R2X^H52fI1uHTMF9WZ2sEo%xsx=BsIOIt}S}-?RBgQ ziDlpN!oh2&Ipwt#48&`F4GFD3ZSZCezIp)l!?K%+F!@$;oV6_0o?a{)qFC+j3f}5I? z(tiWGkf5(PbU{I1?4t1bD!rlC*t{CnM$$p6bHcxK9CXg7U2V*oY*!nz9@^E$tcP~B zF}DrcR%YAEL`|b@<#x3(+k@{(XbZYsZOqzf?tS?+vh8YPE@5>g^}t%0$eS-w%WfWe z;y<@6@sGfE)hg+sU2V*kfgS{`q+KP>dT3XPvmRC?o3K)2vRFyGx}UYv?#f_2V3ny^ z3D)(YESWL8GR~!tP@^@kWAZCsbhf&AJ*{8axe&zyeEpoe&RqFf#CF9#r$MVT)l&-N%lzgy9br|;d46?As4d3_&U zcZ)XLS@RCB=2EVCzg{zk!QLabnxxy!ZmdH0vHBSW9YygY7)z4R*pAXx(Dd&rvUPX} zMeB>^QHeH%IB(9(6j}kL1zP$?EXt?F^pCY|EPQ>rGh;%-s$|@Ykgd-}PZ}DlZJxiE zg0;nPJ?y{i`bPS1-wl8Z{E%bq2dDenw@37w3hMep7dIdifeY@hk{IBO z^$hTK5CrM^{h>(ny0{+ZIP2G9)YXTpUo}xzA8!6t6EKAqc%&avwOZ(13*RO6nJws- zW;C_=n**BKnkMSX-@Q@Sul36o{5KsA5x<(+{`)ujalGzpCe>`xvVX*4{%bcBC8HX^wGoYOd<6M0d;j80$Ia&F78d zR8_MxtnC5IUprKHpRV9S)6>mIIdrn<*UqUx+&(RQZCn!Qu7Wo2yD+{8U?=*)>LY(D zvYzei&;8YtgV&)7gyRMdw^NYd@K%TFxypVeuAUtHc1L?hpB$QZ&MoISJSrTTW8u$e z*Av#8Pn~X#Mf1tx%QzVx7a5w*!}e#i>*?|S)M-7px;bwCjP`pZw56O3dTw=d-255s zdU|~GO7iBo`7_$}^!R2DUXyw*c=HL>&9P|S7vN8*eqQw8cMB{`h6&sBJ&46A_W|{N zo96lR>8E|8gx1Tb;tE3R$4T@ohh9$5*o5MRxLMhUx19vFG8L$<%JXaWJ}9(Tbjv8v z>Ulm^I|0?&shO{pMxfQw$o5PE)mmh{3++AR0@uN8Re)dLeaGe$YFjIuTl!OXx2+Yn z-?p{Fw$rv&xNX#2qqnUU*3O*VTA}7k($lYeKTCVJ&1I_9yx`FGdAUE*_IX(kZJ(F* zpzYtb&)Zi?>w9Y2b8q{+th2Vy%Wb)t*_ZB5AAKNwJsX1_Xm61@{C@!e00960cmZ^j zziSjh6vtmKcbb?>F3IKR#UF=7(g;dWun=KycTR`fU#@eJL~y`Dh@=tG!YEM?Y%FYq z6jqX0X;87Vu`v)qto#dX?G!;s;rq_co_7~LcsqOZKJU$Y-#6^=KH}d1+a#Nkflh?> zgzqeBl?b83$P@QI&2H}pMkmaZ=nJnEwtw(K`SPN=-1zy?WTtf+lH+yGhBO3ck8~Ve z5{Z^!J<@>_>yzd%?VfLjjYm7b-TpN4LyuVzf3!0`EwelHR^|CdUmAKp4K46LbZD#P zfHH0AgN7-lB!R&@n7|30b0XQg`s36gVeb-Dcm7!i<4zn+8Q)$cTZJK7XIj z(E^w2*Q?Pp646R!ELK@qDan z%|r?>TOj$X*Eg?J=o%O{@Lg=wRAx2+r)k-O5HJH}*qv~y#br(4aOT>RP11FnY_KgE zYC606t2#<6ysOxt8jcF2n?$Wr2I)5T*>E-B=k5jH{uVJ#WlKPB5UGgDsrl*m0WFn` zl*p5v)9D|fyuFTD%lw^@Va%$;QSw)sBK6wSvXL;?nQFL&V7w@Zk!%J-dz|%p%UL#! LqR2l000960zHe5h diff --git a/shifter_8b/db/shifter_8b.cmp2.ddb b/shifter_8b/db/shifter_8b.cmp2.ddb index d1cbd3a6092c7307374cbeb66d9b37184a082b5b..d56bdc082c3c889b54c84dafba56cf195ca9c09e 100644 GIT binary patch literal 40060 zcmYIuWl&sA(>5-_B_y~zgy4%U?y@++LvVKpt^tC(!{Tnioj`)S2X_{iWpVqspZCXC zr{?t3sh+8tIXyjBU)?xxaB$Ta@Nf=qkLX)p+uX&~+R=eZ;L~R+33qEdGb%1_UaHT0 z9Gv_dT%SJ+P-&REcvzd7Q>mJm+EQ^*X(&+Xn17>^HnVnn8z!8D^fm_^960lT0xl2n z|DN!tl}sf$t)Nq7xH}BHl?-(4$9eli(t2Mi0Fk zc^5?`{<|?Ug6XR^k!B(ip4q%Ro{k|wUib3Vh6coA{{C_Nxb15FGh%J``T7~q&m||) z&@e|`VLbHZ*&BV@?PTCiL~jy~bg!ji<`b4SS4j`UE5COxK9%V^*E^}c`@eAANWHec zWs7EHs#_H=EgdL2g|L#*J}<9DC#CkBEH|Oo!N3Jrz!&Pv7Z}d8Rj{YOHv%`UiHK?z={j$$PyndI<6&aE+(lGV;PsH{LObC4IsP%xdI!;`=eD zq(h$Fo2*XRh9)nK>At)i;nT`hujS{2qW>yCc{ccy0nS-O$*Zc=fEzkni|X|_mi@=Qd!lh}(7ZfgMsFL0?jDE0m%vYLx#8#7U5;8^&95t= z%Gh)Zz6}ULBM&WG2z7jY%&W0E-_ZS6`ou?^WZHyndtQr7Es!xkXtP!l$o3j$AYWaU zSCfh%U4&uOcQy*p!zk(T*nFk`lUvM{nRc|{p&v`hbvxv@NGxh|M(v6t;`DSOAUh^t z_F8x&x;^AR&;oSZ2-&FHc#(~zgocI0W%}Ip4n|Xcw%jPh7TO-VEW{=iglW|pY_@oF-u97~EOmwr3cED5QBtjB$;`tG6 z8n_uz17xw)>??CJ&Rf(xhle}ev~Jhk*Rt+=XE@|UW`|lJbm49ov3V2`FoYBlyweeH z5*mdv-N7iy!oF69fxaLZA6_?s^&sF~hXZBXt*f*P) z04_su9x+sI*iVU5?1Vykqzw&XEgopZZ-}#UXRXO9y(+L#Ii-l zIluzrJ;Du{0nYn8uP0+R{?lXD{_AgY;!W;9Ss5+NmaXmo?F$OVO~_qFwJ!cd=Try{ zzsawBvd6>*&5GLd8@JDv=toojdJS@oo9q1%D{v#SUDp(zGMMl+D)a_pP<$yIK}BGg z3c0lm(xq}cf|>hI|6FSxDLw&ZzOTZ2du;TbeOhm87%!r1E1qmxeVkDel2WPwLaY<^ zSa4*k2TIO+Q{(Lk1%ise#~MSM6sP-JEksMgp4DrylA*jgQDGae3G~4_*q`VU7Qj*yW zf3;LnO)En*Us7#M<<4vGhhV*1Qte4K&S!t{e#|LmuGISYgPfuAN&%i+gYt?5TG5UV zEa3rp2A$lmNSZc&U1UWz^Pc1oLNLo~)1fFp4gJuiw!)#=u%xzv$!~9*(Ja<(mw;6? zN_i5bslwwv;hch&bM>VR-YORFcTIWz5p$3z6yD`^IG~i}y$=;HrBYTO5}gh<1TiVM zi1C5WqOyAbnGEGl4$*@3c=!VTM3~XUkW4|)f}QiFf6Tm8^mjnKT4nMRj;P&PzHGH$ zvdZ~3ovqhhb|E&&1T?s2OwoWA3ktRN=9+U){B6?-Tj$$0WxJrKf<9D*joeQ4!wA!uebd+uxHs&-hucgB}hMQA8Pm_0#A4QH_kCkD= zM+|$Kp2CBYu-+XXu+=0E!{L%>`YR{*t37Dn_QM!z&iHWp&V4PYy(0`QZ=d*~G}FF& ztvUVoA-6^WQplF!umfdXUkn%gXX{^=SxNgBB?=;DhbGuJNx&QmVKfw!M2)ZH^fVu2 zd;|sr8V6k=r`IoE?FUk`9Qe9LUKm_W+FX8vO#}ZB^oj>)1^0~ZA>-bo{J547uE4-c z-ki~WdfG2qCrkcdRYBaf01Kx6Dfg2H@=c-}U z9nfB}@0wlKw{I~mrJDpNUUfDZF9FV*`cqfz+Xs!Z&fBj%{Hjz7OeFlUS>rjAP#Eg? z7dO7j2kEJgtZbWiL?6$-<}|OGq$zu@c@L5gpteUlzRW1Mti!uGXcF?2o8(~ea}n5h z2rfISjMRIUnrM7PuTC&<&7L%dN9_L{%?{h$bBzUP>Zm&KbXQgvt=>|_)c9SSlmaia zJI)h!!pZZr#-?3w*8+U6MEEuw2FaV}irHLar`{9Fo&);Jj4nIBj3_5DH5m z;%F39DLs*GDfzG`Y}$3jFqXeg?@eSl%{%0!`n`_|6EfE?@oJV!5@M4XRXuuK#jx*1 zFfvw0AefRlgI=gsUEpitn6e6gu60nvFC_Vw`H|Ii4MAFPu4Jm!|3P;>kB!>4E|H2}= zC(K!*bRb9N&TA|5Mf0KWbjls)J3XvlBEy=++c?udHH}Ge_xCq#bU)t8=dZP)7e`99 z3J11J)U%rChWRI*EE%tx?jsY4LX%l&(iNdKC&!_c4}g3P=AmM~*Q_4|4;ot{>@An@ zwV^~CK?j?|v)kObIo={V0)eck1%3;z)WRYq`#k^;6n+}h@3c5ls1;*RVz5hT^o#&o z?|YM6J0Zuu51LnF*i!SXv&W$?@2zz`V`Q!ab$S{Q)d*QZT?!7b*+z9i2iqFxLbN5(2|JtO$7gO}qIq`UE#3B&)d8XWOWofBw?217mVW0^7w%Ufr z|6A?$O?e;sbNK{&8O6ocKdv8KSKzoDBQF@N!9ZJAV&QEEMLt!E=+QUcv8jA9Iz7=s zuZ}0@QcjtQ9GS3inr%q;P=lmviwKsB)nB&ZQjR+vUGM17d!gSK+|( zfh0`G_vPx>ENc(gKQ%x#HNGibOCn&{M)V(ReosYC7fZfRgJelLe!KBf3`M8PlCBHH ziy&VvWoqL=aO>PJd#appSDl_m4UjLI(#_7}w)UhWp;$(f9x>R*rxfkEK!#VY(H6lY z$OtGkeuh&5%?E=}xBs>Ty)J*phDLr*Y&e+>)d;|GEt>srMK5!4Dp;xuP$v?Soxf{$;wa9+wOAS zK!0b`i?Gt}g683(U(q_yiw-ID8|^+5+*W3$_pxrdG~*fX4t=FFT^L(22;Z)86;&fg zJ9U-~-GPhkoa6bn4>W9Tdi^JY8R;Uy>CAqiOHo}Nttmh~g@h8=G%caE0;Pz00#R_> zf;wjoP+hTFoMe%}P-y)!mc8}~MePuqhsuXFdb>jBCCGcdeSEP(l_N#fVkULm(L*K& zANtM-E`gyM0W}3<-TPyA(aNz1Lg%lj{X)Cw%QH*MJc%H2^DYJE(v1qDsR6$<)B)k@ zUEX0*%z2Esq;lbM?n;U{2o7w?AmC3Pvm<77D7WXbL&s$`-Cuql7qJyK67^o zkCg7o-Id;SW6rO6s>=5s7r&|IfS=_sc-PU^y~8I?eLL7o^oXxr}+7hz%Yh|iCtCpO9zCnQB z&{=bY6V_UDMBN5h-}oBw1w=ioH-Is^#`CY@) z8b<{CgMpt(Yg3%+{69AG9B}SD-F+UeF%>^(iTr^M6p8$a(YkSpR#(^TYKEeCA!t~C z&Tr=gMbfr2{gz-{=Ij0S4LR`9VTKfkuXKH7ui)PENSIlW745sv3@J%*_IzG?V>(+V zKaqvA@yFutGvCDdE%FZ78BlTsb=SD8BPL{oeoQ7`Uwp&IEac~j`WbXZd77mCki%c{ z%OrzZd#KEW#hi`7aHea&qk0|`=ztIf>6rum^9EgQ(69M$If8-5a|V(7J&MbRbM;K> zMIH;h@uG(@sEV{*pQ9cN=EK}&(4oGtf|m4;-+Rs6M?<<51xZgeug7JC+RU0A7}MA+ z5bKuOb6lKe+Q~ci@|TW5oXnM|uz{0ZlD*9nH^jExUiFqq86opiyT;pG(BW-zH5u1r z{Q`rFH#yfK_Z0H&bx8De-$$Lv5i!QPcb5~Tx66YBcE?L?dX)Ur=qCnBFA?j>7sTQ^SIalO1w37AGGLj9QARnEY%_%;u=+*9w)H$4=!Z3XAR^JDn{%>$^DXi|2g( zbXcmTo1pbef4%HmOD895A#7l?6I`oMZ}X(KfT`u5%x__i`J~fs_TtC;N^kSRTmj{b z@iNluo-$AFtNh1~RRt~VoaXSb6hry4eM|aW>=t~aDM7N7N%hFK#Nwdo@%$L0sE}}c z#y>G(xa-Q2KLAfJnJwCS?B|EMHB9CIV+STt^I$vYWV<$4wQ%7ssAw!f(nkL;WyUl$ z65yy`5LV>ItY%;9Ly?j_xGQn(jxNva-IPEe|0m16v^4WbfSN=7K`S1}U`(THtif{I zbnn$h#aiq~Mh%gseFYBjB9hLpxNVW+yCERO2`nSYDV#sa+II|L{d4%lxYLl@ovFG^ z?^39~05~qMB0;@{@0~W=!W_QlyjIBm3GXousjZ;aNWo3;p;S`@9i|YffvG8hF$H_N z58Yzy&oj90%xf!>;9s&bejazDFejr-fFmcpWkT;n=?@6$yR z&Qe0A%-~-q6c$Z5Bl&p+6#*CvAwXo-@fAk?Zf;p;2*ntvxR2uJXS0A+MWYPx?G{VP zAMToB!D+}qX8WsKl2W{y`wf+*h4vsf%vElbXwpIJn^mQfI0WJg!bmPyTR z1i}m!un-z~QYZ-8v_4=Z`$|y|EiL_fSP4oFN9AwW3Q_7uK?N=2gbIM*tlXJ`o1q^Q~L@%6!U}8Vim2(TW zr;$o%FOC4N`BkTlpWmcjpc+*}L{)f+=XJ@&Ynh-WIjLaQGi6EOrC3)`S=th!?p5z` zKR!q6ZLtE|1rDd>NX6yhw=p)h#gNHpI%=FOPxfFnl?`pUxfyI*O(yAq&^Vk))V8QO zCw-h6Ry~GSoiZoo@J1wQq+#v=Dst(9ar+pjP^8E7l)zV)JoejZp5PK)1*FG{KYOtp!hT#&^lFg4qO{5!2M8SHle=EL=zkeN`@_>`Fq z?pTc&^rD>+YMOH_KRCSVeZ+|X++D4<_V%`~qJn-XUo6C|1Va`QDj8(~29*`wN>ld<3=AMWwCa5BQ=MuFIp|oiR6YQp${qWbL|95Sa)4{~9Yz_IG zR@sE)?B9CRBrHxD!(^^5S%LvMrZY4S!m586l|G(^-6w1(d;ILopmM}|W=e=cLm1!% zGyMHRt3v=ml$>;>F-#iV-?SvjEt(Eyr4u6&&t0h3rty2&*BQ5zOi`1l`j?99*G^ba zj5h>D!qO6oiFx~nU%HSe#jpmexY-&MS*O1)9*LYRCv2;V;_6+>A6he5%iV zjy?GD!?>l55^U)X>`A&)0YY&^meTB_*vMK6JNXu~_mV$S0W_kwiXy=_Mu6%nUaP;( zKVt#eDVLY5WLPdO>OtXG^41#A`~aVRXA%L9;}hH+{i`-QCx_iHF+`yK7|O)cD-Fgs z)9~n*(pMR{FQ90CW&W;2%WVY+aM!Gyw3P#cZl)}QVfUrhGlPCRB$3%4-%hr~DI(mY zvl}0qBph$;gWsS$ItHH8UcAg_K3N;;2lN9LY<+=PS}1&E>bWJBel`ZIahGko;Y(m< z*zs*+!LBKeA$ut)MmGJLb%%5>BpS+x+NHn zS2UoK(elCx$Sfk<7-AZ{U+{O@5z#ziH1lI;@0V?!di5_paH~U%&22PbG-sv|OM4ac z4w8F=gTrFC@QK=m8Bq{$HDcgg(X2y%1qU-5l?8R>HEx-FuCWP*qanIg!bVOu9(k%I zsVYEM`~j79VE5^F+o@yggei1jN+?Ip*===*wp`lBs@KfI|2X0kfXxzmvXK}H+u9EU~-xPLa9&fGlLJ6Q#mpV881C3)*_rrbu zE1L_JT27HBFSvR*`~%J%Y3i_qvc4^Vf@+}XueB|j7`wj#S8U+H5v>Sod1JMv6_%IO zLH=Q@(3i{^S$Al`+kKI|YU*}K6M)lY;Mb>EzfFRZfWchgQ8?3R{M&lBZ0f8CcJ$Sn z)(82J`4k_(4;{rNeK0_o7#((j{8L4d?#4SpGR%1o0MVHnNCzKfx3v$FEU|4Cg%aoM zJv_qdVZI8b$ht9h+2wi@j0a;s8KXjZ%SF#`op}^NW3(S2g_Aat$}?!)h~c|{7z2`` zmRoY!9(xjK<*Aln?9dKRTFgRW3kY<#|6A8pU=A)OdV#=*_s!-IP7TG37X^y5B%gTd zEt>nBrBbnYt7FZ*cl*Q3?H?E}4`=ne6|2Qu{79H3XczJ0&hsY^MPUDZW&EynCH%Kx z%7!z6Ka@mlcT1{?VSF>E5Ri+z6(bxp!ZjJ3O9=oK8SkT^a_qROTC8|Cfpoi#%Eh)c z1rZ6%V$^hr?t>Tja3PvBj~X1eywpcBW|~YH)Gpxgc%3MCv3( zaQCk)Wz%KYO)sR=Tu#-b8OA=d&A= z;qYqQOJ2m^4Za&;fVdLwxanM#Y}whRMT$m2N~yPAhHSt~vTZK(yGrboqJrHq$r&Z&0&lYQ*wmO`-P7myBJy&X96;Dy06 zMmEyrAli+g!f7JQ_w{Z&Q}{ot3OW^Dt-5WgQsZUT34EEL)_xbN+yBPI(1}Tf^*N}c zXWfKHJ+fBc8Sl~fJxh8?#Mo@ zo8zk8YR+gpEW+VUvsF7uVHah!PTo%!GajPC>gq)3kVZ z2nADMF@k0YeSu=W4(f7s!A}0AWHw}Dv_b57spph-9SKX3I(QQTh{`9EY-`D8*0Y3c zYrIZp-u3&mTo-&Rap?81497CCbUL?_f+DGx0aIK1x(siXbF-!{eUUBjNG*t^*bp%# zL-*=X$Wlw4*F~(3rr0*5D)|L(#G3XOgZ!a>P(_aI4C&IO4swT-Kj?bE#YV4uOUhg1 z)VlXw@E7=cGj{lOqG!gngej4a{&K$p34!XJ4Jr*L8(X^?2Z`Q4Ub3F ze#WW+NReRT$gbSsfi7=?lWWj4X=)2@j-g`nyjdhV#!)8(F0#9|MOR7aGoIbZd~Js9 z4B=+>GJ?kB+p0cP8R1tMc>p_z{H9`5OaO)^4J#5Q9~4IAN7L_Jn6ToS+YXbd8IIRp zutb#FWo*qLc*ASrwKk5h3|omcb*ME;ioQ0wwZc%%+!lIcUW}2*T-2 z>Oj+<(IWGL@B44)91)T{L4U|t9##xXj%CaaCzu#ShXe=GLi?)X3b1KRXiV0unQfI~ zCp6h7I?!cB^+c!Y`iXylXd$Q*G%JY{Ed&-zAUN^WsEAn0Xto2R>~San$0S4O4ym6!K10OkGc4 zrxj=ly^BA8(02St9myuU!{Nks%`9c9yKFmSb!qlsr;$gz+oZ<`H+XF=C9TD)uV6ep z`8UW?+b&8&S%sIuHR@BiUZ?YrxyY(@Xq3_BQo291a?*!2f1KHPb%yW^*21qXh?guy6i_`%fD6 z&v5l?8}Gu8QbSfhIm#BJ3$+I#3>S&&jg~WpWS8#|KEMeQTRL2disinkTxC9xtPP zsVIU#14Z4QU8{AK*tx3p7udbB7pXkeWBgYGVw60Kcw!bKxi<ck3w|5Q`qWs@VcQ|?&?`XyrP(?l*2ZqEg{sLY=8BYHcrcoM zVr7_gG!bGfcG`bhbk$IA2C2G&dyBn+9T@B;KbpPsV-?=gpB{I7Qp0*XTKYm&9m`shD0Di22Fl>~>wf)Z8E zsl_B;xg+N(ss6auAFN5a)`h{9?)&-;nXd(X{+r+ZZ4?cO>d5>nP%eG?vVq!nGWAKr-Pbb8j(8~_LoBDDXE__cY4+TeCjB%&F-L{ zSKBC^=>n#l?MWOihzLrom~np54{{%+FJcYLs#fF8jn6z8;s`F2$#g}xlI^yW335dfx^U&hn}!qC#i$@;IpRhhm(1Rnn4 zevh4P@=4k7d}CJ%4q`yR8PyF405;eTiA}DDG_ORR@Xtq2dac}w9O`~bsON|VzD34D zyZohdmuxeZH-|==WCGNnYineYq&@?UpM~5M(&BI_XG|*h^Rn%eenB1b0pCG~LzR3z zHw9|=lZc%`G7&v!A|igW(F!9$dYCr4{P^H$i?L=ZU`rCPBM}%L540qp9P3OGENx7l z=uE*K9VdY*A*bT5845Ipv{RDoaRE{^Y1Vv|3KmIknZa)X9QT{kl%B(2+W+;bKWWQ~ zmf-H|s^+mP_-5XANGDky>)z+fW3|w70(g;r$m&Y(3x=K|gt-5M8i%=GVz z5fOLg#H#)mxxc2&{eTc!c}|FWJ*q!@h70ENRUO%R2(&BP{^KXoa-9Nb(-(}zYdxE88e8H2v8r; zLSNvB-LYdV5pL1583l7){MoF^Y#mZYl2T?{U`(SBGyL1Ivx~g=naNpx9CS5$h(CQ= zc`O6a7<1i9Ft>fca*%)-_-b=NT-nVbnQb~LOfM|*UoaRemNJt8U+{~Bsy$2aa{BB` zzd&&~a)nF{8t${YWS)%_s#yNXSN=pjh{$^cV_My(yR$uP`EnoW!9X)P_srZNSH&j1 zh(9s|)sYmqnN?tddAAW3_IVMy5U{)P;3gIxg@);==QzY&*HUae@*F=F7+K|_%EUpk z6lP-wC`q2LEm8uJmUbmi5xMyhZ*ljk>zl)MC2Ynd`?@=05U?Q?==Vfze4bgoH1i*bJiw>vGrclg|KPk& zcE)40ek&-jZ4f12kj>V2*;x*2@ZX}3Hc(%t`paD#u)yDjK$$Qrfz1cq!Zl@H`$$Ct zd5@i6JCa#NS2X?HtocC`5zE1sll4vmz8F+_L^n z+M9qJdU;Zc1|*O4yOtUJ<(+9q_%xxF@nOC4cq90R>W`Fja5wdobDgh^u-gmI!E64bR46Cwnej- zD6YDd9Qqo(P&0ChG+#GEc-{8*x+IW~S-mQFyx_q-vQQL@!=CU}>)l$jLuRwX)c?Kt z%no&J${8!=Q!!KZ>cRTJ+PBzq$eFY;Q*Gx&F8*#z8mTf_u_C!UVFe(j3?7#_ z7GRjfuy;@>W%VAG9b_gU<255CA5D&zX~wY~x)w@rpUVF`eSK9l86^h}kps7l6zvw; z_Q-=}6M>ACz}&AL{_N6eY{|GnwF0l9JiavI+rXgqSL!V?m3R$w7r8*5?el#x##yfv z)y2aaK!r!OYqspnHC<4{P!FzIBk+cx%=3t%fBGAyh)FmJlCjd28E#MCG?fptmi;2& zx)4ZQAbrb6SK+Cqe^06ha^Y7KNdp)wbI;gX6pMH^5@Gq#;mzSUz5n%E$V(anSfH+W zp4%hb|_n-_FuGN!?fA5R8%4HQs3Fd9g4%z2uADW>-QF?ys!K2ynqwSS& zhrVWYBE=nVyfG1Jj}{p!iB$Mn?_{mipQ`ed*ynec7QX7x+|PLfmde{#tz&=gt)c~z zsORydkO@@*TD1Qd>p~BnxMK)CW`*7NOD5A0EY}Elom8x!G2!XU+HYLHq?HJh~e!#~A{ z=KY|!ZhjKB9J%j~T}32Gx8chmy4Vgz{7JhW#yPzhU#=mA!!m%n zVpC``ZaB>&3h*IkNwt=*k*_sr7>C8s{tqzjUWo-XjG&5$RG=YKZp!nZo^6OHXJ;flBX^~o{h4|m-_PP#U4X?nq`WtrIfgHaH~)SQ z{bgDtzC3I-+Gfa61FMZ^KAr=;tUBse+xtY@P-*wX?g^Tay8LyDQJQ)|gAl{z_&a1%V4v1`a?Mw}ytT-|3X z{!X`4O6V?-a5auHi$p3o__k>FG=9|k@{A8Zj_4His&Hg067OoP9h3axl?T~=5%`N@ z8}YIHN)xH$Ia%9n>+^i1$Q>1l?F7zsTkA6EFHydPf`9=Op_dd*!#&v9CL~$Ac=e}1 znNY`mJF;ihe$R^x>K-eC3hf5{>#E$tU$tugfDD+|`@^d+D%-iGJL7{L zG8~ntu|H4haVWhbG^jU&_w7lT_XY{n72Y*E7H32W(<;a6eRl7Ks?VfmTkTqRc zN$!bb$UW#3FRQUs0VzXaML65}1NZNp{R?CiV_XsLA^9(Ec{h_R$;RR8K6ns*m^_i! zO9(ZW#v4pJM%sR|U||l>1iC-v8SE;Jxd&@E{xQ3r6iujFdtcZL`y)wGJBUrok~gP$ zu8~9jPB$i-z`K+==NnT*}g`0Y3&v%^pGmpWw-Pzf#+ zb{|N;V?Uj7yb$?H`7dG}QoIl-Z2{@0`2RhBR@SSuv#;m3jMBC&tq&EnJcN434jqLOpd z-=;jr^tkqkymd>_dKm0B{UWg}=d4>|^s_L7;_frHYYObC%bbmX(y>z z8t@{(`a@4~iu&rBo6@>6$u@a==4AF8W98e=NzUfo(f#VKz4^yKH?LMNZg}sF_;Z(g z=y?Ow2pE? z!qWYnTm9_v9`H9}PDh}*3aXkHtha_Xt1Xfu>e~ zi~jv_JxR?O=ainXOVEylq41$)tZg3GQp{zj^N4dZ;}o)=P$KD;k{8S4`~!(>4c_QA zr$f!&wgn?wG0jH2WJ@I@?%33JjBNCdO}rS2D!9PvEJeged2lQkRR>%N!+Vw#(&L*l z_$0D0w5)0CY`pC>zMZImball`S3^d0Prdb3rM9F!k_v*ms}lS-H~NfI8qhS>-$K+$@Q<$QL)&d10o>aib_gvKu2}lNA@<-hcA| z$NGKa?ujmqG`z71?;mm)Cwp$G;)10mvPIW9Dwq@x5XL!?DAPW9t)KWeXGkmDP)sha$A9@XYrdxRNz ze>FMK_TsE-XpDa{tEl_W*XA`ZOdAD6naiJu*pJ2oC+jht*``4A&czCg`Gfw@$ zPZkA7r1K)gNF@7fV!yzHs;V`L?V+!Obf}r9(IFISF|I$1kEYAoshf%|!__lpT;j^R zriYC5nCCQ&7yEH}cg>E_Ys=s@cRJ;q7363shcp8-Cp^Q+!>;|V#OUiE>k}qT#3geI z&*tiqKS7;mE{1-~dR{NGv6ZWP6WufVm&$n3QXf*%yxOVE@MEVQ>HHiPO-l^;zJG(b z3%1fxkae^9qF3Q)oe<_o_u46!hBl{3xp-Ge?OZCGFo}=dqcK+46BTj95`1Bmyb%Bq zJktLQQ4h|RjlV^bqkYAvBI)8GIpx-~Wc_|Rixa|@(16zGaT)QVQt)kZa@FdYf&}@g zc0sOUhDtZ5&U6|;ylYp@fom#;Af@rqnFoq;)L{QXL4$U2#$Yygq$1krvA`4S-k1fM zQdLADn{(;fgx8E_>rseag?5pd5@fMB!t?1jDQhk!q+06ww2J#;j5Iu+e`2!B)9S15 z4V@#I;`(=TFWR&6Ec|Ye;d1eH!;zZA!0r{iB~6a<`oBPzdrm@9=ajS|k)?NUXT(~! zT~d9S{d-kHR)`_ZXgohjijiJ^2QF#{%!fY+q#&efXN9bKNu0!uFd^k_6JIQ3h?*n) zNh7Y8fo`;SlEt#a1MTJ!Y6j@6oDmu_HANB#8QC1ouBM2&+NbLh8wN0a$c;YYm3pt^ z7jaTHv*o24Twxn_lyTp^b0EkQ36>5BJNi<;jdNCG*HI&ozmx*x)>Pb!aC!X)RGc6) zB6m+c7hKS#cK5%|*n7mgY@@q^=ZH!T*cEn{>(TA5Tpi2DF_r~BWn_^Bf7RQrYAO%1OKu0ZKhfB;LsF_-u3W?G-^bJFm_^uB`;miI46P zOd|fA#~@TTHYQO1xDGEbY>L!;D1Q7cd#n1cI#+I0)=KH({a1*&wn2@naxw71LNy{Z zx#lf>b5||7Mo)XE>S=+-YfsAIN|$Xe|KJyCcvL0SzN_tYW0eCjci&fbbR+qZ zEb$Cw%6)0mIVuza<4VCJl993dyU7s>_c#w&yT6caonW8{1jXIxm-UC^D3U#6x4xY~ zg(PjcKW6csdl3l#s1$K`QfKrji0^O-1gGX-dm<;Vy=5b z{Pa<|%@38cOub!FvSDmiM&;EoXO#I_$G>3N=6ikjXASj3?-NoR7DFs)zn|5O+hygg zI8&<61U;cMLksyont}~n7IOZUd0rZex1aS=9643vyx)%Ht^HK!D#eTBVl%W@&d|1j z2ea~>C~Dsu{0s4u`f+`P%>!h;-;3uycXi=W>q;wgp!NIR2FpK-Q+725X2Maxwz|P* zT{o3q8v1<~UqBP&WynARYi4%jVmJFAO>P~_7LPj(hSe}b366dy1>k_mI&R5!#5Xww zp|H!xldIb0$;@FbAI@X&jOcSNPC<25xi*@SeG$%t4gwgs_f0eVI=CwN3&g?ijeE^V z&#$1?TSYXxxz9`CtfZzSn?yCP@PniK+ttM7zlZB5!T-BXwBeaA5=%-^*Td|t+Gy$x zV%;I-<5kb0a_-k>_O`%@ZQ&+pIb|eWUTPV!W8dD>swhBIap>Nv*pL-F=r}%Ds&eeT zHhR4ggd9$4=eEbf+fG=dOBrQAZhY+8fzW|sphd5nSS|&i^sk`jv8iAyj^!dn@->RD z1|&9)SVT{XXtBMxar6eYj2cvAx%5=^%I2-^Ti}j{DsFx~$uOsXc2)Jt;+-0k5veYj z5Es^_;fE?5o#!Jj@KV0r-#NYLN-u(Nz?g;uJ(Ulda5B$# z^dj}L)r2bqD&K-t|7Z<;YM}1Nsr~Vt`yTQ=61=E(2Ghm36o*ba_g2y@#s}Qw-+5tM z4>{k#e#`ds5ZoP*KJ0>f-sscs$o*;Z?X?eL@D0!=lzYfqMW75&;qnR#ic|aE>pYp{ z(~w?*_a!?E zJ)_qSTrfBT_k|^I|5scR&g3wOpo&5dnrlc*^p9?E_jx>W z(>fq9x34qTPE@J<=-(Y?|3CxcM0z&KegMNvha?#v6ubU7YmOk-ko8-JMZ$%u5vvPo z3L)0Ks)u0iyS<^%4_kkd{fYH#)(4Gt6Y|%r&8$<5QW0{L$FsY54xi#&IGoi5IU22K z^q5$)IdwUcOMW(b#TOe7ZzUGcf8e9mwKK5)fV_*$G8z;X0_2za?9H;=DsU;u4}MGpFR&HnBVw$#39vRxp$4X^f_Z9Zrm4+&(TV!V8qkU zo2%&3s*Q6q;;U92op&M#bH&hZ?uHq0Qk$+B(qC%pzOE5tV#U!DCk?EVF^H z@4Zw{As%d+Ll;Sx;4lk*W~e6LbGhl$9+&$!=C1sg^qOqy!*gts64)A5rR|!^6ZUy} zHu;g)+J|IsDG-hBY?FiKr}?YFJs{mS;;;NJhQ51^2&3_wsS&s872U+A2K0WMg|k#I zPKH5oJmo;Wsuvd6_V;mh1>wa;#r-W`x7T(mTbeaVz$-bM{ce2~w;R_hnf%LrT)c66 zA%pXa>hEchrE5(X=*~JMzGaUo;?geuIl!?xIIUBNo z@0NcF?yP3K3qvCej?=u6V$Voxn}w#R!jH@kEm!m@0H3-N{-8F>Sk~9&Zq(#(->T>e z)>5uy!`i46Jr0|gUnU-DUHx4eR!R40vs(1WOqWY z-J8HWnetawKMPY#^1hK(QYcoytIjxeMM{}#<_w&E6{M0lI~CLXnFCEN`HEl0CgCyo!5n@*I&Plap0 z-hI1Jr+kF!Vf}PWJX#jm`?6j1_uT4$?((;K+Jy0EYpD;psE&D-MlM9tpY(sw)*I9n z7Nz3WJrwKiHT}nv%6~kcpr&X zBbQpb+*D5GQM(`Ftbw&fg6_tv63XL3a5#4FuQveSwA7@xxw`iUuujHF8whn-5~ zFj-HQ>jzjb@{KT!AK!HKq+1a|9x$SFY#7%vjr5vW+US&Ac4&Y%zms`v&hXlOcr|$py+Kb#bu!_Aa~&<%ixO0Tg33xtjpO$n zr!5l>=|jbPeSmM9YUzLj(#mp($#Ocg)UO<;ympV3u$HQ}bm7f4=?>?rP%S;qH8rxZ z=bGF{<{!Mvi8OgGV`iewA*146?xQmg=cDuL83OL0N@-2a*3uhY>$Uzhm#>yjQQ2fZ z+y__^LUJ+(^S2)9Iv5~y4bjwWji-KLxj@)fe{i#_-_ z4;+mTLr$4=x6GCb&zn3=#%H=b_M?&PVvk)!9^?H^cXOlkXm)K$kX;F~JVCg3u^r*; zO_0x#r3Vwvegt_fK{g}EK?LFFM9i66{G*8vp4xjdj~(fkdNjzZ333EM_9qD2ejMRo z-o}&3Vk=(f2zoS}mlI?zLH5d!wqA`sOlkWb^#*p!bmk^~w0c8dlL~wk5FjoGN0arB zq5(6=*QpeoOMLJ?wI`!mORKgT!h2digE->}LQUoG{Q+v6*C)=r)8kge`BS8GoShT- z8sWGut@J*SKJ_8zX&=sva}m|OzIR`%Gq26?SrNxcDVDfKE+ud1LhnI)+ESgUr)3MP zvnv_LOM%S;fWjdSJ+l+JJ5Z&;{^})XaIn;Q>HBu~#%d&VDD_SAKy#mHT57v|EjH^=TMqpV9N$EC? zmJ%Xzc>>vCCz3P0om?^#5g~L?S1>xtpYmtU53-K^BI>-4jUBf{7 zpk1z|^SGOJ?qliI#F_apWL!bDK8(YVXsOkGB_Cb+K>GYEMHG(G!11jRD8zA!|!F zCreKveO4x%ZOI!4_mu7`nWgNF?-Ub%hJ!Ci);h0|rMKRQZ(Hb2EO*41$6FgUpHGn$ z8&D)Xji^3JRC|%_90^}_!}Vx(-Aa&)$n(5z@TyGJ+J1fDlhWlq^{KtFDOvhm(q|RI z*`B`Y$kyjGUpdSmOLkM0t$6^Dy6&+p-vdNj!91mV#!kD&J@ zsvQZkH$g7cGVDN;{(8UEqe1o|2#+*3Bb+$;6Pd0N^dTgR$JFdG9&Pi{?Ax6nMZ%NjI~p`gM&w zEi-B3dFzbhR1@jFOJteCFrBlS0+Q6!IupkXgZ_4D5Z;hM=h-(52KkS>{L_Zyq0|UW1TvwW&K4*(4I{C8X*!y z?j)+Mi$_OBg&2c$@G?v9$o>HokghoNXC+QGk)9dyw2_sG^AD68D3FXZR)%;oeSRIJ zYe^v=zMOn`3B}?1MD-?}w5nyz@k>3Lbe@M?g`$^tHrtJGJ`*ez@x3u2(q#3W;jDA# z{rZwd87;gEmWc0y<+8MH(c+COB=h`79%WY!5&3<#qRscq^whCHmC~!8;@57)Z**Yk zFth7k^2T!HjZ<=YZ)F{#RJd||CejabE$TR9a&d_8l>QcKHW9pW2@0nY*@#NhE2->! zSN&5;w_UlQi}Nw*CFhi|r&DeFAM}BDiKAmQS{-^gMehw+XSY42i-U%Zd`l<(O3sb& zyPV4f|A?SYD5+Ee)%+)i*bw<-_Ft>d25zPvEq*w{uOX`I0z_Mo41rF@XD@9%OAYlK zVOc}R5jjt>T>*>3Uu(XXRJxxq<@+0`y}-;rs9L+mgEt!aL9R(!oUaAWjeMDEo85w4 zBD+#ve1vdTq)6bt-_Dt;)#vY_zoE~>gFdQZkd@MlNuO7d55K2WrJr?&Mv4u$qSYsk zCK`Ds@%cJg@i=8r=7q@1gU*foJw$IK@G~TX(rTMqGo;lAqjlVGaUy4(wGKYC722gf zDPf;da7D6M{Y8!hhop33mgBM-*(2nI$P)vO$VVtIZX}$~Q`>zqwcYEhBDKy~hwsUB zj_-^#f-w=OTxXrt4^$%OgqRnJ&v*1&^Ax=b2<59VH2VArU;pavQ3IqXtY ztN)J+8gjm0acVywM`h=u`Xn7Vu1`uo2{EsGyiD3haw?I3B_HBlDoKQXe+UTfK~_rd4>Q0E(p#=Mf9JO* z2T;fwlV60A+tpj%AorG?s*x)~+0nPFXe@j%wZd~$qItmMXSpEVdcrkMpZ(`!o{Dgu zqW=sF+zOF%X~yHb8sWI#=EVv+b6Zk1GFt!nd@4F*Qx{ed zwJ5AcsH0a4N~NK_F;~afY`&Y%tfoapvN+~@sk82BnM*gIR;&M(+IL<(vb)xYB_Ey7 z%-(>9j8C6cC^N_Bqh!|#0mA#`>e{D(qidT(E_dx=d-p=b8Eq8``+2(jGrJ0u;fZg_xT%vj^_+g`uSy?5Xl zA6@~7cbq*L&dtR6nTk;7`w7GuBi|ZlQ{n^uB~Bw}1jvJTm0*19nfE2`w@PqoK3#hS zPuCvE>*XgSh#2JNsH-vKTuL9EyZkd-KhM_BR%;<5%eqCMV1~Md=K;D_3G#lhr_$({ z*M{|3CHQ(;4dt^UUYbO%#A!}u*MIt@9u4wYS`Bp#;ar&^@Cc}MkIjIS682 zu26*9tLsqT_{XFVuMOLPe7Gq||F$cmN7Lsjg0Q8_ko22K=asVb)*BlKD^mK@9d+BJ zU$GB3`Yb8)fm){Fua}Ab!HUG%V_zVuQ^|HbckpDKzvh>EG{|iPc`ey~3*lUvA+7Cq z2Aq`sNczmK7cJ}6cTk>UEwLxdotJA~)cM|Lz34XNc`gNCcR_kISyvO}rxXdJtrvYi z>BDsb^rs zQ+qY~P~^d}a(D$=sj^z}sL%4^Yc!7?-}u%&>NV2fF}NF4`qeo-D}C6A@9f$}CT1^7 zs)_WAFs^Lm^8rWO_Yh-^@ZK7SXDXMaPfOLVQXi3R!&3(RI;28soQw0gpyh*IEq`34@X=5xOd2R{q`$)^}kh_+Vf8gD}wB8 zQ|Jsli^F#YD;oJwzVCV3$g?tzQ%$7pgLGSEQFFck=s7`FBgbdX09tHZuMkkVEPZlB zKKu^(@HHA^HPw$O4j)g?yD!&uR-R1y>QnPMj#>wojH5~K3#sjjRD~<1fpcj^%NbDfA*7f3*xpbM1x^td zS)Vo^fM>R^-!jjTf5y$64Cl0vgCcRoR7y(~;!MLwQme=NFnq-Y>Ct5QEFW$FlG5As ztoFwCS)bM$7&_G6@Q`<$vB5k0;EH6N9mgRem(gmUYt@R9v##60W6qq+Qmn8Aj>~G~ zgnZ?Ylr{*hUca@n&!*M9U&@@JfLVc&f+y4GJLGwP*8_M)wU3^ac8OdVbZ+F5(4IE( zV#NUux2yf?H=xG(hpG?mw>S?Aob_8s$5xRlpJ1o$}%f zg!3U?=Lubq%+d*p=TDG6qph(&o$3wE#1I>^YwXMDon2#JmZrFRZFY^lm0Pb5Z>Mq^ zzwS4aYwWiwx~Eo?#9nSn@i}87H-{Rjk+s#RvSv%^B~)+B2`!Nf-)?B@u@ys`E8_dW zI8I7GRq3@4*YQg|nic*&NK18K@Uw`IPrc@E(_rWPOISs0jCk}Q6C&QH}XH!rtBGTL@o-wcM;40*BZWB7aT(uB=h06l!G6#+Xc=k z1i6E95V7IOIKR*@^=OdTo*Du)#2Ii}?Hp1Vm2q&#?8337DlIjt5&~RHfP!i=oRZ4) zDIw0qj%HU0t0A>4;5nqEDXnmL%ZH@{0zBZ%%VRE!eaKWU*N&}phxdp4+DY_iRG7=H zb>68^2{?^xSXg3ITNBlPXsnjr(EcHn3w_~W;G5QVUNdlgsH0NaL3J%T%V3{>H^lV$ zC25HDy})T@IfX++imEr~7te|;mwB{Q|4p|4F-UI&>*A1{s@*NUv%KK34HWjSCWP3EphZ+a)J~CpzPJd2*-KgXts|AxrsC!Emp54 zK0KmVgyx^3igU?4T2KOn*ZgBYMo%W|{nY12X{vF!R@dpn zfP+b_GJbT|4&n?xHIAhv!Zw;mHo`o;{9Gw8WrR4>Lcx{2U%VlJD-%I^k(oqsaiwGs$&CGb!`)6 zS$kUZ;c~I6Bk0lWLa)hz2J!I)pa$V`x{{L&apGKeN^=8_?o~yyK3EiQll3whzvJCN zPloekztp2a?jX)fRLq?CW(i<}T_9D0=zeat>r`ys}XNv?tpn=6kEKMnKd3PQ-TKQr~ z2`|qL^PvXO<*T6Tu&dT_7?>SuKyx%W}(vKw#FV!K3qZ#L7K$dm>xg!ku zsN(|_kL*q*oH)J^iEm`+Q`cg+W%~SyG(3nn??@UJ%Tlrx*oD~9jPs>`iV(k#qv5=^ z7)lwW2=I)vazSP|`;e?N2nTy~crq&9b9fud_oq6E9*v4UU%c=%sfK&&h{IVKAHTxU zAfI|f3o{7z!u4d3cNdS2405(k+|)R~rS)3pI)WaJYC|et?aS9xb>O9K#B`Gg>zuc{<prsmi&`h31$G?W1tRF9&)roMR*b)p{0ym{%o~N9vwyx$e&)UD4ps|lD<11?^$5|TAlWUe-xE&r@+xPd>z^KdV(xT{&~OR)K(l-um)${MW3XP)4Lug zkt{q%b5^F|<|O^mgmVjdY_~@`7q|4Cs<6Y1Gd~B}kYsVRoJi77q8M{IIGU^rLN1H^ zh$7)bA(w48e&=O;UP}4Cxg!i^T}^)8luA=Ulr`j5_}~J`q+?%MPX_TZ8lZsa&cKe4 zA&p!`wr@-|c7c-hd4Axdy$kaE&V=(t!ue{!+H{^E|Ex-U?juVXhik1r6X$|)w(Bvg zwJ_6%TiB22l#pW?&I_qsUWUp$zr*sg++Lupf{%W8AjD5fyl->dd9tCs?iP!+4dy@r!;R&SMTeK%Rky^EuQL=I44Zb`m`r)j$>+U z)w%XhpXYkKqbBh|S?X6fP6jFA<+;&5)F89Z^~ydiVxW$BhTu6-jWhdPZ+^?pxDgEg zXS&28zg*n>%WCT%=Yb>mq;wr|UcNvwS=6rKYe;2 zeOL0htKs>sl|{bigGYk4ur%8|o%%qI%j)WZ^tEeY@+o~dyRxYEhF{@m<=y@bUYPhi0VeAU8t|@wLHxk+Gg7jIzbqrbhd9s4nKK-0ztwVl(aIE0^5n0Odd8bJUzGK(!C)?)I}7})3s9WH1ad=RLmwrpY}^Vn)KaB`j$POzpqM9MrKz(nrqFg zE0doe9IGE!EvCB6(jruIM7@-3Uz=i#S7?8ZsCb{-UsB9-jTF~V=?)kq{Rt%N9kjl# zK*@GJu#l?@@#gs*$u53&ya!QnJ--v-TuPiz2~Y8Kmjjv~TO-6qBX{wNvVhaI8gn_y zixo-30+4g(UkZ@<=Y?d&jzzE<&NmbXBXJM|l2UxO-pB;ib+^+x;R7-c=Oe=Fnx<{isg3r>K&E)5wk#V<*$d zWqacMRa&QaHhIH!Y0Dt5+c}FkA4VkwJB`$JLfm_#k=2XMn9TOOsZ?^l|A?eNiu$x4 zA)J#b5}r-c|4jb*GVxi1WIc{>{+A%H)QZeKYnJ*|>*u4XhJ5I(&_0dU=^aF+@+9)= zO#~Tjh4wO!Y;(hM=W3dlIE`e@uFy8Gna5^VXqVpLI+J@ceO74iNNwDQw4Sf))#rsV ze$%o4*p9R!`Wy6MkuQ<-4XB?m zhh!~H5N->2bQAllB6CUlo2kG08j^JowZdABg_@t=%{h=b7mTx?A9zTeUs0dJaJ^;u z4jiT`Ate{1nv9QQww$K}a(E%mIPXdMwS^1Pqv1S(aEczaUf#>3WMN~!jWX^|>h9?tM>eAORM?Zy(JVHKAxO4#XTo70{yX8Y?K+eRvaWE^dNk=f5Du?};Jo-MwR#2P%y~4( zbj>5N&N@s9-dLtkak9MN8N3^b591U~T=oX9xZ_#g3${ z6U_}W&KWd|_0FO(FuVHfcd;_@dBIa#X~yRQomh96L3nqIhf_< z&!g^-((k6XGMRIq7OgOS(sI#<&&;)q@jW1sg9=m4hke?w4^qpxA@P~ccd4AYX*inB z+(wv6Vb$vpP*!ikgN^r^?VDGu23A~gDXoof3CT6w)su?k$wB`Ar!tZZ8?t5x*`v)cbR80Wes*s-kTx4u%kOtW(;na*be)x`J! zf-kc8oz`FP)H|jb?wz6dEtUc_InAZ2N9+C1Dj1sYKk%^Q+@p|I;@uf%jR6j9h8>uR z#CX%jlMV%BCZNb;@U>@3E)7tew>sd;b+DQP5d1Jt3j1`ZUHE2ig|wDlm~oC79Nil~ zRgbm4)mxgt5ni}h@3>wTC4lzP%en8X_=`Sw#0czc<9@+>`tEu76rSnp(^@b0DV`Zj z=F?g34~%ED%Q+}1T8ZSE7$pU-Um=n#;mof%+NP@S!SA_Q3Z%8=5xl?`vh5642tnLI zM6^%^4r=)MYgHiIBTJ{IvJ^mFwmrw1w}p5e3|wqw4sdaWH^6YbY`_<1_~9#rT{#J= z9n>`7p!IIsh-LLwTi2my0G!VZa9!4)6@)Uixw^{k1Ls=(Ybn2|5a7IW*d72!MI}Ht z)n7}A8nvawxal0q~)p? znjjTFTYTtOxMLOr^5m?`HG?Dk0O+&x5zrQwq0r|kiUWP>*7OAm0XKV2i!=O?fLphw z@Fwo=t-n^UAp06#PdD-y7CkOfCJF~h*&sZRD zz@P92=U!i%76lIQ&g%My<4x+1HiFhrNFOMyShmxXi$WBm&Oi=XU9)hbcsgYxC(g)G z{Ow^r!T0kM#jMf%#^5XmDW>NwM0G7 zFb#NB$%Y)QSdkyo4O51zrOrG@q#wSWU~ zN8Jt0tfZ12*f%4S)p_@ZDZeJ@9lPl{Vj#G`!fWu?9>482^d4tnbbs2oH zrg{bT)#IiG>sy2G=`+9=HeuGkk8QwtPR1Q=-idy)}eD`3zYk2|a85z3I01A)b zcb5Jvs&Mr#{0-osxJcWu_R1ETLMrb4hjPIY&jUg9zj{43&d;=={2Db+PIQw#@ zz#0eR11NSq25wu^1Bxp^>sf0&I|J07X5$RMZ|TqAxLQhoZ7JC(Qy4#R#t(B}rYj(2cA2frH+Iqo#gdUdZ3!HH!LQn86^=k`#YKD#t zBMi82Q)ufWSlQaWnqs=$mM^f0V|u3ShJGjN4@c->cH>GF8+ZfWvHO62Revqc#}o%% z_}C!2fC-$BXD=L3`KB9+9cRE{%^@zd@Uf->vv&c74^S(N-fIIFaH#pZv_DnSe5Uoc zif{P^YV?(1XUhRsh(Yje+YUhSl-xaO900`_7EshItzS_p+8EhQuiB`(K+i$(=D`s> zaCM^6saCq?Rvo%Z|)Nb$^b~ZvT zoj#}qaE2eei(maL1uY7o_o&|u54a06av%>{zf-mK2xx8b2$)U_AJj?a*7eY)y=S=%Ed1;q{_)#JZvy(+ zfxQm(kqlZU!voF+dV7GYG%a)&1p&Hkg}bwY(6@MoW?qZ?8|47#@&hQS@cWJawYtAm zAuYw*l`AN0RulSx;)2X)_lmos4scgjjF!VsGIXB-)McKeS8ZPkJqt(yrA03ArlLeH zKS?POo0fyn572w{2dM2F>rmu5&e5wi{cwc@Y3TX3Q11Bx*XIzY!i5f4n*kl#QVr<7 zDhkj~RC&0fGKc;1>QO^J^qkPYKDdy!O_o;AV?IgmKnLCCS1krl{La>28wED9@Ow61 z@hiLM`mCs2aOc*+(V-h>uHPKUaOkQT3fwkA77Q15F0cGxQJ)s|OX_*(gWBA50Ee~n z`F{>I0}c}SqDF0F!}|qwj~7tT?5n>P*UvFpFerSrqW%c5Pav|lN`;TiyL2fdsY3z zv2Lk%Pza!39OebC*2~c8#D&kEFwZ=$d-aQM9mG8k*f#GvsJ3l(1n4CnwcesJ#zowp zs_}`V?d1>Ma5YMOHJ$lAb!{^%&H5ly&7I78vTbh{tPYy>KXFNs{x~O^q^Z02vrR_{UkFCsKv1Wh~Q0krN%;y!*4*IR9wXlLe1nV%iZh`A=C&u)POeE(Ga z;e0Fo*%_c$<{Wwc5DFZ;X@$7@@zD2xK39K$)^h{vD8yyhnP1_EUkk-t(4y=kSchL7 z%ZOS)u@1!Y4>sJOe{CMtvxRR{3d~JCp~^#lk9GxxwtVhcKtAv=^iih6xkK|fpg+mb zee}GQIUTc(;6B=X<$_UOrsVMLs|RhQTYsLO0BT>3a_HF^3f$HwjEj=sd5;-X!v*wS z{o&43GpNADY$$L?=YXAT!C|VoQb;RTd$#)Wyee?_Q=ftFyyidQGvIcw`K;$k9rt1l zI`EzDr}5AOe9^|Xw)u0X(WD{jo}hm?PUpNU_^qnH#fdLwd7O-r?6X&0M9i90c@w{aG2r75KLIAR%4>2Fx!g7aV;ZIC{_RI`FOXUBn4~m+Q|o z2F=Uz-COk>cW>A0_AcxO)|v{%-K+H1ma~(zpaF^!X7K`@Q6>ScR|(>|3ZU)EWZ?Sx z46N5~`2q*H?R*1pQ5OSRuiN&KI>uBj2dsYpZoMkv`wB5Q?jc`Ir^&7$6~7HLH`B|Z zu6x@j!yR7@iJ6I3ALtAz3d~S=^_%)b9zOJ$@xH3K@ET1;rb!z zDDiE1!+bMrG+dnF2M%rd$3A|o*5A+me3Qc36>aayo;w<-gSr5G-kYIV%D1)8Uxb2)V6n|`n;_ai>Zue1L`#5w#JqNk4{~!6daD3I*{>lCN z(BS|d;D`0Vh#3vOLCJrkW?efTI7Q1K?qXdu@)Pg;7#(Ooknf^h!(Gr?gyHT-^Igns zS-k_O0tfv?+iMmCfciS$wjBo)Jb?lFHgbJUb&Cs}nQimY8kj!N32!d8c78mU+dWmw zc5OEFhhFV^Ye2vU(0aZPeGfp}nhCg%%24>;?gIxu5SS5660L^*LEu{jT*U8G7k3w?MgLhW^J83VmOpSGfDR z3d(Q30(bY!;nNlFUKwiN2nHVb;k`*5cN*g2>ht;TjurF^^Mr0+;cl;N(EhKhh1dKG zzBj2fKv$`tzs%5;E9kE>^tl5lsPX%b{+TCmRhO}Gg%}p@eQ*cf?~cBxQA5GkIVpH*oXLmcQC4I z<9^|Xyf-Rg|v|lAGh~FHQAN*&Y&-TQ_}$hn2%TKINmTgI@F($+&Iw9 zpdYHNf&<|^*nH7Md?SxNor-iPO z&)eD_xa(vnYJnCPo&<;MhfrMYtv~Sm;1G(Od8+>5SieQFxk3Q_T7}y^TR$h`o;k#Y zW|)7eErt%>ub-LTJ~YPfff^@0m@I@JPu4udv5g~KZJ<9K+g%HB<>eG}pcZ|AdcWh` zAr!H(D#Zq%^E1L^TuAe`>FR#cx_Sq9>v{$A#DHEl)C^ZUWoX@d!ulTET^#trlmFc5 z-^H!A;eIIcU{219Gl%)sUE2WKcN9JIqXJjRe;nI+ zC|r3>bxDPbJt1)S!XXq9)Lm2cjVo~AnreO`bCyHftC{tr`NGFl^E2A&o=5%TFxF86 zj56AKu<=ECJ57J+xndrzjXvCgmu7w*4U#^kzXw_epCd%MYyE%`4E)eSQENU{|8T5F z5nCz*(60_XiK{&_6i=#+FQ9WW^vwTf?@XXIEvj=Ju0S&e8YIY+2AZjXX1vP*HQjWB zXg~o?P!tnM;>sXv-K8esDtfsJ&LEm-;*eZ4Y9u!S86`l|#}!RXVi<*H6eQg;TtHxf z%#vHrU;F*{dAp0_e|kQ2^;%zl=YOldT~)hwRqfiftIAM(rwJWJ1DzLf7aE1P2AUcu z^yCrc8l7gKImaUI+@Rn(p)}izEVW%D?#iSKx8G7yAum{3D$hWd)sR3(vD86}XIl9n zZ5;arddwg-Xc1@av)hp~S_y5DzZs8HLciZce-J2joN@6&fx^p&D8FPMy1s-aw~-mz zWa=2iJvh*ufrBzfBJRH%1?&@q5|VT=GlB9X3gI^G1p-4DMYa8VHd6`L-oEuw;iA$JolFW zR&UCZpJH;JGFE0d_2*M8zlRh?BL#0W+rHKT+~Tj(Zr*Hhg*t_=u4KBj3Lbb&%NWHf zu5Zx)M*Rl4<2&t`sFrD=FNxF>B|qOO^m$JOJ1_a1N_Od~m|t1=UC*3fI8SMo&sxow zuMP?;lP$k~ZO;cS!N$@Ed9((Qdz&I~5AYTE9jmdAeZEvw@_qUODi zS~0rR@s=eO^fYw-s)d1q@Gy@??v|7%eeT#%K`7oRgnokm1{xgSez4492z@$2&lrSC zn>#r|Nw1#3z4oWaE#Fzb4=q)`dd9uVr+q>zQohNg_r8)F(#Bh{{sliDFT4faq&z9d z6ay5_CwgDRT|R$DQd`p$DAy+CKi=NAuDG4C-M6kdUF=Ha*W2^z)j3Ahk*MRDAtmdw zMGZb^C)c{p2kj=C$cCmqQFm8O6qmMub!`Ei(_mEL7Zhqc;TQa`|MP3(5|8))ik6Rk zAa{z*i_k?S2DGbH4+?xUKSBxNxx73zH9}t#p^Rd6j2fg@e(}DwJbc5-Lmd-A-xS{{ zlznTNSNx}mrM+iYmcKI;{g}`<3@8@w8UhDpr~LSUksf}LwO0p)m!Md9GcKN>pjej@ z)xI*;@e+M3;*xTr8q?jnEbn?al5wRS;0K;4V-I<#wMAZe7!N_~h{Rt!*Ow>D^wNm) z=-{m{wfaaY>R04=$S>k%*t#tzSQIKx;qZ*Y8l+)SsesBS(7gk_!_Zv=z1z_3 z1O2I?TLt>Kp_>HyoT2|M&<%?uXC9s|86juCJV416HFX#Q8{|CmRLrb^G8Dr4(rHeABs8`HS0t)X=EkMo$&nWW7HyR)6{wjUD^xiDKtyGDjZwrY5 z6v`T<+WWdR0xceV3wbD`tMV-~(Ar`^^|znEuf1ARWyHK{P!ei_hf>zR__ZJZ4RHP# zFnjPnrGKKZ8KjQy;*`ct>Y#o>T&NNQ{w0bI1Dd@EaYdny&Y}x9`J2>|0{sPOwm&HR zZJ;L%;}S>m_Cl@~$~mnRl-im|=ONx8Zh0$iML=CY0wK;;H6 zTdaISxzRc~Pk%|ya_$6OdPdM)%ad{M2z0ig%s+{XCtSwG#|e}+k|-?&6c4*ZnZtwr zp`m{n=pP$;Mxaw6ztkNq8;-X1*hGmlRpv;PYfz^0AN4jB=5*xu2j#z78~maa*?PS# zSABt4&lgiX*e2@tw!vHI9`U97Y3>~;?I@*x&p@v;6t6wfzQxde19fkcUkfzHA-;S= zpuY0FQ=sHG^U(8KxxHfiMTWL0d_@X=zdV({pkEI3orcm!5;yCZxOnJ*-aM=!P;v&E zQUZ#d9yB#3&=X7bgXaB{$io)pKi>a3q{}bK&M116bgnCm!m))4lw7-dYoPAc{PsY< zW)yxm(7zs{lY92_ZCnp80i1pxFvR zX9fDbq4a@jrRv*F^!^f~)(&y89PrNlTKqbpJiNWN>>jAkl4b^qhLv=+Gr;pJzdrBR zIklbCyv@%I)JF!D?Q%;^qsV!N_WV}9t_uFrgKt~7O>eiZk0P4$=3IJw8~VD*WFJMU zOm<6j<->~E>5;RuihS|*K2^H1Yw_xT|IK8wJ+|yqXhPR@t|J3Ys}FJ7E#Pet_Z!31 z=q>h*{IWuu8dH0PcB7!MrsC4eJyP;XzMmQ97j%R2fEF`Flmc{3+k(jh&s51TvNNOn z$J!wns!g(ye`nRk%G!TdCstgKvzuThk|^OCZo08XnAPQ-=z2D+=F>m`1op!763ia>9Ec|fl$p-K0!K+|eT+`f8NdDU0XDj$%W4-Mpozj%<646CxL zu!+{-{;Y`iwDM)OAVP(&XbGUZmZ#DNMYjj-D_t+ll{xBoDy<}v--C`+FnB*B@bVjV)@;^Fd06#XZt=U*}KFeF8xIEY|lV_ zp3ztGDzEy=W937ahp8zK%sy!IZcSM`*H6i(tSM6=zi4r+_o9{ketBx23i{6MQS*{bTs#U2YBDE__QxNK_iEG2Elydfq@{3GPD`moNj^(h{ z4k%VdP;BV5v?b-q{b~4u5vpBezCZ2r%DNEJoX%_n7r9%DN z4@9VHY~uQ?w?C^sbso;Dt547CnkuJGqS>a@Q?6&-Ut}0gfadISRFo>(p!~<%$ND)1 z0d+5ed4Vo0siu8!&3IExwfs(%x#3ir%hG4*t>GJI~&kAEEL>`?C_W>Qa0&FG6(^(7omN^M^iQp@+t|j5Iu# zl_$T|IYA1&Vi1ZXk?!H+zOC{FTEIa`rVZk%d>h6$x0W~5t}n5&e7_OjDD?L7J9F{t zONHKTp>vlCeb_?Ln#t8ZUcz?-SrBFOnC%R_zN zU|saK4*HGPH`hcM?j<^pSx@Dk`8M;}ZPa3*W zpwAk*exMxjQ6(HcAnKHOeM6ruL7?2$oN=EFbTdOA3v|l?s&+ze-`mO5A36RI21VnE#Np*aqDPFN<8RA&z}eP$V6(F&4YG- zFkWTO7;}irsF3LNK&!n=d8l4= z%`OEcl$Ms?pnVACn^OnrC>Li&I?`a4lo&OC#1KX4O{ABhOIt&0sN{W{k_XbOB@o&* z#@e36Z+e^TIb36eXVk(MBE|`YqZwB+&^7WZXUKSRXH@&#r>1qKF{3aq;<`mr9#ji0?qLykfuTnPdSw%Jzm#Jl?iCjIHG#I{ z`o4bcegEQ+0y2D3`9+B@HuR{VdoV$RUYWR8#|V{lLAA&1Ad7oV#J$Am9$DfO?Ldor zZN$y8L04tF+$e}=ml^unpqsuMP*~PNJwCr)3gzrPqx;67ySL@{N0DET+g=}LcEQKz zmv1U3`E^YBkN45T)cAEld3Yad=(hrWlcDDYik%?oUKr>xhMpfNJJ2$&=pJQgd#6U5 zuJU`XmF-Qiv$#JBbRR<(1$wxl zR|dL^p?3v3!_Xyx?r7-UfxgPn?+3c4p*q>^WrqGB;%;r|PXpb~(E9@2!ch6hyEnYh zw_9ADzBY>AyS(h%H>Hi@k>Ab(b{%RyM+PLHkpVRAI-uB#KzArl%Fa&$-ObS31Kr2a z8v{Mi&}#yve^0vq5$G!o{pUdE8M-h~dYYtwM*#Vy_ehl90`zT$ek0J|GxV1`CGZZviW;a^k?0|v~n#>rE?pMfe&~74)}obHE72+#xB1FPyA&* zNZ;yO@vK1c4#=MC*^)NE&84SFRJt-fZlb#f1@|76w(Y42rFTvW@>0I8iF#_v!&Me{ zPUK>XS*V65AEYr=>qTYyqHX zKcMJ6^)Jwa1I;!x9=%~0mv%9&{Kp$Vi)^u+l?%!~mPF?U`W-`;os;n;ni6kxq@=*P zx}e`Q6#WSl%_-ylPN4tCP)2>?qEFShlpjA_P;7CDVv7TP!qANaea28(vWr?hjskpx zLIKC2ircN;mFwN=U8Uq_CMBDO>@Y7%sa}065XkN4O4_{L`XyW29hS41kzXIX4+zxz zheHA#Wki?$aIK|AA4SfxkKz}eMZ6bUT#e{sh8`MmziX(hb(a|0?u~vkD5Q;vv}INE z-l%;tthm$WuiG-2ze3;nE8WO-4e3#n;jim*O3f)S111l6a-~elE|>N*;v5-q{S>%4 zfi7rLa87Du7_+!X1ch@A{f$5`HB`R$oxio-I)A5*{GvN-Rit?;X>D(fRyfM3*j+!X zJ?PfKv+k8p+h!5@t}7Rr_(dKfjIq+seDs&4VeycY#MSu@w;H-2Sm)7>{v zpVcjoF6VPdX+e%kmuu^1u1`v9^Vy;-4Y^)OnWR;?jwoBuMMLh;|DIER(f^)c=+_J4 zD0Fu!|MB*mwP(W0uj@v0%hyow`SJWfuN>xqIMTViY_dmv%i@X;t}(S|&A%0?{f$u= zwXY^;s<*bzHOibp(&y{w6U$jUHJ>wxuAh0Yy6~BVR`^}h)l8zT>5ejII4=16e~rKG zx>)f!!`_jab6suTTes#6>$3j?^83=@SzDrfPS*Kbd#q!EXWlL}6Yl;cl}pJTMi0%8 zbIbyjrJ?(mgk)Jic|pio_b;hyfNRRX1l?#xd5~E8%la3n&JTXMp^W6jeU+h%!=TuN zk^(vrD4J8E=$y+^5bAm0jA7!UF)T~rAVaT?xSUU%aYeyPfo2EY^bVoKc!z+Z_ap_@ zSwMLb#fuIk*9-ae%jLlQsKR6dFo&M;verv3;je%jj`)uL%Yvjeu8dxow!e-9|C6?EM@`fE*eyV42M54#mbw%6`2SGkj# zF1$LnJiHy98EEHZV-9b#tTUeN|4Yh-fXz2Xq%&7FtW9tHNuQ zRJ77IbxVQ$Y+*PB@%5oq)rQiJNPe|aykN** zQ1$k?CIPB((^r*cNAxjPEx^~B(kdODzm*SN zek&i;SNHy*^27DM?q^=P()-`ahp9Tgt?Ze^s_+L#cUe_4pH-3Hb$gD}9xtYvkDNq{<@j71J^`ny&cFr}MY=xRt-OHJ<5(+pIydo=z=rA4%R;^0{IfAoOPu z%2|GSPM*%#!+yZGiwPW1h4RipS)m^x$}m*7l_>PAK`7+;RyU4d>BtlR$O#W9(LY}P z%Toe%tHm?+4LgYW29$5O2_wsQYAN>kP$*^|$5SNWvlgm6J{^?(&Wok*=Qz{8)lDw? z_A?gxN0F=Xb&|R2buzxjUU+qKy2*khRPDrX=FwT36StEp^J-h7IB`4qaLGlslhc-J zC!WU-EfqSktV21a`Skc!Ex~&UQC6t8&-cW)xIa5vXYG@HEKP_2BswN%=dTl4T5p{9H< z{;J;Nw8-*1@g9-371ph#(iU$wx)ZNRwk@j*j6z=nsM0a@If-_;f2mvgEBA%({`ht5 zM3;a&JoSHgwkrJbq02owy)M@5-U#Y}dD461y>EFl7JPQ_R_OdvQ^Zo}pO?R@#DE?e zp^M7je0yK{{rsWawwIxEmiqQV3w=X`-Wrr2u~4Ly^mSj*g7SA#-md&TK6IglZo5?I zcLt$IBj0d8An!v;3ROn=byJ{+7p%69LHzQIQg2kAM4t*2KgmR;%Wi0>=BK2QafNPb zsAl8S2dL`jvhs_sRX@GQ#Geb8G^a(>Z4)iHQrv>_qp&JDHb3O_`d1 zk)b~ibOS@533QsFPX)TMp-%+5si8j$baO)=4Ri}b9|?5&09DIBtK_w}{8E*Z?zgv% z9{O?X&DmvG82w968cH7w`k0}c1?m(w36%ajd-GChgHgicuI$v13LZwE@s_eBgFGp8 z*9g^UL}=#p#gS9Sv(yGx&v-^X@;opu51F&omj~*4v+^HrUpvswg*!_QYtD$XYk7Fz zV5ml8_w~^{%IB%O2L(T2MxI5tH0e5pJ%hq1vtp&@Ics}y=-e6SL;K{9Igy8dw*0c6 z8Sc0}NE4Xfx5?%{P4bQ6ok4xR~DcPjjm3cXwyA_j0{>J z6s{|s7C`#xf@-aHF-boZ*;E@y2(lCij%n;0aSI-&RMP^68H7xA)(op+6|Ff zn-BY0+#g2VcG=o=&$klmjE{Yd?u|jWT|@g=+(i*L&%U8-$3@xNsqJMH?hFcbwU4iP z-Vqe?RAA8K1D$CU?g$EbLLG6n?!WUeE`Je9~HRu)%xz5I7WuL`;^F;q9eI0f`HB(;1J|0C6<0SwTM4Sgce z%?;(02`FrBD3%OR><~FJ+!N?dhH?fSac3I(qd*z0lfrF*Vlhv2QK0A?iK34{_h3V> z3N%mipv0W!LEJ+uE;c&QJd=dDoJj&Y-{M{wD5GlT;fg>RmlI{oh5~(dqV(sW_!lSo z4}sGEBud{zT+aVW^lO3suAzS&=sOMla-e@?=wAeSlA)gq^xcM@73g~nJu}ev8Tzq6 zKVT?#T2hKGeU%Sg`YIn*kMvy_V|TZHRc)nPzov%ui{6rP=%FsZ13q-?ZIy?qV!f68 zE)F?fA7LKo0Q|9D(Fu6FEl75lwq?XUBxK1!S4_HlK)XDg#g?cnIZu_4L^p{%xHU;0 z`)GO@7cCIFo-_HSjAETp+=WK>u%Mf^3R01M$5GAw-I60TEe%k3OVItIr6zCrUKh1C zdtFpMtjq0!erm7i2_7G|7SNTwasf>q=H0Z>Ri7HEv~B#%tO>nlSYm!180f8r?iuLS zh8`5C+stPN>V5TofpSV`_NmN+NR_#IqRit#okF{}$7(>_r^}NRrWJai?J>aDFXU0@ zzKQZ!^pQcMQ=7t=l|nXBxBP1i@P1vry^kVCmJ(2kw2|@)`vC8>-ttR!@UL4QPH4u} zzUH)?LP31++FW@nL3dcrW=76@%|~_YHIKE29JW`2Jgz8AMknyvRBGM=#0QsDX%$XE zV{BW0n9Qn?ONrJ++GEzm#^0lYXTG{43b{%EU$t7&mOf8S^6SzkS;q>Nt#IPFo|^LD z+6w-Q`HCuE^Rl8`<$=v?1QQ-=_ z^P1P3%(ZRtY|J>RdUN#9;QDthu4;Z1*^y4`Qlj}=8~r;=P4l-)43!Pfr9`sh+V+~6 zzqM=At+$%{yF5&+mw5l6+H9wGv++#*q*GA8?sEps)F#uzc)RGHe6`nH)pN3T&38F# zjgWJrKWq0pKEqZYg2I@!ERB%fN40GVqTs6!Zwk7%ly{Ds-D9-!LZegn7_H-c z_ZY1n3Eg9~@}YZ-Rz9pLkI@&EK6}ULx0w&rUJI020W)~k$P-0R2SrCp^m~(ti$)Fw z^y{R6oewm9ILQMu2v9V=jLV!9lv!?~%z#1BS`x(z^!ej@YEMO6<|9dA)A;-85}N4d zfj(>~vrtmY(Uf0LmN?K%-5&Jl@_^=u4l28S-w{!Hh6iosDcsTAsl9U{*E)!+b%wqp zqRLs{5m9?u-*?b=L{#bMJ0dC{o+$mzw9w>I>_K({mWoP%LJN_8k#bCcE@iKJ@)Kl@Dh|Yk8lwmX8Jc0Ygs@6pbib)^mYw zY-sQMDu0>H&>PJ+?sVzv(uY9MlF$iQn?g!*77EHN6f|d+pnWf5<=I~ZcTOriNltz) z(03cU;(HPQw$Ow7-2=Yz!F{RZC6o3Cc%`)iM>X$Gy9RNEo?GfKYf~O7Uo=#nlD#f^ zT_8JJrG2XWowCz+=~U@hue2Sw^h0YyTJQFi?YSi$ac{J^S}~uDcXY3d%E{hG^**Zi zQQ0d`><7~JpS;<6qqaw*{KUMMn;rd`d-bUAYx@GO-o9_l%64ev*FDYJ-eW4Umu*&1 z=$x$m&WdyLPOG6^gTL-gC||X+>qGU1bGFj!p^(g6mU7xJn>H1{l zL%UD)URiy0*C!`xS$&RExw9t6ZmsTo)AW*2_a8Hg93L9U@>9@^wQWUN@p+cd+-0+A zTdCY4pfSKHXzqWV@vQHEtI~W#$itX%r@b#pD~_Y|sfz3SZcmKV&bHK47hR%ioj4z4 zH}Z9-Il)Qax%;X>r^=2>Ny*BTD0VJPFY>j1c|SLhGY}qpM}*QBo?3vsnf8pI`wi76 z6MgU<-!6RzEZ=6RzAYpMD~G2+e)#SGF4-Vmg??%f3IQnV2It4hw|PVLW+jAgK#9(M z*_qc*M_!+e(8VQ2rngH$`BtHR6Rz%@Rp=)yuct&_73%j%>!#{wn(68$7=_kvRZiV7 z%ubFh=Y}PpIU#&i*-VrZpet$^ws_R3%M^kRpOMJ}w5Z!jK(0bGO((O{c z#Nr}h*>|bMEA)&3<)zC>o6b6!Tp!{k(S79VCA=i+D-~K>f^zfjHQa}E2|+m4RtX_* zcv133qpLe1`PEmPtDbvk;RXCy@fGL3c0U>?>4SF-*IJtcMrMI}I{D+VKR%P_9bV2Vb5ASwQ;68;vFJBVZ z=h?G^LVNAgEloNp%;)5DC!}!OP@+hCkBHkorK`QRajQ{~U2CDCvfMZYSy+NgQ%X|5$E57z{mRtQj?5HOiM_&GDW@vwcGK)b}bicB7~GLh>QpxPzi zd+T)%qL)~CXs0#`UEN~HF45!}J4j(-`$U;bEUs3){T4&rr06_TDN_0O6#g~h`dJIQzp`Dnmm7tD3krJ}s?!?UWqX;${r8}-yP>*g zvR$_JDLico&Pm-5I@40qO_l9ZwC{Lq->lir!@-u?)luSI481c@ze7`Zeja3TuZg&R zTjq}gZI`Wml2x0+JWFjX=sI^)Lr&qYi0gNAE)H~#(fy}pesxFb4i;DUc)r?D-6iUr z6d$&;xVmAq-3n(|T%EGv6z+}u`fa5DFVJ?o*w*OkCQz3W)$!IA_rVEMb19Mh`VFJH zqjVditJ_muO2qZ)7WbjZL;LnrZvm3uEses%L7`o?cD=PJI42)3{W(4DpsOD4^)284 zWpjkYxl0t#Pt>{pZRtVle2v2$ySkbbWpW!rz=}US}=&K9>)79S)M#KSp+8I z`P8l<=laB+aBW-Wbyjb(0#3%3+3vZ#Hg#@**PBq!nY@|CEN6!n9>Zs}p_CF?7r$eu z;--a&FU9o*7FX6#x6{s43w4IWRZR-*@oh3AFSENGdABX8&;oqS(yE+~S#3>BBV;>g?Qy<6cDwY= zE4d`V3I3QLZUyzS+L*bxmsK>qTw4r0y4daD5%G zg=PD`oq~dMr(N^Xf?O+}SO<4bw&&>5#B%M6d`c$U^5A)pj`-D5nw0euqr_($DqXp) z8?}1^Z*Q`JIM?@y)Fz{Yw<&o4(ESOj+)k|1_Ihjeo@ztwF~H|pT9SWB{KsOKc zNkcaa^synzmrs>n%o^L?uxUvruGWMvH40ku>E6bblil06@}YYhS3Y!av|8Qvr*+TH%}XAj>uc^>x$;%S?y+C%p!2Nq zq03q2!`$9B2Yr*g@V`|0lpF*4Zkj43;*R^D3GFW05ylY?1o{5~b{pI>jmMW=zCrjmn_h;I(lIw41mqJJB(bYy4~fzk(50`AB7GNMZ5Qob1L>cN?7X8qyeG43U=+PXyYCbN5@t*`gKulIfQ=dwq&_wMxl^HoYFvx_hHD8Sz%%fs7exAOyiA&e`sH2BM+3R2_uSxrOX%sv?Sjw^%aiv#5CV!%2DQws40&ga z?!r*$nM4^-<2&6#qtJRQ3?V%4E!luFYmj_)Dj6AmduHV3*$6$|DC?FVg?hTWjYgrK z?)ckiAPN_%HJn^^E{x8Fj~Di(Ea-+H)porrLba_rQE0udNTGi`DOvElI6fTTs! zBHj3;(6daA-WA`L*RVxQRu-l%7Z7~d@yRGXeRQ59zv4FdLxcWUp`Ytb2L$RP!m3CO z>3Vxfn{uwq-3`!vWEIe*F0LvM@5#)hTthfGDCF#(@*h}49J;>ORk}c0>4+;dcmIPP zT^=Z;RR&Z#=WK$8yGC@5`DD%;Z|@VicqluhrjsLl7p_uE8xV12fjrkJ=oIpHiPJI! zh1ZvdV)@zRI`iC1tP|Gr+%qWXB!=AA4XQIOye_ow*iS596!q5LZ#$V1w`q?SP_*Fx7 zuCjaL>g3@4E$;ObQfTj-+tDa22@35}_!;dwVZD9obh{Molf}2UJlq|5XrG7eXSD0| zct3So=T^7N=4Z6u6sf($@}P68+hy}J+I4z-+mpOqHb0|Xr^mM`I45;3c>9Fvb}8EX z0{n#PM}iN&TVRVK)kwFG-P@Gb2kLX1_WJpX$K5be8^x-)bHsH!iOzBu`{B~`n%1+l-s&3RC(Yv-%xw9^7D@A?zrNd&>^LdtL zx9vVPM_wrOId7eh^f_00960cmZURze^)g5XUDm(Zocf#yb_kv$|`n0)n6%Y<6Gd;r?=Y zxjQXx;jpl<5j!J@U}<4_g{9hgoZ{agT;X3~YjX%z7S8wO?PjBa*%#h?_|D9C-v1); zZ;+$Pp`;gkmT#?!=+CdG#gE8QL4S$ze3m%?wUu-``SaN+otrj9jn9f99Pv%s0xI2X zR%MhKM|rV|goqtWS*Hj4|7Ntvr2Z29{zNqK7K_)!#zkqj_(4OZ42r&>=m(Cd-9I++ zberQFLoD-rb^brjkr^?TUg)a)&2^3#rV|#KGlaciyMZsHj9pu}Zfpl$QxAPuzPK$C zOk3iBK@jZWGSJxF!^=@YyLHS6`t8TM?p&UISs&1~VLnDrU1_<2rL;FIn}<7N8k%RI z&lBl)k@~{t>L}f@(eQfK=!A_hZnh&SoaBHzSAX|@PLcw{0Uk9oY|vKPMu2ttZa^$L z0j2*|a3bZBAt1#P z?{lBr-9NH(CwouMoS9jI7cX8^;G(>6e!irh=lWLeAUhXlMgZ?yMrltwM@vS20U^e> z!hG*U`1s$x1u*JZxqI1JSTSmvTR1TCGwLWa8d!Z{l(V$+cwR<)fc|Xb#S7w`{|zsG zqW#~voBMyG56VFxcAJ;Z#go`~fUoQW{Hu_cV5@)r7Ver{5c_MSijDrjZ~Q~M-IyJ%r1Ref|unLC}>)NOF+)Xooq zO4O-t)aSyHO=t!fn4v&9sTBA0?o*W~aVMh|dD6W*_={$3072+kYnW zvJpzt&9`T`B*xO1YER#gZZS*et3%%Xx1Dd9 zw_^Fuz1+u^PV{;h&Whn91)IO#Op+bn+U}Fr!t`y&JyBTCRGzAyLw(c5!yUg8HH?Oj zvCmfAWc8DzgJOe-*SVpn{37D^u|iWi*6O0Fq$zA_79DOL%bppGyn*3e7pwgaP8%$4 zoC;oa2Kx06vs{^i&WqE+hb7Lxj&~O_ynBeFQWz24=oeCG67yL7zS_7N!=gaoyZA?8 zMB-r08+s%axSD58=eD)0RVN=S;n*AVARqg~cg`FWPPyy_vYM703%&M#O{*+f80^m> zai-M+V~8I|47KBLJ{AV=JS<()y2QW6e3jQ z6Z-Q!?u(`#HRw%m2|blBHoA-5pyV1pIw6(!y?jb#%1rR0Q!UbL@v!Ak(19L5tzk9h zJK2ilSkN}@dx%%L^d0^lo!6mSOu&z5q2)6WyUiEZ_Gx^{1+U4LGqP)rH-?)4JVEs)Kvpp+}!BknW##U@x zwZpioqyhEC!k$@t0Xb~KP6+jBRjqLF&pv6V-DwNetCR)@d**`pxKrY+F=zIkIIj|tqG5)HZdo_dE6un6Wu8M-^Ci~|wVw6g6Xo_jvB@a3$9F1( zonsg?oZ=nT-|qNIFBS+;TaElCa_*VWKggS9T}R>wqGztt{=gt}b9zNh zV)1dKHy>N?cc5{ivG3r6D@jOfJklxdC9PtU-4?;?IA;w{+uCpWz$s)(3;H^|Jwqa= z`Vke0KkZ1VxYCD)pD#>h*VG}P9qwMruN{8#mc{Pk^c}wl|HK_;N<1{nMqmOnoT`Lr z;bmq#uOpG-A^*fI4Qh#+{9db_LsmR5^~;GV%!vga)Dlx0|E#e0dz$KF^%r!CbURMz zjh^A(1TVgwr;vY};$=CUCsaI>mtXLwRMcTmyo$xMpSt|&t{uoOc@MjdIsa)dOxtin zfAjTDF`9szMG}-fqNoC#7a-o_8zBs`{`_WR*jHFCpwcPoH%q6|2o6-?Er!512;24J zx8${&Ge_*8e*@YfKn*w~EzzY3>xb9!P&5sy^~$c9*@W=th0%Qi1{~MLnxN?S_9AP6 zRx*quG-xY$Vc+sApc)_1j?8%9RlhfO&&JCy2>YMYcTf2CzwhGQrM(?i{8ewN?Jx7` z|LqX;&}1yr=G3G@Lh|DAzpBMnM^CHXbk$x)C&h3YI6B*R#HW`E@SVKfcAb8Qyt$Pz zh;gd;Imltb+33lT{;;om)+n4;>g+;N7u@i)a}pvUl$bl+Vq2ik%m z&3`O*zH{e!_y?8pD5hp9zk0{t3~gU17|hZO>^Pvdrj|-tly2f!#OhU5NPH`Ps}XaI zamH_Wg#erAYY2$Z_qBW|Pj}jO@EKyD`^1uxG~{C@EY_wLkh8W(@yRCht{U;MObsL7C2@gXTVs;z&Ed6!*g`)N`3o5(z2^V@~JcR@1# z<+027vW7ApH?ON`mhqqbn%{m}cigY|z3$s=_^=FEb6Em&$OL6{wUEozUsIqHn9*ierB-y<=0wAlRP4_P>&CfmwfT(d zuk*0a9jLG3?wfH~KU7?)z2bIu=sj<_pfBzywJ>hwG07t`Z|^^rre&t7(M?U`O!|7t z(W8F(V4&8s$ee@{yTa|q&b4GS&dVq$RR%IvL{(}-Gf>Lq`?%eSWP2dN)b4@-h1K5R zaM~R`K+GXn?VSs(`8Z}=ZM7cRDDK$V%-akL0#}{`zx4k3`6H-DJlY!X_JaFO>-;2H zRwe3rcl^h>T`xwwfAZ{MzeyCh)*+^l5(F)utpwcxE{JBvMNv;={abf-XjptKUD1al3d*XTJz@%l=Cpq+S`sLc&-j zBww)XSPqZVtH*xvHCbz9kvqq!$WuY1U!LUfrIc^*sM%+G1HQB0JcDmK$A5(9ID6OI z?KM(6h150qF;ftL@6&!t#i{6a4;eM&RMuKt%MOz=tF<+WrtC@12YxGW2>Y`OPzOH(w7UNGc zs9Hlpot!>~{h(D*9ANI^_5)2_*A|`uVfvDEnA~jD$V_LiV|)MQAGeEMN}SL%VDess z5wVgsb@XdjDHD98E&h=$r!`TA1G{8Xgnsr067LmTOOrb2EIbqb=ps#}EZyn65B6Ua z&C%MFXJ3K=awLTo|eakm670DC}m5iC0;tz|R>%04$9%U9`cU5i!nF zMZnJr$tA~V9n57+iA{yc482y1J|rDKhXoGMN)2A_gD)cm;ieB-BNylXOOA4WO@15U zbbSQb+t`D|2Z%c0nnJ>Y#wBoVz}}_|xb|j%32hH8NOitJxe<~)$-y2>lB1Y*z3G(Y zYEQ#1$cfP`xFyB|lJ+mvu+{}hVw+~ZnVdU^eAI=Q-HfgondNdbIRI6pS2gUfQo%d0 zY{Eug`($vXB0iNSaf|l6QGhzo2U1(J1NDEltF&9%sQ#-3^1QhrCd$%MgPCL5IQ~&- zkY~eA$!`R1+#SycXTIAsLu$e0H{95VKcIDiX#|tFoWIN;3l1?9jBtS3rQnc&}n~@m%gvG8!TY;`V$ml=8IS}>QFI~ zo(V_+L)HJkuVe3?w}2I_7N-e)Hp`&tmBRCyp-q0?5Y*R>O~Qd~ewL@N{`*xwSlw0^ zM>h%lR_|R-qwGaajD00LQ(L9WYt;@~n)Z;ZOUz++Y%6S|Gb3U@FYVS&R>7xpaEiY8 z$LUV11eupf;1oTt2Zi)!f3N<}U&*EllI+=DY>4s)-hxC5OLbd~i?08y=FD0SzT&`k z67@-`(o5m^_Phs9>HoI}k~t$&qxwp=XIpV7Y&o-B;zQ(#1ZH`T<;|NbvK-pP3$+y_ zZ_!ZkH^p+a-e_tOcj^8GFAz^RQcZ6q5|XCD_cm6~`j!&SIOfvlJadj z-(ld0N13+2ijYGu)nwrEt0tTMRrns=?k-Ndp>!iyQ`VJI)8pygWMg2~d-Dv4M&xdg z;C9lZar&Exql|^#A^1X%ibb+al9t(>FFBuN2}g^IL)qb>Q@s;Ik+* z=DmI*bxCgp!NV$;KM73kxDO6p5X}k13o_mTU+P9%>L$8;8SzZ?_Ok$FOI*je4Xzml zc|5907mer>rw12K086|Y_cnq!0haHTc3)Y|1_!`fOx8tG*{M>eYePRU<;Tlow| z`|>}qk^f;aEd4($jtnMIX_|>U{^KSv`0p*0v!7q)dj(78+^}P}%N#l;gC9izmP@y@ zlwpvIW7EM=du^8FXEMwD&(SFoKktw1ZAH&07jpLKy5ncBFA@{`4~@1(s%ZnM7I_9< z^b$j+No?=XB#4mrQU;csvb2lcK3MWU zx_HxHKvmu@@N6M|f&MPP{m6h>(F zo9aZ9>S!(R$r1fKRGxfNTi|Igr9VLUB`4`F>pmF>aA=l{Spihw?6_KaXMK}t5K9*s zh4;$8WN9)@F1BwF^VYF6c=_jmi$@GtzF3sXYxVXM;S5*dX}$>d6wI#)9s@bK`)MU`ff*_KWaLC)WbEaE?)NlO@40+?8GI6{RSD@pkp1?Zgta*O_i2=vxF;8UMk!c9<<9 zsN7uqVZ1JVI74K|(i29~@XMy*CykEg#SRIY#&PmTzc5?4{g{u%S3RdF!;xdixmn^U z5O$=uqtSe6c?vmSX>SYM87r|pJ^vBFvb;NmqVH`RYFh7BxU?!TK9V641`$mD{PeKN z?!+SL(tEVkke&!xY$JOG0T5xAKZRKX-~DSd$?3HHg zXH%IUbLNj{RmkRvh|;G}&)3rm*=%XA`RSL!=zQ;;8^Q_x{>89f5~h<__1d8RpR-0( zcEe?CBJZE<@|``%`t|oN8_`F`)K`5BTM6se7L|gFlFKWgQ^(WI*mdm`^ZGo4F#z{k z*tj!&nu37`9t>Njf(}UH+418dm~q#w5?-Eflhz)+ zsk5na0OswM9O;zd9fp-Za;%69xd=AqythS^Wln3#_tE%rUVgpozBe=O z9jrO<>)t5#@hCPGn#aHkqlP*O7rCh0_*ITQg&+$KmMeLLxA>=R%fFQDaNzUfM8f#R z9*0c%kFPmrON$xMxX-frPif>#w!3gYZHp}YohI#np>mJZR*-}mWVtJ{eI&AESUy{X zDw0(_)@rH0aUUXyuXCelR%A;O{mIRAi~i@s`M}paR4Cop`>j|i4(S8n=poam!G1rj z!})khBLDR@XS-Pe&#D&|^d%i?UQPvfkW^hvq%BLhu6t1)j`@I`#ONJ42NRQtvhE^s zr3Zi@0(=@03J0&LR40%qc8hx`>?GKm8XPg*k18?5AIFt*C6%7Twbk{p?kGdD*SnQF zem>z*w%-Cx2fw3<$z}S`J)seIVR~43Ro3-a%#j1>;^BKO=JJuC3~f}@`hJ^3)*;4= zvFfDkv&eG*Yt#r6(|w{~jA4T*%V|}SKfLn>W`?LrBuFi?^-Ytv<~7~YrtoCCSGoB1 z^7r?{hV_@@BX1R9B99htGmitpnmnZX0AL1yid+h#iUE^KutExOtZM>uVB&ig@Zt8K zh$XV}cIAVSn3+xdRl)DN+TyL?EK`ehB7;uOn_6RYg&1FxC7s|QJaguH(F}@ zuL&kHJRTK3Wil|0mY+44`4?QXA&Glp9yXZuUvDwIg`-n z;krnSQ6LIFx^|Qhj4~ZmUI@mv6iPHwPX8HsUA~+wVKBerX~SJ^8(N8=Tu;@}|Mc4` zL3w3!!8u;V1TtCVoJ8W~9w0q;D`mA(4ED!RaA+4`v#_k(7B#5-aF{!_7dUUkSz9_( z&$LtpOYU#i8u&8E9xmI=W+@BuDS?}|kQVe9ja_`?Z0HcY?~t*uiO~ z0{E`61)vrONtwCtuA@w}o8JVkr^qSu6Vh%4nsO7i>Ck_%sUx_AFp~SP{#`_S$Qp6k z^KbxG6A1>g>MA zHEyr@H{S zEx(qjd~JuKZa4|q)qb{&SC+D_<7}tm40rCAR%f=-SQ4_u3%cAEi1C@w6pfWpbwM8q zOmFg+e;e^qMzOP(kla?mLWnBsgGG-`edu`;@wE7W#Q|bJa!)n)ixf;lw~vU--xDPY zRz*nG2mj8xKPmgS_EA+gm56MpWnSyA;=oYZk)<(f#C_c9oWsYhcjqgI5f3R9rr8wZ ze4}2u7(Ty=ZYs-$ZhhZ&HOILwoPJYlFbx+6s)78J*No+Lt1>mPxWpBM17yuweFlsy z*+g&%=i%GF@mHc;>GEugT*-7b+$(bJSE(~{uv_@55V2(6gm2FU0au!w?y=6ngw(!h zX}@q^iL6#8EY%~82YhGZ60ShY{FK+gh~PdYN&p7d5VM1+1eK{lW=`yZGB$EppF}%o zQ6e30R@~9^1$6t=W9kH~`u9zo>_rlcb@uu3ud%F*2Y7mHHpWx>4TuRgC(Vt0fM5}J zmo>APmI$&Z1*E`5E_c=BN6o|iV$S)c4;!sa0K^Y@_lSOtOm3p(q88APXR2WPtMuFQ zZ#I5WLLHV31Isw5TzsF6cGG0w8$LVuAq&WK-?y&Y6@c$0Dkdd`4P=B;`vJ4A98D_P zz8MENY2(lm1!cQO4RT1rE#Mz%VT4Cshb2LJlP4uxwwU7tMmj0Khu$}z6MA{XDbu^h z5dw2i5_Ni|;At&y7t3ZJyK*)UH{hSt75e&yR_8>c^Ww&f)7ivN zW1ngv^qfkt5oEjM`7GALQhk(N)|D0ImZi@t+U3N5r8r9EmVxS(mB0@XiQ{~eHgeaaisX5i1rHj06cvPoRzeo>!56LE%8 znJP~1tjdD_FPb_#KR%L+_N(sP=_;~~7C9*_teiD#Km}mcKcvmo*fO=$&k{W-P8HNW zoOt!>=XjJ2lJDO;#e?tbI12SDB#m_r$>d&#@81QNZ<2JKYN;xq^;09aYS0f93D)~6 zSGi7mlNx?{Pib~S3~dclRUvidJCKC4;-Mh1s>%R&+L0GtC5YXcfwid#zT6r_-GmP& z>TdveRWy*(H>Z)J@O{!_H8kV*v}kxW_RV~Uq?2fJW*O<4MO=T~7AW!=Bk!oSykzl$ zQ?6f7U!^KJt)RYSLqZ>siB4UIU zjk?4v>6%iVjpp+2{0_unG&X-p8+4>$lfhOAs1jhza(ek|N5bj7*y4fnh%X$)t+9D2 z{Y(XO3ooO&0NI{|8+GOzMgPYcM?EMxcwCT~YsBdnqkgya*i;$-B}34Vhj zA|S0Jmo=`r-6fXIp^{|PD!>kdH>ZF>xS^GKQt@)KNq*4i4cN7+a$tZdIUsTG?xmnb zwJp z1|#wfr`d;KNKNVu2ym2j{=QMz`Xkm*Xf~*l5cXPS%Rn9W`sqI1^%wiS4BN2eYj;>) z=~k!nh!R#b^2>a}o=_zPd&r}GWZlcZ>vT9ZXjV+8_|!K+(-3(%XV%ih;?U)&03Y-4 z;3RUZ9CjUh(LKg^B`8TAYq(`dl5fn4%7p=x<&?Y6Xbb85D!0yXbb=7Loz1J~PObfZ zgTCTAmZlH6K%dZ%!)de!0?JKQg6m-2C0iV`Rk-UsN))c)z~qDN{P61NX8^gFI)YsT z`%16*7Cd9hzTZv_Z=;*m9g4 z(H3%*A<0<<8@>j*p1}8cWKO+)-kS9X8?~}*50DFe_e?H;#$w^bgt$qJUafI$StBV= zIo){Wv~D-;m(ERq{A*>*@MmgM=qVcUiF-J6q_ALqylL%UG^rppiYV641MGoF`|u5O z%EU^7P9&ZT=nysk7D+MI$ZlwV%+0LW>U0L>=vw(69#}#&A0`?IbvxCkZj(tBJ^LzM zq1z1&(J6%Vg`xpo%w-kT3zh-7nI?#n-sWC~Eyq$dr{0~_qM93VQ;ovanwyPLnq-r; zy-~w8Pw@dsqv5A%IbAH8hGKDr#$s`&-s9O~GSOkU*ck&#))U7SA=HkDW-6DFyQQ?ugg-7gv!5+tAviehZW3#UHp`I&*RO4oqbnk*zHeGKazS*Ypk)k4f0d^EC=KuXA=1z!C zxZ*A#Z=-59>GV3iPL@WGdYb2XubX*s&ssjnI(jl3_0-O#Gv{zFp`g*1%DCW_pa4M{L~(zqSL;f)K`GdE2=%~Dyuh5Pm!OY#V*eEq7Ke*m6^BY}_g z%TCtA^llRuf%f(#H&kW3C%g0!E0iKHqvEU%wc$h)46yJ>jYUMWJY|8DLLVdBmd2YR zKXZeiG2AWU0?mC0m|FG`!B;}(xhS7KECq>eA zf`>(~#P@#z2dG&BM^!fqjl0{YqD)}QK|ic(>@&%-3Rpr6N7{*OP(l3>pGQ98n`h!w z6_c9@scisye^l(DbNgL@jKu&5Wnr~V@AoWvQUc$YeYo1T0z~Y5${jSs8F0DEvDOo1 z3*@xcB52CJ zC!a~3Yb9(-?y_=iaejIPfNGktNRU>VQpX5|Tn2f~5 zfXo!X0)D(3hmB9rk~2*j|3guCHefTbiyCHX5!MqLW@%7L5kusk}ep#|ab>F>nJlP#<)s-;* z6E%rspXicV@V5T0fpwM$=em)@7P$r4#UC6e+6JJ5IBi7{KC?S0x45Lyb*1>DT{l&Z zDSmGVtb4Y|TClYiqRhwB-lyc^SGsFpJKL~&Zl}ZN!BfmNsrHxISIX{BG5w1qdXO`wt~4dlhkqqoed^uHquzvv4qDFR znmmKL-fSR3A`DW|+m3AL2uOwN#Hm2>somKJ7jrMPUw%p^+I#aU;`?ed5D<0(c!MTi ziZOAGE5d9kkeVmkk^b_=vpi_nmj&D;?h$~}l6d}aRu zgM*Q&JkC}1Iq*SgP#~e0CK~dVZ>XKVSyXDg78@W=D;)o#FlDp$feZTca78Ge3HYT#BI)0cMVpYkfH zVaa_aAmS=5vI=?_g4HN0gTO89@aWU z*7GT+tZXo{xVyflpSU~{A4pQ!erX^{edqEp`P3QFC z6(y5b=o#VZ*1z6yV~w-^@~vR)R*RE7kp4o@w&<qXlTq?K)*GCmHSSMzvIvNxSpxJ<((9~vNp38S-!EuB!^$PG8*c<)n(DE zY=&7dN%R2vuCBO!3wF(0fipc^z85Z{kAO9edlp%?9{xsS%Of~e_M&1%Kl2nKD!3NXP_TYl^J4E0yYt{0r&O@!WFsJzBT0g0R-(U zO{4piYgcfmjQ>!9$H7}2=|;v(8!jl_I8C3nLueW;?HXD8o{f5M2n6bonKwYA5{LMt zcKBB^|7)fdB{P?F zJNEz~t?op3>>?T}V{YBq!rBce9ioU2TO6A+mjzkVr!AigX7SuBQNdgIV9->R zOg0XcwuFrvzYATZzlV1~USbahN{aC0r9?l9H8O`k9nWemIuefdoN!*4(oG?b)nyK8 zNMH^o>SOc~ULOcCM8B}c*d0M;Ujjr-XT*X{n3_d;QE}Lx%WM(RzVXQ=JC%Ear0c_t zVb7@{dCz?I`w!#0)0-x5!vEpggZS*W5SvmonAcUMBlYI-&%%#eBnu|HUfDLVAJE;5o8U znf|3Kd3tPS@#bMZ{_pnN?xh||fBi=t)qEY}Qc@;hO-dyiSPr%g!)_ig;Z~0EP1+B& zWSwIklxg3&`8I_Nt2!9ByIa~s6?oJFBgx3^5iq9sg z%zCSs3Rl{GdLRTM+H%ATyz8M)xdoT#wAEPeNc+(&^Uc*=me1?ETm3{G=H<><;I$WM|<3=;#+KG8ElgJZ{b^;Rz=o$x_~VsIfBN&=)?D6}$BI02;_w zdXKyp%T6)&gDA#$%0^8@3=+}9ZVMwJ&hi0AOo4^*!0VjBe&W&cD zxsOKB{|1zbK-_Z|Co9Bom;t_vG`nXK7Ph8;93aA10DF&L#Zz^mR3?S)xwG}PUK0W4 zKP-$yFEj-uiB7-HUS95Vqj&Ief3Tal(fFJOHB4Qd%;kk8a+H(r9?9SgJV^X%wu%@8 zG?g{0UU+SQYR=@R|FLGlDB2dLahC_?PsVTFv8$kS&4IXN@tHFjNT5J#Zbypo4@!R& zylD&4%VaV1#AESZ&xTe<3}Gi-P z^)nu@b?g!YYt1~!THQra!hU@zmC1c#*86DGT)=!yu8nVZYqmH$AZ4A1Bnhd2R52CO zx#pNp+6IbHSKQIfX4wBJ<2d_-C?6|o5)(08`1^_Zns&ZGDcAo!g77vY)G+zr)W1t) zetR`w+*h$8*-JLCI$J7S5HjL@6VaCz^kdwx{=l=euNhnC6*7V-)Fz^UK*O6Qm2d{+ z8)I<1&pQK+?Wd|l=eqgD6;0?2B8&%(XHrmiBCDk&Wei7%2h79s{YODJv(Cq_XpTerX zSF^hJtFd(Ll)H>cYNoOwvBkW}+qmy6gT_{o38e`XyZ=NHd3ijw9=Nqr@OS#P<%1kI z65`39c^+^pJveXJjr^#MS^)pM3mM(YHB3Q&D3N=m^g5bsNDTdL>kufzYBpsyC?We< z`fz{R)5FLdE7mG4DxrlUwDdKPJ8U#)1m;>alIk ztgUHjjwrrvSjtrHISyn!t={C$x&o<`4srB8w|2%Ye1_)!C(aTLNcsbcKh0>4Hp3ba zKMT+VyNS|TD^~gj;H9-^XWysN7e^1PSr?9Gh4;OG7FT4-2k>fUE=d`ulCg(_t9?)P z4{Nyex@i?;Cj(GQY;7oQjR&c5sY{_a*o+9;5O{A*!j33iF9unsDG)H@e~MCIOyc*lb7ud%n|V{>%CElFkPp08xx)`+{bfUABm?iO@n1_TANrs;O4o07;YVZ zM%tsxQ<54d#<}-y6`A{q3&=X2^3v2Ya%tJYLXms&6Nv46I6)%JArPom&{ngTIYeyq zL(~PC?FX(F)T&TTSy7VAbx0;K(cM3zHz`CbDEHTl8&wcnZ19MYg})P~*P}&~kHBxm zWr%8{!mFci@HlWLGLP55njv{9cxnW>mJmOA3#7K_%~$b6*FwP{mDj_*>>Xwj>r`e5 zHEmFZ_)a0jp>;=i|EXy8kgvqLYOTS;1Me2jmih~pZO`cqFf%&3=2vY!kfhi<4Dl=j zXH`W@?s$;#>?335K#H*2+*v!@l!AM&a2EbOduJ@e&2$~TE?su*ou{(PkIJPq4b)q4 zHPr?FM*!w~(^Gge!5js$^VfZ@S zwk$Otjao=HtR5{+Ii<)8VRp*N;U4IrFhWk{?mZZ1@H)_*>z?ENYP4!Cqrl#Q0Z{c~ zN!<$|% zrLVj3k+8yz7am^CAr}(-q}$nfz~6|qGCO32q!|%BHI-&Z{Y-&x$Qe@f_BpRJ9q@Wz zM2$%1+1YUZnO)SgyFdi`xnGagjZ8 z1IAqZ+B$o?UM^^ky~o>A!t=Gwur;`bBdCu>T9EBmoB34^ife7Jt_w=;zP8D0yS*F~ zxR0Dsr%HM6=6y^)2(ozpURe zBVlAEZTJP3U2vD(MDEjj1yjo~SMlW8lb#z>U7g3mfWhkz?TVp4+(TY391)$tClzO$ zqqK}~Pk15QHAb=+NeO!_Q&-}B7wR&i5Ya06%$pkwudo^x;}hK6+B;DN+JK7}24lp8 zfgqez4<-&r89YDziI7$)nN4`Mtk^v z{C9bk9CiHhYLB>ukG<^V9F<>jfo&{-5DVSkJXVpz?k6U9bH(zOblb69&-tY}=C}*$ z>(MyEi$C~G0J5r8Lh4}Tpkx-!a7k}hYX|mMq{n|bx%i?>>w<*Br{cfV=K2AkahfIkq89y?co#e-g38nGv7jx!001`9QV3A?g4RL3H}-m5C9O z0*|BW(Xhn5)#LD+|LaFf-<9)*j<(xyadu-&>7;%+)D_+)7Hv0z!wb@OF~>dn_`SMFPz6&E$^heLL(%W(#$YyxAYN`6 zx@Z1#oAmd%>BB{*TdeM4&mz@mLG;wm;&o_bEK(w zlDq5HF)uHJxUa*@Ublr{Sm(9z%%t{cyVkh>12enbw;fUDa3b`xGdAcaK)Dmj`e*g3 z{FZb^1mPAi6EQLztbRl0VSW`5hx|Ya=^PF3Pc&_bL3>@i@pVN}RG|n?yVLvy)#3N%roI`x> zRTa+R3rb>N$7z~!KgL0^{tUJgqvEObv#{=S_7&}wzu+!;tNw;*RV83pswLWD!-8;! z1XYTm4L-LAFh23mN~>p)J{qL{js-Y*R|Vf#pbE9rL{;cqv{EIO^(Iria$`Ad9+>An z#VBu4DMnkx{%i_wTrC3M9)IR!%rN)>*51=MZ|V4J_2&8ZHYX z|M^JS)TJ|onUWqXYX}Ra=c^#RN!^q1eR51XjUBMR#kbBd8_e9%xy5WxB0fcJ;0g!- z6XQ9jwamKG#dKOS zJ^QfGZ-oT<;OBng^nMQGm2iFRQl(LakJb}Kc%!D!STl919{4X|(vwXfNb-}xI@l$(IqKZ&~Ta!iY^PWd4}*wD{OK9o6-|DT z3R5zd7@_>!{?E4$OG?Q;w70@i;W6@)>GY{o%E`a|#v8`LRHUupV}$pUxGxyDHbIA& z>|gFEK`UL@MIh+!o1241S?m(alu1nlC5;gGO+c8S#IukyJ#WAQl@glCKc1}8Pb@1c z-uCrNWh9(KG7FL^^$uYw15)?s>!hc#O18d&Ztu8Y;VCv$wrLx|N9BlB zq?Y$5R@$JVAAK*E}qPosc6>J8AF52I}T;dJ>4-r^DRd=UA?n3;JTv%7Nv zc9pGYbn{jp*7Pj^s7+woe@j5(H-YxwfkDB*+j+_Z1`UI1GCl&{;Ve8GfDre~5B{`m1wTySI2 z+!(s%KdSgT+EGKF_{0K%Om&<1p(%@MY=s!G3kSl-iSXdcN}*@nG2L;e%s_%JOfb1~tu>gqv9{TJRQCFA+Z=)?eI zP-{AyId-6&K~*ZJEt?j+0aWRwHLI|6N?5T@IVR7Ys04FW3D*UPkib-k8Il5HQl1L= zg%n$jI?6_dD+vgg86H*7>gcp)OvQ#U0nh#XJ-MM<`c?gx$?LkOF;)_zm*<58rIk{z zYm4{Ec9q|zGF_AiT5U@G=<?x_jXBAsS{Ta0abxPjIKU_kb&KDvQdbuwn~+maBNnTghe@ zJ+QZ{3tWe;6l_uh%JNi5O={p4mNp!3Xl82bv*S2bFItb_mVUeuk?W#XhY?mj_k)JB zQmBKTk2&H7DU>MVyX))Ly5yEVoYC5(2C?-G5Cfv`>tegH&824dLS4%iviG_9pVx!! zu}p{IEyBad@{1uQgh;UGEJcw9TZX8S1q#>IbNYZF3E}wp{W~azfsPmecS&-!&*Edw z4E(Q+m-j$!f3p6Zg?Ao0r}{w~lt{F%BH{=NBTz-9$r<}I5)B+ObuMh2TY+^d6C+Wj zn=+bf5n`K>8g0TxOC1)WG(J}*zW?z z>Z5da_0X0~JX~kx8u0gCAp*x?9FNk9$0pTuv`wLJF>+5fw zGZud5*;2J|958cgRT`MF)l{|h^_4EuH9J=F?$FYJ#!N-7p~$D)+^N0;?nX(vvny%HJ3QP+8nUGi)3g3x zlXU+iUF6p!o!3GHH~tcNy|c8EPb9Cx{^-@m$UnRmV&@4p9Nb!nOUOU#Q~dB+hwAoe3%iR3Dt{EV2>`#z3t0Pt*Z|tL8 z&)lm`t0S=SuJeydFCm{HAD)vm1RlH^!h>#Zu#yqi_tST~65HAv`_ogH!xLx231OB} z6R6 zN|6b?SW|wR+7yGtcMS6g7kP$jcPd#-rI$D_Nu2ANauL;?b6ty8uV6Q-5n0yNrm?W8 zlcitD;hIA8nK!_obi`U59lpPW0XLhOQ2;nt3 zAEkD1Z=!0mCPyD%bdMSlO^D3q?qV`YT zu-J$}SmHoV4yh$io+{^K`p0v$%VgmUNG;1CSyf6nxKkfBAA^ktDfRhrBB|}#T_Gh7 zc6QAn`kiZ{`VUe4gQ$45ygnl99#Q9KB|ZR0Z)jJdD0h9r(xtIzxy|8-U{$akk@MYz zgIUnrE|G=wUFjU6-z9e~tghs7nWSl5%8ss2BJv8AUUJq(xPa()G@L&AB?~8OEd0np zDw*bbr%mfpE>kDw(ktqZ>BVu*)GrPzM#}2dOpb-)ViIS zl;@94eMrOyVncgPg!7n-UM(Hh$~3LpS(yB@F~two?&f7NBF91zJphfd`a9Q)<26em z*!qN;YL~>rAi95@lQk9|A)MbkODnl7=?tBse~u*oeA|_m?x;zeKjv^kcrW>f*EJpF zbRLmqD1L_PnqqxM>5Ryed0kUpQ*;MH7l%4C>1w6O-3~G$HV^51>(`mY`H+ND>--VIc{doO1AO$*ypcmhZn8@~ z14s9bSKAF3A&2T^?&r9-Ja9~UC5LrrBVCk*_5AVLICJlmrStl+O-Y~gl4O$}SKL9C zL8|VGGC-p~ZPt%*&+~<3#Yte9j_4m=3D0{qa1VcPW1{EC2kYxfKl?S4(W5zzCPPQX zI59ouR2`y17E>|Kco5u+e#S4B1t<>SM1A75*^Vownh0`{qtdrX9ArfNldKSycR2b+ zkAvuUOI6QYdc89qps~CbBdQe$Vtb3{=LN2Nh})n(%p zZMQ3<%CF6bfR)~ub7N24@tCChv1cW7bL?5kQBK1U_ z-M`sd$pcOw^o~neB5eCtoz9hLHFfzJQgaP;=M#c(?}Dj3q>|f7XD;_M5)P=N?JMiA zT%RT%`nglx`^d=>d8V^8b9<5nnWZcdE_dvx88LfDi|3$j>44Y~akc^@J%9&D^n6Z*nF+5C z_i~?^co-j@S5FXdj~-i1ndh9F)@yw-wWl?oq#)QNK3sPe?%a+4qFo+GSCyv{tU2>~ ztrO7MN=M{#Rcb2%j1YY1$c_kkvj#LE#<|IdUY3p!$*Vf7bb0L6i7U86mcA#IAhj%g zNbaPMkq=h{gLFhbemz^9_seng-DKqqIVLNFv(+0pL_`-*bPsT@@%5Z1qRfhXoO@BLK6;rSmJz(Al&m*6h~z|aE`J@sF(Xavg_g&e3&ysPV2c_ zW~~pNH`x(2v@4tk>#>{rvWq=-0eOt~JKZ%iBU-UJL8cL8X@c-*Vq3!5n;;jFr3Vwv zegt_dL0(6Y0|~;X1QqK|c7J?i>kQoGF+m9t2%-h%zWw8|p z8G@c8&Kn3alOTH~h~=M3ybnXzu0`HBV?cRBUz2iKLIDnv8IdggZ`OcC+kZf{;48!j z?^D|msaDt2Rzmn~_m>c7JV7X_?7crgBjFj6cM6Mtl)1{W)2GS-U za$C@bGvi!9x!3mYt99nJ8JHD8oElCDzQ$E@DS1N|dONBUltJFY>fV?M$7(?wJ3x*> zDtcxo^01={o&D8w&fpM_nokz0o!E%;QNXHklyS#OgTygNVwI>22hbQ}Sk6{Tx89E7 zfToPqO00=9S(RkV;*u<$#qw5&aIb)I(1W!j>Wr&gXWj8nj~o)h-5M=9ME5REy+Ke% zKJQR7N*v@mKnzFUC(_5jIgZYC&p|#Xl3kU9@NS0+(cF^jti3;HsX`{?GnF{@ouk?H z^Pv1Q!}$k#mE|5|CiiL+4pR*Z!q0P8Ckccn;@s`Him-Eq;52Vj0DWZ5>u(YPOoR7#TQGGs&gIXzTouC8D&Se*J zt6g>>R}kcGf*hSC)|d}(B%G@f1X=<|SI;_}5dK2?+~#mZ@Z6!abW^hQB+_RE!r6wr zad1oNrc$(&y>VSO(I0W}1<6w9Rg?7E8~$wz-HGL1AM-ir`ejaM z5%$JnBpo}GmpZSWq}Sfq%ITxK#B1U(anbW{vP(Tj$fgA0(J_yp_a&+w2(mXp&e!^D zz$pE2yVP@p>_ZS9X}*qd{OC_)P$TF=NEVN&*<(Cn-IMsR4_Rj}ubqhxLpCCuEeNt< zg4FS|fy2=+aZ^8YSBE1qs2LcJL-x-l6hAz>vOj5f06{h;2*=MG35Vw{UPUZ zvVm@dtf7xxOIdHEnTns1rRyq5u20qd5}a9437(eX3fv)UEL=rCw7riECxoN%GaaDP z*}236z^F6Yi(IAp(AKJqV!dr6(aW$)mGV~ zqez7q%e@i8y=qskPg5M)vl3_kK4amfu1qUgfjD39JXQ&wCfgD9dB{oEnnFH&1Nrb0 zioVI|8s+2X|F7+Ix^E~8=6urE&*{+21b!VxF?TrbMQC8a-&a!k))|WKO(($`s ziP$b!CQEaRR&QM4fOLI-dC_=f5RtzmE5JSCT&6z_3y=`@c%faJ6@S!$rNN?I{~~WJ zrIaPkX{o-~vJO!yTmcV!#=`X}iyF>|T<9V^gnzrtrn{fHSsIZIs5ZTs>dueVKe_a{ zD;IPrrQ^yVAz#X+%B!m-4=Qp{s5P6=%5%~m_#chQ1O=`POrM7z=RixAz>+tP} zI{SA@eaqQ=`F3l zxah6qxrr@!Mb2L55d6$`d{&ZsLnI50R0~!m4Hq*L^c?+skJFiV9;gH>C`wr?C(gCb zcT!m#Kse{q7#923+YxO)*)H`QA*T^!gi4T~mbU5t>`v|A_tYCEE=sq(LjjGD{+`1w zLRtL}CaBB#3B@V>d@R+SPwA6%;Fvxk{L;nzczqg@L+AL)Fbv9x`ml*a&#`apKhXDn=%{9`yHvVkkXxE9MO!Kae1XqC%lh43o3 zo4UwDSqg~Kk8oLC_cCx2d&<;n8UacsFhVhNLGRB7Go_h?5WPU97T<1zO(H40lgh#1;=hY*-X+F&P z=zM1K20Rp7hc>HFrjF02$gX7^g!jwUwNDNw(A(urd)PkgB4H|V`dfvrqrKbCEo7hWS5C5eucr{vHj9+xNE;K zW-M{|?atq(-aGIjA6@~7cbx5rICl`|mnuS8?#B~njC@O+O^6Tp=Qx#|{8DW@>7C*gH}V`NH|v|2s{ElO{)a4 zC$kYoS**{MicorWP3jx}ob=(fVe63(HzDcQnlgHh`dm#Awsdilemm*BT#{aUV;bmy0%F5ZJzE~Dl&^)$(<6HNr*R~iQgS$bcU!Bum zxeqI`on7n5#O!5GH5MLp2N~0+QnEUiztp2bkzBm#y_d&;0+ zhg3+3b72}6)O@hZWhrZAdYZ%Qn*K#ToYz)A-bo{0xViMkD)f}{Jhvh!zHJJfffwWO1I~&{K9=rVnd&a_3CF0$!gfx2TxC&mJ`d>WfUHW6 zOPm3Warg^`fXZa)lOyusb>zc6HO5M+n<);TL(jV}*L7BQMCrp7q`BvZD@b#1Ox+67 z<)~KTiz;@+$b26~@6*!LcHl*v7wWIF#$j$SuNPJKOI}vLDr$+w!rQ35z^a&%GgjC_ zG7dl62aGbnJfz)1Sk?wKp(yD7|4JZ#W~JclN;*N91fc1`)Z8R{Pwf zR^*&@-3}gerXyO46}G@JS(O~0t_%{wdal)Lw^sJ$w3_!ji8B<4R$!!HN7Uy!^1Qw4 z0lY}HkN(Q-5;@=LT*)P_J+0)miUS^ISN+v*KqKd$sXn-0<1FYn>$i}|&%9Q47gyga z*_g`0Kb@>(bypT5XOi@1QCZ+kfl}w)oOBTz{h6iu6&TW|zjf4$y1Jv^O?IBwF%jwW z7JV=SU2wqjPnLDm4^bP(v2me`@TPUtpHHP@aaaj|)f(%2%W@yoI_eiD&Q>}he8r9a zDmj2OM25`u31MG~)yI@&j-y`~@030yStQ&`dE;xO&o?4Lm#UI2h!0jfLzZz4;e7p> zLxgrv(YI{ecu@BMOgumtWA*9;sX3pSIM+CrD-Nz|$Og`bBKxNMHJ`0qO{wJNgmbau ztY1)4s#4Y-4o74+DvNIs&c}3}Cv?FPEghqH{v7Gk-x~WfDQ{@!%EiWTjeQ=y!!`DK zZSt$vhHLC&y^S@ul6$D0`mg&<a6l)KmvJKa1G-)G~i1pLdz965CPS za9HOMc&-w=CFI@^`KZ%bzy6bOY7NhGIF)dZ8hb3{oGbYQwJEzh9FYrL?>+AMne)+i zVRXSUbiomQxDA!y$Kve_=QM)cOC^Zdup@GQja}+FLVSA~5uhP{0jJi^Aem7K2X~@> zaIUFxOCwbd0d7111ywJcoGR**LySv2N4s)Z2`Oa(&mcKXZiT^XJ}ey&-~nemkC`m? zAyb*$c&?=zyglS0BhhoD!dz~t^NyKHz^P>Y%#uj86;XX#V>S1N_7ACE=nDrO-_*AA znt@-q92LU$s%y?!I(vhgAyJ>-l7?8{3!GY(Q5ZxdOTDSQcvfVo#G|JAJ=y*@C%qD^ zi^E}5^)AhTLgx^&$>vlRw>Ul`+3VB^2k*#(2aagFw?aB=ndN{uLG~rc3JNLta6jt(Ngpfa5t-%q)T1%nfTbar$m$G~hL}c|O%lKqW z5@+e`(P8j9G6wu7z151+()$wx?4p0ROPp)3&Pb3N=Ve5N zzLp)}qwhhJEM&GENB0>ZNH#c5kvWTSj0euM)@KXdYZ2miEGWXHu!Kl9-Lcx{J6Z4#O4;~?;H6icZ_tz)LRJ|Ypep%zSutmpe7Ih$WC(hWcA;f7 zV1(HC0?-KI{?Bqo(#7#}-670$IJ#FA$@*wky`!w1X#9?M1MP@7FR)8JN65XzdDXej zxh%oAsjg)Swt2&`?S9?n5O_mpd(?-eba=jm^!FxUTYYj_b9Vo5L|uP=&V9&VlM(4Z z#&T~+_uGq*hw|$89iL`Pb1Pyb00IqSZ1~y~0@rurfpe^149Vg7?}+(SgXr>AmqpoQ zenggI%wK*k;~92L9>QZievg$o^P8=V!;m&_zcLldbRxS@ri=q#IASfhp+#&&{P@3p z-5Jfu+EZmjJ!?1Ppu7w^d0FrgsIo4%G6O`vPNIJ$=UePD;pplSf?PomUWb3~;x$s# znRgVwszyoPVChT$#cO!nvum=*`7t%oU=eZwNx#Do^c-;--Y>{p6mb?L>EFe4YC0m$ zckNQo5yJb%pZjaC;m?W6zp*0neZpa#e@vF1O;%h@e7H>iq7RA;En4wS^79qs=iica zeoKpE<1?h;wIuy<@-wf&<6YU8)(OPYidT@VU3Fkk;@m>D;0*-%KO@m|^bbE%cn6IT zPo;Gr8|dJ{SVca~dk&X4C);IL`Z1*8r8?v=w8%LdkR_e(H-s)9b-bhEk=^NpY5F=qCSt2h6fVo9Z17$SxT}3yAT^%vTMxDo~ z+!rRu6(s%rloM_uS%*+5znXA9LXc}oI@U|r5q0ME2@h!Lls??om2xFNBF+a>n}XTq zQr0T8C;XyRyNv?psNq3m*Wm^Z&F-~?ZxpEDQ`fv;T37rx$ ztcdd(YL^$M`p)mL{5rK4sH@|QIS5m?D5&|3? zfJQ(2egf~99rsp^bmpHy^}a49AM!4{+#kD~vLBaDo4ywI`M0B2DNkMR>mS4CO&#Y) z&vVwgUt_c^9iD&A!Y9@4<%~qnkuyIV&4zjjM^l26HGHlY9T|Pt#?N?OQ06HA1fi0} z$j|3cPne(Uy_U-KK!V&$oZCFtn_A9g?!B7))8@Gz@2JUs1|K_6UQ_v8udP10?M=`1 z=0!fa4@K6tOFhS0(0`2O-q8M`ax81g)J6gffxH+SKITK%0to4dmf980y&yR}{~c+c zYLMY`y}VD07${?&A;af-vtLt2Ba6=esjhKT_gwE5YP**vySN9k1mSF>kTS2%bU4G6 zMWuh*v^#BA@|dd;hfO^Y+w%b$#8@5F9=0gusj~~5P3NYbOk4l7;gkDtxU#79hF#$t z<0sE}`R|DNRD%qAAay;B5s=roCdjY{GW#`UG_vUYpXwSnbv=+aD~qlrOEHeKBieqW zUFtbPuA((3{jDq#THAA4wjdzN`j!T~DG(ulBk93)*6Ci(fvv4ZPnvXtZbO2XmTxR3nY z-wLj~D58Ey@%%1|=hd<$CNWmApRgSfa-&`9IYM}k=ueRJ=g@O2uHEZXL|s7fJgAj& zXON$Hr(#~w@c`NWbc*Nsai!eH^@VdYEtcu^G-f=8AWs-cHxd>moc*%bv?6C-1-BHf zz*&U&97Yg+zX>}7n@UmE!NeIWknM;#Y{f0ar@s||Z*|Y{MUJ5-YxojwsZaC1yCu%a zcB$uR1(!~n6@cfGr4JC~a)RIu8atx&lL%)CDtF$$cN?<3dB5CJ*4OM(&r$lWBz^N1 z&)-*~CnLkvkI}W!t1FP7pB$?nSIVZkqNQ1=rij{-Y+r+7j8|x1L{z-b?QbdOIV1Ts zR3b-_^yiYS_tW~i3?^Zbs4b188?%{|2vxh<^~0WtIm zVO19!l{~;J${bG9YRsjmES4n=Ge9bxcW0pJpVyETJ7mEcaek;c7>R>8TH&9qS29Mq z?jBkvyg$j}bxng>jk!9ll)HS2Jczq=idvq0KoM%x@!EO>|PVoCnRI*C88B>(? zFx5&f_nS%jqSUAT1mT=Yk??Yo{&(`vcZtucB!11)mOSPe$}wY)DE;F`u%mtr##QQW!_HGGonQGPO2AYWJobK{Qid`*r%(s z;ws0dlJAi8^{AgPon$RW5N->2bmRN0A~Q+)yQsgqC&@aHT47CNF7xxdIR_BujB)bw z+=rCq<@L!7*ITjNfx}ceBsl0E}|$dv%QNT>_dK^`jZ5oJCX*5+oZoB_HlgIPAkO z5Dwd}!!Kw1m89YJgv0AMxGdHps>O*j+r=|@w-F!4$(p$2A6{|Cv-+7jiAsl7&Krz# zZwBgByYD4FZC3dEcMwGS+Y{_=YK6Hku_ReBcXj4BDF)?@A?H}sF;J!lq! zONZO0Or2CZkI~#9Yb<+pl` z2hNet5>zYseb1ww@X{Zqw=$V?n-(oIy=T2>!)NMRM*kjA+^gKIjXrGCetnc$#`TR! zw=4$vE|oEl8XjnuU0H2(=Jsn)-WYO@1rkeV*fQqc$U?PePGFp2%Q(Id-;lX1MqLI- ze(oojqvqK! zmwxG{bqG!CxFZ#;2IL_fZ{obJ0;&!KSD1H>-%^- zrhIL}!SmDF)4x<4E3v2r{Es(ZA|eJ2uZ+uQ=(g4NpS_fR24lx@6fMcFM5ni}Z@0eaON&s!6mvP@$@dwZSscH3~ zeTtfa(v8pejXR!l^eQpnw2g-$_g*z+=$R*@XUe>6wQ0Qk_ob9wwc$yvH?k{U14Mp{ zhh;ik)pgaN6ZH|m?-fak4zw~U-~}#_9e?2pO#$ve`x>giK6)aMZTUi{NRt#mO?G^q zYPLWkTZq>Z!6mK`11_%cCL+$4b@<{J{_w@arpyJZ?bS5kpr=^(E0)k(b^C}ODsaBu z!8KWbR}gCKrs}GAA2>JZznb!5g#hQx-97|xR8#fbKMHBsSxNGS6Sc}{%`#7M<` zNL!;(TAO~A=`i{Jp2_}j@_cFO)XRn!knwPiI|Q3XFPSZGz@P92mtI?&pwTM&59ixe zm%8*;RY)5sbXcO%ieUsb)s_CD7bS64ilA$| zp!P(#+y4fop6p?DVpsLye2aGqcA5V*_yLZ=4AWW{(5x*+~E4T=(>GwT1qbQ21eQ^#h>gbBl%b zRvkrHGX$LRMx)>b?Q!5YdYiSk^=0Rdw{I%S0DOT9-Zd_+2B{I={6>j93qm@a!ToOi zS6hhELIzz{!=fGo{&gH2(;IOF%1!jI=3bAQA#0+M^&oLBS7y*>4-NNvtbC5DYtZOv zl-0)i2hU(MUqjoD=D`8D{c!*_{`6JePU)0me7pO3wF3O}yS1kOlV~w=k7WtE=jtD5 z;@bcyCqU2Ff&#RDf&nNXZJ~x==MjT22Rv~C6mehcYxpIHX541Y<^^1~0`a?o{=<2q z84lp^yvJamuTVvz{lm>!|aWb!S~E5;0v4Zw6%?Gz*#rp_BVq74sB-} zCdPfLm&L}ZEb4I&e1@-3;ao!Bn4shN3^9hkrBa+B!+_I+9a_7)e8b&H2aJC1S{}uF zPxy@^{<167rg8*t^N=0nPD?-Mai6ckA+^8$2)u>;?PiYy`d08x?16w6_~ISF5{m48 zRl0ky-i?|AdRBt&(}AMA@%Kgji=~gN58_`34vGu3y{oU{O9POKd*4_ z&PD*Ot9KkTfeL?}Tis~=Zlb^%xX1_hu`51s>(&EMTmf1>O~N_|K;vpB{KDTg`WNB2 zT15Y%WboM_QAB=#;16@iz`UaelY{)qGAs5qIFYH*uZ= zH`w)6rGf?UOpIATn>~ZE4d}-60i@#(9OeampfCQ0Wis!S$<)TkR$$+)x7fK5<$q=; zi(51x;_+8Lqed?S&_^`RYYw*7u{n1Pr42oaj5&;w*q%&veJk7QIng3v$3kRU+`0z`|d=hyVE7T5kgSjgGwrwYe7b z$s!(b*3;V^T&1a@yC?|IZ3^6-6@(u6OSJH6+&?M@K$q@7L506R>c3j|_bQ~OI9j=a zB0hV7exNun@!755uBaW{RTQJ<@XG|E%up)GiuQfZTh71Q%oYu zS(|@lx9tG5pZ@FoTU&BJ%znRa{`JGed3|WxFeA=uQPSUdXJRY*5uoSj zALcryel{M`Fq(t3xv2y6fKMixod+KJjBRjH=1c28oXfd{a&{iPfU|NsPlOBnT~!Cr z)Uz^xmSc0=$KOJFtB&3JNkcC48#=(Q=d}But%nxy(TnsCzqio8_zTdhlILF4g#rir z9-#bxuIGpg=qvOO&~mPGO@)|@8rMn>cWNl+w&!IZ!8-hvF^A{^6e~Yt`N4);^|hCET!bkohC0s@ktC`3BZrAFS$a)@Zq@Kgo|22u1On%5vG z#ypTw!qn}HCdL?MXl9Tw2M|~wGvw{xclQ2v{ku*V-&a*#)zy7!ty}lI|FiEt=j^l3 zKKqOp?u@mN&;I6LM)u~V_BwGM(rL>g_h;D;(DFUuK+kX#+LXy)TC_FFo*AJ+3;L{G zguK#>5VXwhKs$U6eBT5PuQc~VYyi!(zsM&Dmjw>+Kk%Z0HocOurA7M0I9|Oiq{Astb|V#lhb?3*jTv*t*+<#jUfdmjB+h$THF({a_#KgO1c7#w5Lx-NUSel z3?kD*nu*0gN0I6;?V=2qKP@PGfI^aMnGBFlY5`BN|Lj&X^hJ`OTi8pK^?wQadPkoZ zloKX4BS$OTWV&L%fnv!cG$?Fx3F z@Pb3E@+q|N;(|k31x{kmy!^(c{5ivNH1lholFvfgNhy53?kKy}566eTf{!zGh%Vj%S|`F*@{ic|*YA9NSHkV?)rljbRoKGrSa#-V6klf&ZVfMmF^ zBxsaX!j45b+!QK%A19lIBDCyxDLbCad%Syshtld(Z+JK3`>u!5b17DtcMR-!vgJ!G zyZ}0Qrv@lIq2T@Ui>KHtGnO6^ZDmOhY2wQZna@+*etpnVnR{+XKdH@qG$8N+MJCAs z&kQszG_*xhtl=Qyeo_Ob6K?k3?0_}m$;ip3TAxGJdR&oqK zPL1HcpP=VC?Y@GZKP0-3(C%YQ@s75)%A2t*wAetW33{KSn+kfrqyM9Wl18q7wSPqt zJZT-<#E?Qo*omDdW72u=-Ih9H9%@c5Xn*W6>ul0b9px1A%n`K`zVy!$rSAs1qo7wg zx|g7g=0f{F1^xCC;7k6{AEZN{v!oYv=sxEw^)}!z*{;##OU>n?*NW|mn)w$NSz63L z^3We(%sRE4G{nBB(d_xa_i6h_NsT67@;KZ0lE()v52?SW8I-_ZvF*Kw}2!#!lJ-4SRNtpzn507Ye$SqwvidvUKuAt{Yi8DGlvY{k4e( z^d!@?k_%{QJCO+?iB9+5Y3-ri&QYvD(jrMXT;D}`I@B(Bp4dexnK#-$?z%f}jv=5| z2yJ~XW3JQA>(Y`kMyCenczNwbF5CG=Q@&;8%{_IFr#IWhJH_zelE0}Mn&j9cF}&U z1hj)~N55zr{VIO+@+AkfZWH^*UA?8<4FSEZtCKt(HITV-0i7(?i1WT8Im^ki34;X9m> zg=LK|_2Lw}=%q1coc%{akkXPLGbW}V*)`s2i1Bc=Fy%mR9XTY5m0QE@r1l14^dkG` z(9W`pyY?rdr*lD9>dNty8lOyL#e;ocQhq1NQy|yi#*3?Q7KbSsM@p3^yxEQA(H}~3=>tUz* zJFFBV^lSXgKSwzTz(CH~_Wal1l#%vzB zC~uMCRqge{ktb*~Z28s`Mih!nk?_VQ8nj`d6+n>_=yXT#6m(NZ?-z7^M}IHqT8=&~ z=xUC>Ea)FOy0U3Mx<5D1u34t=plpJDrrzLOzN-0A7xS+2c#Gw=*rF7bO>Xmb}xXQ{<4+!D4>Wq=QbB(gfiO zO#wxAM;eelmE%m`cqiJ2vNtc@U36a`?-s65#Jk3#!}(qUJ8=@aiJ@?gR*J8nW@zjQ zj27q~0y}Bt-D&1P#7#5cCnJ-9XR>9i7raiHS>3IW-c; z3Bzg>DxN+oPsJiaPuLMA8S>ZvDvaQ8rl;;;N%M~!)%o|IIQo8}4OBT`FG*SUok%a1 z5p+|#vXt(0bcUexKcUT&c;UbrCs680a-gPwvT8X|`tYD17xaHS`WZowb95r4*NWj) z)NJHY_hS=z^t+dB6^ zx9~T0o#u2$sYj{(TRM8Jpsd;>?pp-i)=^z;@+XdFJH(ssaa3oXH*%EpW*S<0i?l~3 zUvy|qlPg;AQM-zKLBH?lM+C)2LYrj_Eh}_DZ|;^5C@BL?Edgb|2{b(>(79Ipp!wx* z^kGf=$Nk^Be0d7p>BW!Q-RODeGU2elqv~CKz|q{L!JCITdWLZLOGp2{i<0`S?TL42 zi%Nb#+ZaNTMH@pXx;S`*1)ce(>yFyrH(3awx#pRtT^!9?2)ebSzv^lqXw10&yox?- z6s2^aW#oW+?jhvqV7s_$ZQ0yW?Ilff6b~!;vdS9{n%-E~r*@q$A3&>hewL%!GDO}k zmDr*cIY-cz-Xhl}Azv)`x+ZO`-SXawM4vbN(gW+znWB@e6)8H|Mxu)xMmA25o}Fm= z#a(S`_{!?VhyDGH=w!WZ*~WOnSADL%9L-T5XzDfKgHHP+i7i?Nwe~ZeR?oqh?P!j3 z5!<^Q%`q|17?1ji#5OVy55BR6s-IT+MoB~6UypV^ePv>Mo99jC5Ut)TwbEE))oef1cx>ZEODpn(rIU2O&`mGsN_K(T;E?A6biBvfNdwnJNiTX3ry8l(wy}i} zs+v09W80)?Lr>X6NH2QO#^Q@6E$?IT=mNHZ^-28R*{<>nlol71k&HxXTS4~}l)lYi z+8BqS{Y(y5JFUh>-w_V%pH14Zsn1zxL7~CIVpnr?1{6yGdZp0PlK^EGaB_HuqrViC z@pm|}mLX}w+Os<@QAU`dW#vSojH!SUW1^%N6sacqvZJdBYG8*}bNq~elbFQp0s)~|F3g2F=ni*6`F}t=P}$6U9fI zNIoq#b$zRo%=<9%U91ZzqvD{<0bxDvwJY~mvX+t1r#w{mSANG{BJ@QMEhoXim5Y;0 z2z|jr`Qm%VyXaTjPms{~@;W;JJ2s71J6z#B%}_W;XnB`DB%y!f@siIxfu`jX+4NVQ zUzajDVv+LviiCc7kx+g23mzIJc8{fH@#T3;hjWx?oHw-O%2U%iUiplZe%3L`Y_LS@z!IV?Tv8z>#tc<}8Y?s3-71NNL} z@67SgSe5uKqb$~qcV>HNoCNfsJug0#6Ld0kSAQ3S$aRTb85-vVMd)RnP$WpayAOD` z=ohGgot#YS&_@1N_IGZzJKU}_s?6V?`a2PNyFF(r-n~fZ{SrEBkbw+#j{jl6&NGh_yq|lHWoL8m-c*g2tK_ zT|vXT8aTX8Xo;m?zLSN+lg?pnL0Lr#UviS^4UdYorini5v}*_&o*S!GllC#ErEN$w z{J5eUNQR4*KBS@Wjk0;YA*8C+2xK!cYXm9(x?Pm_4YCSgTPt|bn+4s<(c1;x%+cQo zx{0Ir3c8`A4+ z4LtXcH#r-O`{(Q`9C-Sg@#B6tU?&Fy(IW(n-Ai8-^eE?$d`ZVKtt>jy9M7^qEq!NL zlS|k2vCu?8>5@bgL_pe+9*}Mb9qAYZjuZ(XMXFK-L8Jxg(gUGM6$v0MsPvxD4ML=c z#1I5RHTdOuukYvVxo3Xdv-dS?*6i8Kw@t&#Et>yHtLdWvQ=3T2A92fIo!_ngQg05m zKCr=i)q~g7EU$=W=4DfVMqC_Lg9fi8SOKZOV_5bcv$iuWGDq9U#>wWu)i}+8+IYOmGhe0twHM} zQ+&bCsqUavlW(_dvexndM~xd#{hr-xKx?Ut2Ez&y-tt=Q#RV%@c0IZ>=8LWWnFbBHq3MPyl zM)+X93D{D%-woDM^rg!2gten``;B{M?trjeO!PLCJzHoJD)bKi3c}|OIz**C1<4Lt zbihgi3-1ya5k6AHE`*Obk&eJPV4c+3%aPANEsV#U&3er7?H?lQ#fZ9ydI@-K+T6@e z^bKM3^AngXdC4U!f^Ou|RXf;F8T}k&sA12nP@H{EVIWBBy6pMIFZI)fr@%#H>;h;} z4+{V-YCk;a{mOq@6#lmd*UEMxix}q%#Gvf**1jbEbL6bf)dzbO`4B4vK6#=Y^^+)e zk&ZwWbM0S*vK-!jTQ}6IAAw#Ei(Y6_`liq>V*K=ns`t-T6ATFaGdzgYh;Hl=`pSMh zDb(9iZQI$5lDswzT6lq5VgCGG%A|Bz(&ah#;tw#9gxhIRY|(0DC=T05IN3uKTl9Of zbkwG%K5AjVY6!o)L{+3aVRzQ28{2<;N;3y65Z8 zAzx@BkJQ)siKd9BAi=jw*z$5m<4;jP9=WF}W;gk3e;-IEN&lCK3IF6sSy=Lmn0xMA0p^t4>Jdxjg=VIIoLV0?o za6HG$$NK75$~b{W%Kl!8%JMzZBYDLN&7_9U;0 z$)^I9V+*djjdHWQi4IeZZ(^cbLS^|BW|x$Yv;Dnx3sp{%s2{UE_8#owHGVdK%&~0D zkFt>>;$@mz?v_lOSRQXk=9_j-Ub=>#-f;~}4|vC0HCD~Dl$#-~af`Np{kV&^E*#PAtOQ&t4Xby%5bmOguHK?cpZ$5ak0-7cYdD`x?et)j11yeS94l#Ga1*=S<=(sfItnqEKeEaq0n7i3v>N+`W=FBJoR&SxFLG&A~f~tJ{;;XW`W8(<1;w>QohHQW}4xf z#^G|)P6S4tE(`#=DTtbH*p$#eB1Lkj2OF@jwzkk>bI6>$NHS>FJX0Xdo4lSFI5`Ee zIbG;;zFMZarI<^GcVpD5bueWXpB1hvYaK9LO~&j~F?+?>O^aYY<(3Mb#Pm=4B9QPzw{y zexH^9x$VC{&)y6rUaRBpkh@TBc1g7}Ysl|E(QWu1gw(k5a&1r7sG4J4MR+Uadj3eq zF4GmS;s7or&WCh4Fj>SC08rH0EO#+k+u~Yyyn5yD%a}Ja@N}uk>i`2e<_C|ao6RD2 z-g9sF)eopH=9ednD6Q`(ndv%MT8ka}!yIhyO_|S-u(b9HnRl6#E#NpGx=utIk6W`} z!|t~Z-g*|)#0j}UI&2QwfZQEB;{zK{A9$m~xQv|DRWKvzq+_XseHlVTL1@@L+^m3S zRMj@PU!|`8CgNK3RPRXgY~Y%D@g0KmbMh{wuc#09gyJ;1yA+K$Vdx2z)-Cw>i^Jr0 zPi&})UQ{K|5Y5#hTG7V?pN01(5`85DD>=aiE1Z5NjeOBTT(!qvE0^=-k0E&(-bd+J z%@^XEDI1T9o^y!16m*7hMuY18mU_WZBJN$F?rM_4wNPg}kd)B}DUT~rZqE@$Qb&l0i$?zqbS>vLl0uA32L zHlsnx!b~B>33fXW?hqf~xo3XnGkvj{>nm8NIWlsX&}=^!EV_xS70V;M`%jWP@l&P& zd``LU-=i_u(Jp(~((EdKS6PuTsD4*yODchOw6m_JYR-4vUNWgsihcN;(ArcaVRm~u zFGKZ^wa`VB$vQT2Au`7*W}8&PPEn?6xK1Q-u6wy%R}DxD5z4|Iz980(AG5JR>=QIG z{DEzB({b{5YIMn-Xy775Ckn>~0lbW-);uTlIg6_fPnjULee7^^99`;ZWb0ps`(WrJ zv0ONsTasN6BlP^XH?oFZuxLAzLvTGHLH&1drkP}yqhYGW>c00dG1N;c>6f;<-Pf2U zaiS7p={9i|u_R2)Ml9VSZXlL!5fz|RuE1(k2zz@Y>X5ZP4Ry%Y9*yE;Z7)J`vbEQv zIN93~sMJgCEvQsB)jpiqMTaSz7*pXdqR>T$MV$LZhZ)@F#lkfrdrbWr(I^Ik$Nl9C zWP;lMn|jlzsM>g_8-~pRIv8O2frYn;0f_p$M0rHL3{ePJC`HU*01P5G!gLF1zGsF; zx8(UkzPBzq&Pnf2IgfpJgU3Y9G{rc-)-L7>yaLVY@kBUxPvzN{1i{$KL6mvyB^g+8 zbQd;E%_9+OqOJfF(UC82*vp(ODpyufqFi4eqz%4Oa2@WKxspi}JV@;r2lbA}bx6gD zx?!Jr@*e^&rp(&So%DYGLDA`G3&3(eb#}u^>j1*Dda}n$a?EGnnlKb!`>t({R{=?t zv7`G6f0D{_=%f13fjPo5ab2gQ1Es0~9x-=l;lpOz^dlGDhjVM$KxJ*$4)kU$~w(ZyM=$6*fqutu8y~q?uG-F3cR5ka;^?H@yvTPGPvT=u-hCHdv_R zE&NSy@aqAaoStBvu&$}uJ)6z2`ZbmDqj{U7C1N;P6rF;V3B=zQi|+&xU)Bt?Wchs_ z0T{>D@oiZSTFEZh zHgAPhD-2fFUQN17qqf3_SHi>@1uTxn6DyQ4q+KzcQ%SR)lNgPk#5*x(m8b^({U=be z2R35$5KJM*_J|-bkFZD8M(S=}Y~JDI^;D*=$zzN*_UL@F{}(e|OA7DbR2zFHBC_Vv zNir&fX+4uorB+&8^P8L|0`m@d!O1BHg;ehl#*NUDA%LDIfO4`k!j}x>odvI9^?Z{wj5BcySVGw2 zRNDu+Y8wA&+WcGmssq8)r(zu8`oQ)_pFRi1d3W*rQt)#=`_g;qdj)Z~+w&^5p&8D7 z8bywiD@@;PE%`ctq1Ox9@Wie#?t* z-_Q&`4X)p?X&U3B@?coPCiq@Q<-U&sS^w z{9J)Zr5`I8?txe`#ulc3@+guk+)I#~O?OAf^US)(WGbobuX4jZ^q#>k=x|hjShg0A zhr5u^bTymwszvX~TKG1}@EmVb2B@EFjODB^IxZ=CGZp8%$ik@(pcKLOhXVU7h^CtAiZjzh>FNr- zuByYKz&}rTGcJ9aN>A0u4PCg^#3Vc-D74CRg8Fkf%??vUKNzDDn@?>V())w9>jY zNt*zJ!y%F=VV_YmXWT!`rd18!!@&T+=Nab&CX1%hI4gj)kR>aJ>Z#wWy{^9P?>;TO zC-!bGA;As#ww~3Z1vT+F;_t;9k z9X~@q+~dn5x{GN4o7olY1F-_FzG}jWqjuW%u8D!AR4YQ; zy8sZj|Gw_RHS_&i%3JYln?n#9bPb}0N4yn|cOP9cmLo?;$Of|j=w&D`_LC|UneF5i z${YG1?Y5GdXo5Nvll)9{_`RgK$kT)t#z3)rM%<(6DiDr=Fz@5e>1-DeJq8H{Cqgq7 z$!<_vUi5d2uv4hK9SSU5SP@}z`jFL=OXFOCCEVaZM|&$S=II0%ngWrMJFPd`{5QkE zaMu;8DM1`O6lRpHy+v<1j~UdSv*^2<3yjjTxfg)q68eM1yxceCGd?gGoZ4-uIZBZ)Y)9hBRv*MiVi@2gI>n+J%dcTELDsHINI^jc)Jo( zdg7eFlF4!|WBT<`U;N`zEJm7h7UV(fmwd~8PV-Ea3Ll`Hdp~Zu;#g>?Z&a@{*EG{T zMqrbatn2*eNgHMy)=e;>N`3eH3)}A(!A5`59S?=35`k+s26w;@Qkyjr<#r>p4}Q3e zR%jS9ycx$V9#1@Vl9cm0B_t|Qw@yB4m01#Uc;pdX^V7(gh}Ho0mX}=inUJX}i#vDY z1(YXWR_4kWEVi8nL&b&>!`Sr3nJT48`~7EYB3&lwT?Xlk3K^ptb@0O+wHD6UB${#b zq=t}(R%F8d=bZhd-gw<5di72z;m_LQ<&=;Vh`Z9MaCEA^Gm_+grSo!ASQ(>^vVS$H+yQ=F|Os(0WyonkpFM zKRSv_N2=zr*&!muzafu;`Q0>ZTLRN<9&-6NIOIhN_-9)Puj>(Ep(eKzJe?0J*@08gqwb|(Sv_rOUpAu zG+pr!jPaKm6Z9|jV8!p+bPUUSer+%b(O!sLUCA(KG|S|_%arXIo~D$nqif#z`onjn zF+WK%L(4-s?Lp0Smz2M-iU9})8M4sVwIqU5jFbJIkM-0HdlOJK5kbeZT>f=v2O<0pAEofdkalc{jVA;xtQC1@Wx5T>c zojFZF)LTNY`${w}t~7cGu8gF@Hjn!SW#^{;wLUrj|OpQ@+g=-t~2s?Sq^L6 z8=GfnnVjKTh_?Lvc|WyifYdp)6D9Il8SRfPlm%s<8+jk~J*(kx$)fL7_hBd{J^ z_Te8s6(yZ0-yl29)>b|dOQ77H_-&<4^|$ISxlZ`=!yMia45Py~sKC0-y2y0v!k%Xd z-qCy3TMyVI;yVg$y2oCoqU7VKnzmB_g7~5qd{wQ8dQ|}l}T8IPMNrjup9hs8zI2t*+!mgEE(_VKAeIEDi<_`{6%7 z<{`i4T>G{5WsnDLc`;%X7j!XV9!F#9BAAcw7-9}pRjsj%i^QgmLBN@U$Cn%fjw3L# z0G=gI`B&W0sPu&b@`yQMGX3=pDPk^SoHwut6(vGc2GZ@dQ=jiA#YFa*`)7Lm`!VB< zjgOI6TcJDCn{2q#$)R}wpJLP~+K zy2i$*7@sGfayYvMY6dk_;nP0r02f|x%sFp6foAi2yJd}iZ?!Zfi%Fto7DAz-k0Ye^ zU=pnYOuyWvnta;O3adZh%S3(n{m&-A256%tj8a93y$4jz2^I7T9zL# zaAoE>K3BKD!rO@0bADNE0Q~qC9PJCnYY%e-;oJ2-98k85O_K{1#cFrge|jV&ClBQl6N}FolK{>|O3W zKDUW{$yhvwXnd}q>GMflzk~Nu`raa~#H8kCI{YY6D1Xl%;M1>sR zh4$&5{=7RBDx`kDvEWp=up}cW0;>?4`l5aPPEbVs=8GcavgBL@s$CR&!sl}HF3tOX zOJw+tDoZn`x4JfNp(FxtY34OiKrJKT8Hs~P9~ z-+mfwG{(a1CrxAC6h0$sCEBzG-Gp$oGo$J*NGM85+1j(nO$+9ngtyjTgOjxk)svdJ zyg~Z7suqqi2AaDd;V-bHdew&gU!TxqtK*XO zhu8Eb0W0!M%Vs{0G?&$F%T9W9$Rz^p-11=G+BKdH&Hox!8E`Iz)HJwEX35^OcW^SR zkyI12m-$%}uzfF}yy>lXU!=tAJ57vpf;)tx3AXrazQQgps``5-I>TGp1eg8}QLo94 z9;(&v+@b z(huHZMrp6{P4i9Zd%iDn7_PYf#*sFMZtxHi z*yM%e@4j98xG4f67F1S3xg`x_3>dr>?6%(&R=VAwyfF7n=-_@oiWC-tKRYfJrTy8!k&ian;2dE&?aG@Jot@BhG6s-W{q=&1x>(fSUBSiud&m z@DP9?nHYZTc*!e?O`TNE)&7Y-PG!FOO^t8~EaxsD0-CH|uQObt)-BH|59En(NNUFv%6<=pB zaxxQ_AQ@M6%x`~iJhuJj&-n|iISEe%IsoF|#eR+b%(nntcS@uhGJf+^h6U#nvCVf~ zVHVA=ht6Tz=M=-Ef#t82us`UW?F|logXY({@55_HW3Ad<# zbwZZ)o`IP!dM9fYNds4Sb{RXrD=Ww8Jfvm+*{;go;{c#o?Pi<1-`flUet&w-}{O5D){Y-E~c zB?GhKS-fV`^g9S_gj?p1y}xmOGO=nN$)ID{=csp z0v;OlxBaAXS2O0IvSYcci~YbvpJ+vsdpHw{cCAY@r`4$v?RYP3s{U6aO|JTL!W2ox zpkN(4!Y0@x?X)KCEo8<5&Qs!5uvy=Nb%m%>+#CQ*-UXW(b=+{YX%NOE1$o6QGwhBw ziZ@rRv1>+$6=c$IK2rA(L>8Uy%(AdQ@#x5Jwb*ZcDG3S5YqbbRg(fX^W(gOrf49GP zxNiOYBoPkNe3hi=zR*t^c2boS){ zCNP1T5&O&gH2=$?pS$|LE&pZz=bwN6{(W)IHCPmzBcZga^0M zzvp)NyI_pB4aJR1-(5}7=dlsyD%bgd)P-K{)-K(VL^GbEFL#Ex_e*6IgoyK^kXVvxkpZ1Jv$ z(WmgNg=S&r!YSTJ>Z*QYu*y%Ep{SYKBynO2lwBQD*j6GacFrXYp-GOP3B4J|>*Tk&{UpleRm&H@SI{vY@=R9Cwg2K; zM6Meq^izA54ZIAuWa>kWw)>Ps?3#=aQAwiuPyH^1;9W(@H diff --git a/shifter_8b/db/shifter_8b.fit.qmsg b/shifter_8b/db/shifter_8b.fit.qmsg index 26deb53..10af5de 100644 --- a/shifter_8b/db/shifter_8b.fit.qmsg +++ b/shifter_8b/db/shifter_8b.fit.qmsg @@ -1,14 +1,13 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:15:54 2022 " "Info: Processing started: Mon Mar 07 11:15:54 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 08 15:17:16 2022 " "Info: Processing started: Tue Mar 08 15:17:16 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b " "Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 6 " "Info: Parallel compilation is enabled and will use 4 of the 6 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} { "Info" "IMPP_MPP_USER_DEVICE" "shifter_8b EP2C8Q208C8 " "Info: Selected device EP2C8Q208C8 for design \"shifter_8b\"" { } { } 0 0 "Selected device %2!s! for design \"%1!s!\"" 0 0 "" 0 -1} { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Info: Low junction temperature is 0 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "Info: High junction temperature is 85 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} { "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 0 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208C8 " "Info: Device EP2C5Q208C8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208I8 " "Info: Device EP2C5Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C8Q208I8 " "Info: Device EP2C8Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} } { } 2 0 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "" 0 -1} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Info: Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ 1 " "Info: Pin ~ASDO~ is reserved at location 1" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~ASDO~ } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ 2 " "Info: Pin ~nCSO~ is reserved at location 2" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~nCSO~ } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS54p/nCEO~ 108 " "Info: Pin ~LVDS54p/nCEO~ is reserved at location 108" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~LVDS54p/nCEO~ } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~LVDS54p/nCEO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} } { } 0 0 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "" 0 -1} -{ "Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "19 19 " "Warning: No exact pin location assignment(s) for 19 pins of 19 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y0 " "Info: Pin Y0 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y0 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 168 688 864 184 "Y0" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y1 " "Info: Pin Y1 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y1 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 152 688 864 168 "Y1" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y2 " "Info: Pin Y2 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y2 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 136 688 864 152 "Y2" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y3 " "Info: Pin Y3 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y3 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 120 688 864 136 "Y3" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y4 " "Info: Pin Y4 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y4 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 104 688 864 120 "Y4" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y5 " "Info: Pin Y5 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y5 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 88 688 864 104 "Y5" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y6 " "Info: Pin Y6 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y6 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 72 688 864 88 "Y6" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y7 " "Info: Pin Y7 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y7 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 56 688 864 72 "Y7" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A0 " "Info: Pin A0 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { A0 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 408 40 208 424 "A0" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A1 " "Info: Pin A1 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { A1 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 360 40 208 376 "A1" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RM " "Info: Pin RM not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { RM } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 552 40 208 568 "RM" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { RM } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "DM " "Info: Pin DM not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { DM } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 504 40 208 520 "DM" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { DM } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "LM " "Info: Pin LM not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { LM } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 456 40 208 472 "LM" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { LM } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A2 " "Info: Pin A2 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { A2 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 312 40 208 328 "A2" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A3 " "Info: Pin A3 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { A3 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 264 40 208 280 "A3" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A4 " "Info: Pin A4 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { A4 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 216 40 208 232 "A4" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A5 " "Info: Pin A5 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { A5 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 168 40 208 184 "A5" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A6 " "Info: Pin A6 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { A6 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 120 40 208 136 "A6" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A7 " "Info: Pin A7 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { A7 } } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 72 40 208 88 "A7" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} } { } 0 0 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "" 0 -1} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Info: Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ 1 " "Info: Pin ~ASDO~ is reserved at location 1" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~ASDO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ 2 " "Info: Pin ~nCSO~ is reserved at location 2" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~nCSO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS54p/nCEO~ 108 " "Info: Pin ~LVDS54p/nCEO~ is reserved at location 108" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~LVDS54p/nCEO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~LVDS54p/nCEO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} } { } 0 0 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "" 0 -1} { "Info" "ITDC_FITTER_TIMING_ENGINE" "Classic " "Info: Fitter is using the Classic Timing Analyzer" { } { } 0 0 "Fitter is using the %1!s! Timing Analyzer" 0 0 "" 0 -1} { "Info" "ITAN_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." { } { } 0 0 "Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Info: Starting register packing" { } { } 0 0 "Starting register packing" 0 0 "" 0 -1} @@ -19,8 +18,6 @@ { "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 0 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "" 0 -1} { "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 0 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "" 0 -1} { "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Info: Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "Extra Info: No registers were packed into other blocks" { } { } 1 0 "No registers were packed into other blocks" 0 0 "" 0 -1} } { } 0 0 "Finished register packing" 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "19 unused 3.3V 11 8 0 " "Info: Number of I/O pins in group: 19 (unused VREF, 3.3V VCCIO, 11 input, 8 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "Info: I/O standards used: 3.3-V LVTTL." { } { } 0 0 "I/O standards used: %1!s!" 0 0 "" 0 -1} } { } 0 0 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "" 0 -1} } { } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "Info: I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Info: Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 2 30 " "Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 35 " "Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 1 34 " "Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 36 " "Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} } { } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1} } { } 0 0 "I/O bank details %1!s! I/O pin placement" 0 0 "" 0 -1} { "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Info: Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Info: Fitter placement preparation operations beginning" { } { } 0 0 "Fitter placement preparation operations beginning" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Info: Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} @@ -28,12 +25,11 @@ { "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Info: Fitter placement was successful" { } { } 0 0 "Fitter placement was successful" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Info: Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Info: Fitter routing operations beginning" { } { } 0 0 "Fitter routing operations beginning" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Info: Average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y0 X10_Y9 " "Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y9" { } { } 0 0 "Peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "" 0 -1} } { } 0 0 "Average interconnect usage is %1!d!%% of the available device resources" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Info: Average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X23_Y0 X34_Y9 " "Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y9" { } { } 0 0 "Peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "" 0 -1} } { } 0 0 "Average interconnect usage is %1!d!%% of the available device resources" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Info: Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Info: Optimizations that may affect the design's routability were skipped" { } { } 0 0 "Optimizations that may affect the design's routability were skipped" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Info: Optimizations that may affect the design's timing were skipped" { } { } 0 0 "Optimizations that may affect the design's timing were skipped" 0 0 "" 0 -1} } { } 0 0 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "" 0 -1} { "Info" "IDAT_DAT_STARTED" "" "Info: Started post-fitting delay annotation" { } { } 0 0 "Started post-fitting delay annotation" 0 0 "" 0 -1} { "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "8 " "Warning: Found 8 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y0 0 " "Info: Pin \"Y0\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y1 0 " "Info: Pin \"Y1\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y2 0 " "Info: Pin \"Y2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y3 0 " "Info: Pin \"Y3\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y4 0 " "Info: Pin \"Y4\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y5 0 " "Info: Pin \"Y5\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y6 0 " "Info: Pin \"Y6\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y7 0 " "Info: Pin \"Y7\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} } { } 0 0 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "" 0 -1} { "Info" "IDAT_DAT_COMPLETED" "" "Info: Delay annotation completed successfully" { } { } 0 0 "Delay annotation completed successfully" 0 0 "" 0 -1} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 0 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "" 0 -1} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/dev/quartus/shifter_8b/shifter_8b.fit.smsg " "Info: Generated suppressed messages file D:/dev/quartus/shifter_8b/shifter_8b.fit.smsg" { } { } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "286 " "Info: Peak virtual memory: 286 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:15:55 2022 " "Info: Processing ended: Mon Mar 07 11:15:55 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/projects/quartus/shifter_8b/shifter_8b.fit.smsg " "Info: Generated suppressed messages file D:/projects/quartus/shifter_8b/shifter_8b.fit.smsg" { } { } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "306 " "Info: Peak virtual memory: 306 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 08 15:17:17 2022 " "Info: Processing ended: Tue Mar 08 15:17:17 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/shifter_8b/db/shifter_8b.hif b/shifter_8b/db/shifter_8b.hif index 2ef093c..67e4fda 100644 --- a/shifter_8b/db/shifter_8b.hif +++ b/shifter_8b/db/shifter_8b.hif @@ -19,26 +19,6 @@ VHSM_ON -- Start VHDL Libraries -- -- End VHDL Libraries -- # entity -shifter_8b -# storage -db|shifter_8b.(0).cnf -db|shifter_8b.(0).cnf -# case_insensitive -# source_file -shifter_8b.bdf -d6db26b9c5f411a913f215ffd97edb7d -26 -# internal_option { -BLOCK_DESIGN_NAMING -AUTO -} -# hierarchies { -| -} -# macro_sequence - -# end -# entity triple_selector_8b # storage db|shifter_8b.(1).cnf @@ -57,6 +37,26 @@ triple_selector_8b:inst } # macro_sequence +# end +# entity +shifter_8b +# storage +db|shifter_8b.(0).cnf +db|shifter_8b.(0).cnf +# case_insensitive +# source_file +shifter_8b.bdf +48c3dd91b772b04158a51fc34d535c +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +| +} +# macro_sequence + # end # complete \ No newline at end of file diff --git a/shifter_8b/db/shifter_8b.map.bpm b/shifter_8b/db/shifter_8b.map.bpm index 4872f200e0a6eb45460d9a56d7e16248c1ea9c42..4e215f26c7b6d7a2772829d0a269be60e0f29dba 100644 GIT binary patch delta 413 zcmV;O0b>5y1lt6VPJcxQ00000007|u00000005%^00000003J800000004Lai9^zC~yF6XR0_S z(>V-_QeCBqu7ASoQMc5iDy%NE3!Rp6yBB78Ql`T+*WE$f?}dpP7TF-}#|1GnovGYs zZJ&UZk51#OOtkVKq_>jbX2;UAL!ZY#xDU@khCU*S+%B`&l4tQtRem(8M z*>DMGP!=tB2Bkri1{5?ka(#QE23qhd|3nM7lP8xUTM{v2ZYUC-j`!_@HZm{lU(#Ly HliLA)vZ}P} delta 411 zcmV;M0c8H$1la_TPJciL00000007?s00000005%^00000003D600000004LaZeJ-dC&4`f@l32|&GC$G0n{$fRD`>Hqmqbc>i8tPB(MW*=UILs zrgi8>@r`>uY=0N!aNrKElFKqK!e+-!(ryv=hRvwoiR;wa38nUqPE+U)Hj z%gVP;kjHjKLRVwm; zgfl3MnmdD1BT5Yl`Wd;tJy8uU_=|s{h3#a?C8R5+%n+?a!mF{qeb7egas5l$D*%(- F0e*)JzjOcq diff --git a/shifter_8b/db/shifter_8b.map.cdb b/shifter_8b/db/shifter_8b.map.cdb index 8671bce0c35c4b4a67300ee0ea7de0147906acb9..a0b4dd565f8774b6c303fa90fc1f77a2b62953f0 100644 GIT binary patch delta 1204 zcmV;l1WWsu4VVp(RuK{f00000006uK00000005YgXB~eC3WWv90~<5HfFF{d!@|r~ zsRI%N0}==!gb)S9QY6GT^fR23_$D`r9s9Mfl(e~$pB?-7`ug}fx$#SuW!XfMB%3zh zV9ttl6p~34M5dBeX_KllKZ+95{R3rHy)cE#Q4)L0;It+ruq^Aqu$lHQDkRnIH*k7$21JykZ*tKfrgw0K51oN44WcnVxVE5Vb~P# z0Y>A)y&=C%_J#&Vhhl6fufp&O=?bQk1TlZsLJb2mj5UXu{x7z$kO+~*%_6YVhfKB&frDW*fMXqlD#IrTj#dygg>Si#;o%-bmB_{&dex2LG#x6YXlk$8B%Mc zUysqkx#NVKC?+lXT@>|1epNaZRKNIH~5*oj>6yf#@elC$wdCy zqEbnHlWi|hh@!Zca4{Sb^TP6ngFHhPR}lg!FOK@G9?4ttrG2L@ zj#!G%KHjhKr$l<9(%aI9?)f~ZhsT1>#*7VvJ%gcWU=!)S*)-}Wn{e|l*Jo|XzJjjdi=i-^X2#%akx^VQr zaq;plk{1~-#0$Pfj^>5;RN>DzU&h8UentMq$qQqY7=IBz4)H=?iS?HlUbv5TgO@jv zyvVwSc;Otz$qV=IZt%i)ZTd^Gd;R;@z9H}f6XV*MR>#xGfxWm8F#Y}tI1 zIV(0$NTyK`nMu~9O{&WLC`wHC4wO~(!VE6QN$f3y)0&XLvaAEcX4-dkbbT~hxOQdX z29?ett)hP=HXVt;p9AJMbZ#eo%(4`A8DIJoXxIH=Ms zw-K}a%rEwt`CBqSGdHZ~FjT}06H20qCYty8~0Km(B< z+&XozZjtBRI(1}hk#F2Obr@=qaz}r}r4}9Yp`Lc~{)wW|FqCzGg-aqYx$V@^j72_k z>(rrxMN%({Oj_)NQL1oeLK|y>)lHbRSZ%t>SsrjsTJlwPx72IL;qO~x?KZMxD*tRz zsieN?wihTwQQS|s7!HYfZu!GOo*@gXS@At&!ASXA@+Ul^FW0jvB@Q2f8a981a9FE+ z@40ZOGx**#UAGwO+k}01HsiM@<`A$P6I+)pV?pMwd2n3L11HAmajTCJd?S!x_Z){;kzAKgA90*7 z^&-SDUASqqb6gv1dhLtlM@}eRIQrkD zczGMii;NfI1>Yh^^TKzU*nK>WsoO|ci%pDS%6^YXXq;DVnKMSD#58IyphqJW*q1WTRtY?|D`dR(H zVe~RGF>xQBnn)8dByMMm(HihisLY+6;qgm!KZc;xUoj`VA6Dl*s18&3tuNhb`bmnj zEt0-%t-6ip#?|b0FG5-0JF zUw*h-exTF;yOY|ov$}3 zR5=s7PjnHS1*QGqhlr^w6T%|>J9Eq5?MP1`zlZD-eDA3ArB7)(CPv+9g|<6M^<}(C z&z0!#W5AcicK#G&DBt(VrPxXe^d-3%wpcQxTyLkE*0zQ_8T>BY{HbnQjyIHDCj7nl zn?dN}*OK#|Luo6cJaiO>2n}m|sqbu+Sla1+sr(uXvLk#1e`>hE^to;TSvQGT;zXHm zRWl_$r<1PG((7Z#Gor9DPLgNt$>_LsLRchkIbaau#;$gyv!S}Du32)R+o4eBI*gvh_#P*xy z#LHKB6e}(2 zV2wWmN_rmx2vb-ko2}Npzr{>`Zz;e*Q0iVGVpXHFa~vdrCzwxmXY1TZrq0Ob3&PEW=Z52yIpY5!>QDZsYofe(;va(qY+ob%YQd&xx`|osE z^SZ)OmfJaCjoIbpAr?LQ{Clg{{L9_NblUefy9;p>bW|T4%J=x1jwzE>KY3b$+kcde z)6oeM>FbW15rOM4>pRBSJW6pii)ll5Of-PGXSg=k3?R&~L#&;nO;$Q0FpLrPMyCfOp z8{VmQ@i2hL^P@gX$l74->8Nb97rkwh&(~sps-S`0J4X;f@q(;MlC60mcSh=I^j)w- z3RBg7V)SNAzeMNug=d`}2jetJ{BC$(Q3j)1y3dilLVGn=GIa$_r1Vp{}3Xn zcDZtWSdpgLozXiKF3lcw45r*E-y^*Bf-N#Hx-vPIE@oE_uwjV|>~N?H4- zzb4rNIGa6<$tCf{N}o15m-`07`exUugbor>K;zn%YI)&q`F#0HcM&C)A7}*k4=6~V zS>|9~o?q^RCwpGVC{LdJ9I;j0s^f?@HOJcuC4>n_ks9;0ACfAp$D$i2#cEx0pKoj8 zOr$Z?r2Ot@lg6dP3)M?53f0TXm#UY>JV{4?W3_t@KsR|9o3B{~@M=swc&#}))4P&_ zfjDpw{$%xs%s0Z7-s;zq=k}^|!4@Jj*pd@HLh>oJB}HZFee5@}Ka)W>aiNwYey9@> zP006`h?VP>Z0oFTFPY7~Zl24=5%D)&UUP6hN@fy6ksHqtUxi(yHo!8Z0*Pv4bG6R2 zXzn^g%Kd$t^==J)#7=N%#9K2)3h&qhg#PYo;%B8UvS)wkP1Cm6L7Dfn-~@!iCH66A z0;10MLI7MpbN;1gIi$aUA1kh+z&AU()T_vXw3Ng@4xU;$8341i0@vP(o# z@++nKV&Q0Mq|>BRaB2NXp^UuZjdFE)B!Lr9ES1K2MnIO>i&zM{w=PcIABS9Nckn+x zCv!)d4r7SxqwvUl-G{|_Wd&m}d#>ay#(W_RlyF%DM!qVkp9T+baR}J}*=*2IUx^ak zQdbHD{pQszpi4F>lhG5s)u9&AQk=rza};}pn?@8W&V}o(Np|v`%SI@S(D!;R@VjUD zKK85OTU7CaDYb}+VwlYaExqlj1qW`crXqsn>!~x*-sd8wsQSpLTFyYNbK~C6LiA z<(;1XW*3R6p2s;cU9=W_pGe%g9K7xk;8NTv)gqp;H+l|ig69HJY6jU?P|-;6t-F4H zPMP9agFgITPXFxVB-@Ffe!fL%L_i(Kby4|NiVQCQ4hXVAPVKFsXr2=P24a&Db=1>P z&M=r(RF3B{X7+**z8n|Doybs#6 z3@;+a@86O8oVx497QUmYL{KBzPh6cJR&eJ>Edr2)xALeMp>)7*7(_Q8A}YSaGE+VB zyH%$Vf2`0LXk1Ll=VTvS{5=Yr&tIO*xh5_-%8 zr0^^HkX0`{qNNa{g%k<@($+fP?KD-OH@8!VLWsvffB|g-7UCie*i5==Z(n?8~ab#*!BiY6A9-r{&7SvZv3v7dV*q!Q%`}kv1F5)FRY4 z<<47iB`8_V2ml@v4aXc6MP+h8KpB(G<2#AqeO2ET+axq|s-h zRte$Kg5$Id7Aky6@>d3C8Ev{#0@(o5`w{Uc!|c4Z-tk4Xi$8aNLjSSB^jVN1+tJ+X zoXKQQa^Bmt16e?UYuCXDWeC}zq5u6&cxFftC#!EdV#gFsvOSK8BVze(A*`CM|!-5uBIgcI@z0C6Uy^fR%!@Vtm=-gQAq@xeB zKp>-bt2;Yzid^pA(O%*6Vb_5ZrZkS;bMGU!MWdq;|1&xI+>8Mf=xaQ=v~YVA?B#r| ztF>K`XBWQ=8!VaA@h^ZH3)3KdpW*dI^mmm^C4FQhyQx09;~UFW_QZ$N1}PIR>-`2P zJ!Zz&Kl*wH?$ulTAF6%nW68gCYu;UU&^FGZ48xJhjIPPbe$PTIV_gPN8rC9GUqcrd znOX)>1`_#G3x|(o=Sv#VZ!RK`ql?z#(Z&p&9>hN!PVT@bxlw>PGgIuNXNRysw$8** z@p7f4r2fD?_()^IWurOXTc-<-0B={o?Q!B zovJCKk#qT%9{Uhngf^-0p4c*km>;D4tx3OIDFWHPVVxjd>a13PAVtiRCr;%YfLM0i z8Y_qRs(FgTqyPi1E#Y{tn##fB{J4t&S#ZK?1dVMxzB4uv>jpCL>b` z#_AQrPjPUl=AHlKh0;c4xalj#PsZ}q{EX92Vp0tIG62+Ucn?Kz12!(~Hp%!+Z38n# zg8)VYUX=HkN2Gi=OS+m?3U-pl**AGz(bW8&4o26ucd9vRjrOC^YlVEAAW9Nr1#DB| zvhjxX^5=sV2N8A##4-Z_=CKcWBD;t+}j?3NZ~%EM%cLM8JgjOU>I@x#5VTMc;7FTidcQGU9L z_d1FQ%n<0t)!vDdx!8L@Lb8g*T3%t|(xYfMorkCLG)z+Ny;SKd%aDy%MrjXN)-cpV zLg+14xL$z?gs(+`sDV7nXjx3UmZiPHto1Q~$bPY7o;-P#7P5zGcHu%QM_R!g3=`Z(XfCObQQHSR;xTCJPyB z`vR9GpoBBYTO%q_@%eFu%HK_xV@TiyGB`peVUK_KuG8U1dnxq*$?L5mLy3Rn>WXM6 z1qENLw!BJQOhf!E8t7{#P2BEllmZEFdNr7_n)4kQ4xuMMVXWH}n%%YH0`N?mxu!R0 zEv22~nKlY;QxTv0o>-W1ovZc4WzPvdbQJbukZ-9T-y><_Grn7&5bP4Qg+g9#gjOkF z>i>-XTCy@izqdP<{S$KCH1$RLn7+~VM;JVh@3+$kG97=HJ**`n_`u5078rfBRA}We z(;4rLMTWl6b2M@M_+D)rkb5R$9~)Fr8N6u9b4`V_^DAY41S;C#rI}YNob$u+YbcA1 z700dDU!!cCX*rdUheBoXCp`$=14N+c?~HBEKk6>zIfSh$PWE^q=fz+3Oq4$A`uZx# zl{d9EaW5iE-F@e#T|iyv;W(y*1}PR~HmF-9hc4m~MjGBOkig^vY~i%Ot|fWXmP6n7 zxn+H)_Q&P}R!i&In^mYNEiO_F7C#r5e#&nl+A#+Gjc%7EUJ~~jiObR5s0eeH3=Puq zvL9N;-hNFzenl~bo9_O{pU%D!dzb2u@H5_Q&y`{tuC3GejuFS#uNkvF3g4Rz>hfSv zNJU35CEu;`da;za0kq+%gQN8_2q9MRJ2K2Wr-#4S>tWQk?2QVoegO;40r>>@$hY6v zQ;?bt0*m6{gdMvVX1^peVKgfEEtL+`_7;K(Fqnhm4%;I0%<0HO2TgLVGfFcGu=vAn zZR7Rv#~%;J<1UI_*auaObdQ(KgQ{XXKNU@U^^261wF=Ycfw}ZKr8z|d0>YNUbQ;5| z9V(c!voYVCP+R?4z!TgnsjW<9uJw!77Hu3ek!;_XJ5P6UsfvHoKIOU5@6hT>^!7Ya zBbAb2Ol-B3)b#eo*^ujCN18SF{aEs5PK5aVrNgCEE78q z)ROC*8Y_egG-NRA8bWudUd0~Am~rkFMiNdKL4(~|`*RCJdO@OeuO~-sD9wzGun(?0 z#wPiO4SqX=At3|cZL%q-$2qvy&;-`BUp}D=)urof=-u5rmqxvtY$_gZF4ikOlUKR3BW zmwn(E8p|gp$*alTyA1v40WZA`<~fX6PM}o+99EK4av027+q|-9&HSYQrCtw2;hgAS zfNtY#mip|ygsnf5_U%dMQVg4KN7FZ}sP+$2&thC&Pv=umtT#{hJB)p8kl@5r%{BYY zLu4tz)ec^8tt#r#FS*3J#2~Y0Zxv(iH3aOOF`KGP1TZXi)+tvLXOO`d6(82j$#>AH{U;7YMUq3Wb$iZ^?TlP;nm}1IMy~z+6QpU z@1nDLvxS=jR-dhBH--YrIvg&KdpgfTT&tY#21)xwf+PeFs- zKhN1K{YNJjrSK3DXl}Wt(VJ_B99&9=oR)*%k}i&A{*v6e=NA`yr-v=nH3u z9K;+N-~2#|aN^kSw?>1OkgFSN9so7}03_yme8!G9Z_BQ=6zfdq{}?!Zp?i<*lB4vd zMc90Mr|!u-Gs;bT;+Wz?+~U%QTCSgz?mB1nl(O9?+tvG%@1X;rMr^c7o0j#wk$cqN z8NT-ixtzZQ)bcZQzsV-oWVcdl#A}e$Ko{w>jg&hCWOgS??BnF$AROSqSVp= z?XN!Oa5P*8vS%0LVF^&1wOZSG?MEEL^Yq`NtEI8Bie7518`X^qymKu6r659b>Lj+6 zx@@E@`N@QEP;YzFs~yY2??Ygkw{q`sQ<@l@yYv-I-mfvD92GDltFf@5AdL{N>d5Wn%q`ieToo70jL*FcVl~qZed$jcq zeE#X4S>@cC+<#2RVgZGgn4RZpaLo%>`@8RNBBhE}y%ot`^|y*14}RMFfMNKeYVdN) zQ?nZCtaLc|EYh_|$wNqnK?Ra>^i*+9lA-tkiPzltYLlMH!{>bHnM% z2{cRwZLNk)m#7=Q-xkCvmM%CUo-)i44y6dM;@1}n_syT^u*3Ep zy<>j@to@=&wX)Bh5v{{t3y{V|-qAM)F5<6=@V&(KRI1H9AOZ>6f4SUaZ|-jV8@$pK zRdYJY%WJlY)vwk1TueTAj>6c)-bZ&Nl`Kcb@394WAMrIZ<0o31OpvMwMCRoBXrOIT>r1Z{B-n|D{LFtX)4 zKvY}f&#M4p9E+7wIG5qYyRY5Zc84MLq&b&5HwlL${WaZj(B-tjXbYP()vLO_GUv|y zy4RgKUAM&nMj8*BDZJ|Sdg(WirZKn0n!3>9vfIQn=SA)1gqRtPTd999l!phe$9{Sm zYUDaplIc^ETvfxd;ECUD5qXLvLAmP-K5EGp#b|H=yIlTD}`kV12+9zE@prI^Y zdZK81CXf?z;=IUqSJBcK#&ha$V%EgQFb3uN)un*gfI9V*WOb#O$Bh?Gxg*fP0gs}- z!pze-@}*JHY&@qCFoqAwEr5+k666EaLi(E%UtLbGBz_$2YXO%=88WEaFTa$Tu#Mq* zr5j>Le1m*-ZPNW27GsG>yg&&q)|vJTIjMBIb%!T%;Jv;TPO+4G8W^jHzwe~%g&#{x z?Q>k18C$|ggdpRET)whTXwd+!1Y1w;>E&YjK2M&#h>98V0lhifPLI4;QBe+}Ye}-; zqPX1=N_jc8rYEg~*F|IBhiAHOxNL3MPvOa4jV1g+?$oO63BL3p9tiPdmcc@JDNbi_ zj`3UHgNQ+2A&M4nh3F(MDRcU}`pfV4J?~ED&HLO>Ij{<4@gkou1l$P#!pM?1BBuN5 zG{%?w&NSv%Mg76;SEeGmG8U?y%QzD$`Q8#z{GnTvn<3^N0@L2y1DbL)bc7u7*|LqN zG;`r85kd*l<45D^u;Fau11+$ok!vs_Zby13C=zKN(E84JfTWD33!j`_?IR|uMv_i4 zLs#xTmYpD!fs7Gyl|~Psw`f=+YoPdQH#ASA-iHauyu+Q`ifZ?PSZHv zP@Lf+E@Irzda-R`^E~I>!PCXkPj*5&B-UlbWwf%MsGgG8NE-pbVGB#+5-&Rny|I|T znCDe3#@iWe@AItKI;h?#*2!=FwWIutyf`WjhN%m}GA#nV5C1+LZ2Be@#JCl=?z_lI zA%mBXk{iYgg{Uz8DE5ugT2?&L=Gqc6y;so2Hq_4Stvxk}DpFX8qB8I;JyRDW4c?>d zXACcgsUFUFS8PSf|4c4oA`l$NCmvbD2z{hjii{m}+0--ye78V!<&86JtC)-8H|SsT en4Djr+6BtXQSrt(hyGU4pUaLAtxUOX4R=mn_{#OD;tTp6bi=u2+u7 z*5VeSU{$DIskXTBO4WF*9y0*JG{})b6RDa6S~za01XlMdk3OxLUzFJMlkSI<-G-n- zq%VSi<73JFnwG?c2K({+mHAbw7zOZLt+Bv6c&q!~uXflKxfN^u`X2<}yqz_5|0N6Z zmuh6FLsT8DT0!Wqha2qMvc)>;tcc&@Byd72I;+8VP-;WzWnnRRXn=I4mx^y zdwk9kz!%}=pL%*a%(JlQQ#DoY$d4*GbVF=$y6M`6t4th@QPv!TI(CU1ePt1X(T>Hw% zl}9dpt?aqeHTh?P8+alNSyh;ATYw%;cFd1 zxGRIp6HDrO`Xw3evE{<}|99Fi8-u?$ZF#;B(crNsRlt+`;tZF*e0Qnf`L9Q!CUAjj zI-Y=8Lm9R!Zi8#h!QHy7T=f;_ro98wfvEpq&;KQ`qW;$nBq9L*%TQ*1zRU9G*eCzK ze{=*HucX=>&q{x?%);r5aXW#Yt%wfE{C;4-u=3*wnbY&HfTPdyBeCFy7WP_%N#|h?-Tkd9gw~TlC%(>8NmKA?8k85&voZ&wIc70P~aeQ=*0h zD7zeKi}IuYH;ogn(j8!9+ns=8I(p*oPp3au;3{a;x zzqL*E0-WZNA{M_155ke8Z1`2Iv4`wW^*#bFHU=3dOtGS)qDj`*{T9tSJ3i;Rbjs&FoZWk(KLE)6O}ug?!<-uQ^pOLZkPxGSM zJc3KDB@X*CIYE$|!#Xm{h4JPhNgGZ>ze>rV(vFU?#H`qWA5vy4vv=hud$?CFlTsNp z4l@<{{!T7~@Vt#cqul3PrHL+4x%nr+=s@}){8xD&vB3Sf^A zFwKl})E2rcfBlIs$py+7Mtowhb5*iov@S{-v|(&lVB|r_Z5P=#Xf|KjG@Jyl6ndcw zct8|G$_4Qz_=<2UX;n90ve|x>s;*~u)meRxMTx#^I94GGKlLp-wAhh=N#JK*O+n2f% z?UkCyh)RVn1G0N9-SZLM3Fzlb@xs={NqSqPE>)l@cr^(k9Cl)zv}6unx2T7x zw$k5YLk4**nw_L`eQJsKurtt|+m6OXKg z%hV2`!_mnZ6t5fyHh=x6j(bu`@9?r)Q|_fG4I=2{^Q_=TPF<4;+WsV(evJIRgS~k2 z_QeAO{fzoA-^3|!&XD+t7*7qj8 zB(euVtq3>Ins^gd(A)^Z-Uivg-_x&Es8E1oRXb;F%V?egImTE=CQ|H9T&lr(Zq@tz zyJ2&}3c^6*ekOnc(&%}dfY=z3T$^ER|Fd`sdPs@ClK~&4LJF|fKUB+!rTz9P_TN=I z7ciXd8bPe^*UN)L--5(#kre!BRjO7c@KGA%pAAx<->WNL0;6wPydWh)f(HbBBKpjA z$bQVWfK|U-o3q%|V9;QBs?^zANlv?=elWTZ1~9>h!vD}}VD;!uUdr7rcMe33k(HKv z4EwBc;Zc(by-ta6!R(pfN#QKR6TMg5wf!qn-~YM@yGHuO3$ill6xU~;rF$#awv@@M zuXl=)x-cwj+?!AtZjU7Rs)T)BxVLRxFA%20NlVy-0EGOo2%U!cCImm%qVn4ag4G7e z0We&jRR{?|ADEL%*-vLMGo7(`0ou8Iu#k5QEs_lW@-jzY z4>|3&=eQ5Sufz7=36IE0v4Q{)s2~HvgR!n$Vp?>^gmI^MZlA!zcq2%ZhN7=9X=IIZ zuA5a0Vp$bafXv*Qnixd3cbDb^MuKlpSl)_wTLU2NG9ko?xUDI zad7Xl4$!Weh_4JMol(9^4Lm8%+$G{utyUaM6o22YJPyB*zz=7=sSWmrjn^fgRCDbU zRfnF*6<(mbFLK@yDhW^>qyjYgnVRBCA~t*LTxnJ}5;$UsQUi5gM8@g~AZe7?nTWFA4jDtG0JBH~1BR1#zy2OdK~Inp@(_U%*s zOyq{`A&N|1;_qs|_?3&*P{-#<8gjXwH}C&DCiwmv+^NazMiP^w2yA#WJPiug1|N}} z-N3kzZd415An}XnHr!TvTvz(3F?Twmm`9KL7-5G92_!?LEou#c0P&-L#NUxe1K!%; zn>;S0r({uy#B(HuOWB(d`wOcitJb-b5#4YBZ_4Sh=1mU3-cor}!Gg>!&i;%xQ5&{V?RTnNGqMzn}$v0ezO}_(vFb`aIT8iAd0y{EGUG1Tq?s30R=-rEVTtk z1#J6hDZ`8u8dEhgAU1QNg2^+xdib9rBYjc?S2ASE)&>?oJ%S)%(5iC5uy*s}6DjlaO3lRGE9?Rx$9=RW0jG_)4y7L`3=cVZ0jqUB1Q3nJ@ObPTr*_{vO`aW zzUd|ABS6bwT{kg$AodljRTD_A3)?SW`8>AohRHKbxtcUanlwgL(Ml3`4_POhTK_zp zw}Of|B3OaE-Vj_%e%1;m_xhikw`7aNrfSs$yLlyLhB94|F>J%O--#ALM-a69XacI6 zAgUWeqA^6np6*_?U*9zy8+m(ZjGN3)?J;1#AWS&~8I?#WPj;saK1xoz5oXwqy^G;O zmdlio947VONqo-CvlzX7AbILsFR+rnw;uPY^GL`rPkKmMc#NkQqBL9u zA?%`TEX`m~t>(GI@8OI8NQv&K++sT4lDJ3rxj1yUScnM(;6(8#Uu=2bvO2xQ{*ZSr z3?UZ@e|WLM1`%0dJXb};(aHg&9RTrDG020HBLzn76XaxoK6{?C{GYks{WrZP1UNB$ z;))xl4BwOX%Er6-y`nS6+Z8uHLPXS<2`OR%nLNXlY1m256s^LPY2J`1#r5$qgfB|! zz4FRcrr{yMvsF|licnD8=wR|JQii__w`fS)<%J7(R(E3ZSO-A8OoZ)49f5659a!X6 z%)r*^wnL+Uu7fGDtAnWIYxn4&Z%@i;+?!E-oY)UWfo35*yoBJznSy^w2wA&|%k8^X zlejiE`*4E>vN6;QTCxVZdDEr%L*EmWTB{`4Vo$TAy_HrX=L+fwl^U-s?Z!R1m z0odWb-b;a1O;Ao8)7G^P3C^zA`I393W>iCNe;kX?60i z;Yl#%8k3i!Ej~If!%aKeB2EaiwsFX=*nzHg4LdyFHJNjeT6*KGv*yz zcl=PkJf`}-G6`0-qujh zZU%CsFaJ$V7Tm>hNmFc2%ZtnQ<9rWEqKgq~Ze&g;Y{n-fz_)00G-$9}kn#2|0)E;C z{Mhir61b7x9hK)hcPS=n(~^*HzPR+2=mNe%Ei?<6eG57Fvept-m^XLdpLSmW)5+#T zOE*W~=b3@s_h?|;!d;C&G4XWfq~X`pgCA|cS-yjjiZE2d6rMT``tvQvv`xz}kj8b} zURb59c653|gKpEcT`ygQ;<&tF47)T~1FT-kzaLN$%+kI)`Cg0{IU}nNmNwRGi9xQj zj+CUB=gcgOyfSy~oSCgs*U053w{U1#&{RtvJ zT<$f)E^`E>;N-hPfu`rCri&r1`BAJl@=Bs@Qnhgf2CdgRslw(BG-kuto4(d@Z_P5G zV{T%rJfY;NcEL@KZG62t<88MS{r~oCDj%H=3pagF{qDKuzmk$6U`qb_jEn4)Z!A%t2F}80o&aG@cI3I)G7F7#1Y?KjW zuP4X3tQmR9XC=ok85ig;8=L3{zyF>#6I$2n1u)n2@cuPWJ=D+JApW~hh$u;J*U*y4 zn)NGhj==koBQ^CIYqczM)$KO5-T}_Y4qQ2!V z2QZo=hu`&gG%Z)qnJGL5uRJ-uw@YDu!0V4`xNH5xZ&|jv{LU!9Ofx@^t7n3b%>VdS zxLme;b;s%prcV8IMB7{bZ3TML6yg+AGN8uB07n$!CIZ+WOPQ zVV%_F_$?bfo(NF1Y2x6Hf}6bCvG&pC8vwjJl?I~}E}LoguhD1^I+a214684bm7akYd(-zIBok>{mwiu_g=`%p1vJE+uNv81&~Ny zL=S3=+~$o*iQUW$ppYmxtNo3t-}-|no1xrK#V=t85)B!8kcy{Y8l>9|8ct?Ts=3~IHEb`|H+ z67H)hiJ2aeAN!3)2mAWXo;HU792YHH1}_C!S8kW?S}29+#*3-k%WJmf|Ktk}qo?8q zBOF~os}FMRbsYN(HAp(;WZF)21!%tm(}+q&Alo z9i~rSZ+vc{D_eZ4Z3>ju9~Zb<6ivhNa#a};AO@} z$0k^1>_zMcbX4st_Z9sQfDuF;&b<~CqP*G}IyW?T+M>JbKGGU%$Z{iZp9~%GZ|h&W z5Q?)^F^cdSwjD$r=uwS(Cz{`@t0k(3o?IKHRTT+In%kM?-<&dXbq<~j}u9==eE%tu-P?ANL-T%G27fNOss19 zb~RA#HEVR_lkU%WWXFErX{5h|E_k-!FV7OPecJFDP4V@SzHWF2=9!TnK+X^YD2TB8 z`c|flLru}fME>8L%@^WAhu48GyldD}<2T`&Nuh&RDFv0l7yl8YaPWz1yb87Sa$tld zG*9!G*p^gEzqlv)SSoSNh4I);HHCQFUz z9Zd0C*nG#>snc=)`uXj9;gP6H$RiF0#^YlEX0Xl&WgX2|o!RNx6=@aPcIigNlukCh z47+E)bFy%Nv(SKJbrB-CgFd-tBOisU)5MsfX3cFe5lIZwM>*UtdNW0eBYND)yjYCM zyF0-<9VlwWHjm>Ffvfg4$sKX&-#O0`?6PYFz4s<$rb_qb!#JNzU6$IRz!pYsQvbZr zt{rTkKlWS`zZ5%>_O3nlxxX^Zl4eV0Kh++Ose@+#@VwU|+3L7xKEBIueymR~f899ag0GH5M{0io9#=0m$ql zgIa6q<`QTy?|w-f2v2%U55_3}OQ|@_?;SHdQYjH%8Za3e)F#I5dd2H{&Elw4_u)pU z6V}$m@5zGHZz%uCWz)D7k7I4}9s z4L$Qh8E3-M6)9pm?yO+&$TwO-pQ$;t(zmhIBiV{Mdq0BL|cEo*ULne@LEh3AeH*ciV}S$+${cT+*kW-DXXl&f`f%E=K|4} zBfG#Lh8xlM@j0v$P!8eO{k^hHQm7;LK1IyLVBNczwEX4CSKM+ADM6Cj1Ip*2# z(yyDt(vd=#DRSnLO>$~1UFI^zn%Ji4^AGr@@MT=su1N>q{>R5SOxF#G3OtaGfVxsz zlUKWa9jGy$P`6KbcuPij{4Ow{HA1mlfKA%QZdMtpu@%+>9e8?WCvo%gfA7@)0$gRr Au>b%7 diff --git a/shifter_8b/db/shifter_8b.map.qmsg b/shifter_8b/db/shifter_8b.map.qmsg index 52c24e3..4893583 100644 --- a/shifter_8b/db/shifter_8b.map.qmsg +++ b/shifter_8b/db/shifter_8b.map.qmsg @@ -1,9 +1,9 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:17:07 2022 " "Info: Processing started: Mon Mar 07 11:17:07 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 08 15:17:15 2022 " "Info: Processing started: Tue Mar 08 15:17:15 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off shifter_8b -c shifter_8b " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off shifter_8b -c shifter_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "shifter_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file shifter_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 shifter_8b " "Info: Found entity 1: shifter_8b" { } { { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "shifter_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file shifter_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 shifter_8b " "Info: Found entity 1: shifter_8b" { } { { "shifter_8b.bdf" "" { Schematic "D:/projects/quartus/shifter_8b/shifter_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} { "Info" "ISGN_START_ELABORATION_TOP" "shifter_8b " "Info: Elaborating entity \"shifter_8b\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} -{ "Warning" "WSGN_SEARCH_FILE" "triple_selector_8b.bdf 1 1 " "Warning: Using design file triple_selector_8b.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 triple_selector_8b " "Info: Found entity 1: triple_selector_8b" { } { { "triple_selector_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/triple_selector_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "" 0 -1} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "triple_selector_8b triple_selector_8b:inst " "Info: Elaborating entity \"triple_selector_8b\" for hierarchy \"triple_selector_8b:inst\"" { } { { "shifter_8b.bdf" "inst" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 32 488 584 512 "inst" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Warning" "WSGN_SEARCH_FILE" "triple_selector_8b.bdf 1 1 " "Warning: Using design file triple_selector_8b.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 triple_selector_8b " "Info: Found entity 1: triple_selector_8b" { } { { "triple_selector_8b.bdf" "" { Schematic "D:/projects/quartus/shifter_8b/triple_selector_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "triple_selector_8b triple_selector_8b:inst " "Info: Elaborating entity \"triple_selector_8b\" for hierarchy \"triple_selector_8b:inst\"" { } { { "shifter_8b.bdf" "inst" { Schematic "D:/projects/quartus/shifter_8b/shifter_8b.bdf" { { 32 488 584 512 "inst" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_SUMMARY" "33 " "Info: Implemented 33 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "11 " "Info: Implemented 11 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Info: Implemented 8 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "14 " "Info: Implemented 14 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "229 " "Info: Peak virtual memory: 229 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:17:07 2022 " "Info: Processing ended: Mon Mar 07 11:17:07 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "250 " "Info: Peak virtual memory: 250 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 08 15:17:15 2022 " "Info: Processing ended: Tue Mar 08 15:17:15 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/shifter_8b/db/shifter_8b.map_bb.cdb b/shifter_8b/db/shifter_8b.map_bb.cdb index 9abbc6ae19f2608cc8e551c54b4b7d17be65d11e..55dd818c53d9429ee25eaf6cea06e5b0e0b4672d 100644 GIT binary patch delta 272 zcmV+r0q_341-=E4cz?DaRg@F`g8o4vkZ{~eJ+>EaD?WzL;SYJKWto}aDB1ET5+{Tu zkL_9StewOd_tcL` z<7|c@eGAT*I@ZxSerw}yUB`0jU1Fx4zG*e}xW~u4urC}4GvQD;66V5!`1wuz5>A9c z3PB1%3PB1%3PB1%3ZcMN53h_`For4IJ}SASv!sOGT3)994^t9YHZh;nR^Cu&4?EEl W^GSWIy!RdcG6YxsE<3Y&0Ym|#rGkb4 delta 272 zcmV+r0q_341-=E4cz@C&AJb&!~K-PX>Gs$(A+eeqaR?WBwH66bAuoYVYgm@J;? z;W6hM7n7pM@=;bcMVW6}0$_M0ReT1q27j*i(7!_eDYrLbe1ApCo$cl2egcHKbukX% zIGbZg{{&}D9qZ^EzqNI@sbe|yE-}+i-?W-~+~a*D91AmHE}RJ8goUsqeoTp9!kI8g zAxI%eAxI%eAxI%eA(Xi4;k8i<#_$QZk4i4-tSI52kyoidU@8L3Cgzjc${Xr@!A|tV Wd{Q4P?|pxNnFOnTmmRZu0Ym`?c!J#k diff --git a/shifter_8b/db/shifter_8b.map_bb.hdb b/shifter_8b/db/shifter_8b.map_bb.hdb index edecfc46c2cb136dd03a65df17ec84bef9d933f6..28183aa4d5d2a40b143b0e31fceaf96347b9cf50 100644 GIT binary patch literal 6778 zcmZuzWl$7=vqwN0>28kh?vSGnN$He4q`Qukl8%#-MnI&IJn4obq`TuNk?wxJ`G0wD z-rJp>-_FkL&dzM&At51EVZTCh`Ny*VbbYXgr@gBSy*LjaJ80Jfr6w6XX4=hk@rFT_6*BKr@fp#2B^DgT3h zum0v@dr{9q2ImJpsW{ONh=^DiuHpZzgxLV$JX1?+b;dEX!wPTf_Q71q+=^dfrdg7G zmyo(GrW*DlikXv>MeI`=Sfzs|ri*bIBBHWWrb{px@Ygm8@**vMKD>;N(fp3zU2|3X zpjsz!W9Mbwd3WsF8L&5&E19&~>U**#y|4xaF%5{|xlq!Pa|_?_DE)OVR$BdOV#VA9 zSx~BNe@$_!T}!vjaEvY(LQNf^w2)w}?HtXrYkficfPaZEs!X{z-?U`dd!#Gc`^biZOPx2oIki8C zj8Dsly~ML9aPH_2Wn%EbGk3TN`rv?afR^VMA%Ydhrq>{sMs30v1L!rDh@>%pk_RV? z(;JLJX^uvkJU^_bPfBvjtc3gcymI$(50*u@3;m?g-1sK+O>dn-7m#aTTVeP8PeXk# z@(9sL?VB!e-t(GpxOGrAdeh~or#^acR9dKCoHPDS=r6p!Ao^nzce;hnAPb*SOe^mH z72{=`)X#2B{(=zuO(f<|i3vfUe*He=BWQz;c~_VmGpwseC}t$Nn%2>e)?e3unwW}p z@P8)oe=-uZ2?b+TqLoI*RY{wm?Hai@`>o$@Y7azxR<7wW4&7C!RXkPC9CAe}TXB1M z;Fg2DM1E4te4qV<9_yR-j(h43{&aHOJuc#>cEoeJwoop+h@`ZZ#2vciT-=V_m& z+G?oXL-cRmtUf@yUFGlk`Dq_J2o8p|aan5LUWSTx4Rq$$TO4|%&cQCwqm!l& zr#YZ?>%kG;;JP>94|{aBBk%7nj((l%LFzP*juEmM;r9XdN_*<8`mx6X2FBu%FGs8% z`1iyjK4INe*4yKL3m$mN`dd;?fi;|FN35yrDn<$k`4)*Dr0+Qiy&2#065=vWvJt8i zPA;(!h(p<;6>gX+I0L4BsJALs_{QmDem}VUNcQX=DH@UD^sIPo%KhU4~1M4z{r@MHMo$4 zyTWdagWv2fYg)1y3Q7zk#-TN1>JQ^U0|+^AaqQ?i1&U@Xfi8J zlHW1H!)neB(N_r_j4>*TC#qK>X0=VA)flB@*R7NytuTbF=+&N+S6J24zKOz zjwUOYi;Q5(^)5-atBJNw!q--LmV>bPz%cg=$t4oo@ zMM^l>PjTW#>kq1B$&5;mTG{lcOl_3*OpibCT{p?3>oLTDg7D{}xpM9Jx_JLHw~yGf zGgP=Dp=COb1>7urMV735-d{+HDX1)f#_%l4-@72kiR*z3OF>%HgFu)Al1#$4o=fxY zUHO$ooK6matbD8XyN4F<6#!fsEkfSGOmFp1!qCcqmHRm+eC>q0K$Sqlm!J$t?avDF329wi4`ty!CnhwA2SVTDFyovx+brcAK8S)7u12Gj8 zhyoRPZg_1FykLX~#T^I!BSqy*)C#>$5l!0RJUs{9^QU-IW`O+L(WOKN;=5QKqDZU8?D!IF&*y)7uS^rWLqDtCN|oyi@-<*%F{SwXaDlil6-gq zQ(E#IqX=k!fsTZJ&Avo4g3}0`KZ@pv*WyM1aZ$l}=JDymC;`4oS;6`?dSd|Srd>xL|jg{%<~ z?-Wo6Fk6|(RNFI2ab65;s;b~N`fJDigX4Q@5+1ja83gnC&7XnT@DL$<&wL{+phOf` zeP+5B!#3eDCJ%aV%yi2&P?aTfZ0AeA6VG&Jo0!Q;vT4THZr$A#2)Hx1?9JW8Drz(D z3i9!>xn%9Orcd`4YM8~M-<7CFC8(05C4(ewJ1gQ=wZBu+K(eY<)h6|*i_gK0fEkH- z+VzGmhM{@x>g4$-B68SH9lCB+#mKON?epcUCmd^1pod@t8pT~({0bYu)SAfQQjvbw zr(K=&T#w?8G`ZKpy~)wTtZ84gBlZPXjP`1FsLGn-_sI)4IfU=2iF-&0{Ye93x77j_ z@SGd}*d8CKsT7P9aYK%k>BX}gQ`GB9N%G=rG#3JgT0I)702a|^Uj4DlD-gmCejTH zlEir>iXk|h4h}Df4>VTtK@vx0DKD#X5L3?_K3OM5YoWl$vHPXFf*BS^14*0`KPvu1 zYH=%AB^Ri|b*OIy&-mAO^fSK}R=XFGfuYAf?{g)c3g?W1&#~{bK!G%<&uTt*C2R;X zdVM*J8g|viKvjn6^dYk8X6@*aH1lCBDV&HOTZz|WRzq$a!5XAjzXF-hQ1Lx80tovE z_oruL4j>=vl8x5WRLQ;=9Su+FENp&_p^?BkI>bI`I!BeMFiY7wve2h;G`XAe`bN_I z_C^hK4BPM{ssXGnX0Co!@v+4+3c3j(Z4j<;W*LqO(? z7i&BawhyMJZj6^N%y74x5g@gS+DF;7`pbNIDH@uS7|yVUpW#jzuFitxQK#s8=m1QGK8Rp8LS^}Y`kts`egZgXyP>x^k@e< z&PrZs+&Im!n@Yc}P5vVDMuTsb)drCwaBfd8^ur!=OOWB~_-Bh}Ce({&{84X)uZQw{ zq7fD{cr0oLE&NO{0F$o{=-Ac`sJw`Y8uA(Cg4763W`ocKBjNjpq=e=nH4~HY^Ki&5$Gj{~qV)Q%Lft`;zab2nC76%>>p^1)%Inozd0YTMI$Sc@K zqr`E)(B~-N0C)Ustw%Zd9<#d%DH)=z%-CW4@MP^T(onW}?t#c*PiGZp6v@#s=~MZ% zdI3rnYT58={HM$Xc0yQmAK6=slH1SmE6nK^HFe2!!|+uBk0jdnH(ng;zD3b7ydif*={Up;}$zyDXxlq6Vj2o_DcTLJlD*-evsc5B*`1)oWC{jV~BRF zzPv4UmT&A(&asIrn_Y*98O`hv8)fJ?o2gwqP4|b5;l~Jq^~MMz+f+$Cn&NW+fC_N& zJEb`!s6SYk+%uyBid~)p^{>rAgW3_tuoyvYsfN5sX61Hn=8-r1uqzN%X54;lg4=CL z+sZ_H!&__IfW0AjfDIy$o_dl`SY^-Ta(uH*lqmyi=)1fJSp>ou=ux%tMlL7;j|L3H zGC?1cFgz{jD%Niznv1d!iLl^7wU*gmQebo?x*8)o4z61M?^R`ff*%vtr;Q~tS)6O? z6{|pi`a{TAvDJw{cy0*J2gRMpcbhB2OytdT}y$73>2 z8M$kTUttG?Fay?8pdm<%SHmF<{p5eoH3iOH-;S0%ba3}gz(SA|v~OIDMw5Wi&FJw) z>j8479V#Xz!pr^4Id5-1SB{;4i-~$0-B!rJh@iKl-ha{~O&I|h!|;bt5cgduz8X8K zXLF^7CI)}I#pv82QA{wD9EqXfl7*rfs3bD-Zztot;-><~aoJR`A@UWs%oVnHpnlj9 z77x+_b;#HF#W`>KoEq!F48Cxw_ zXR{5rsJ=tQ=3gI*yL2;so_hY2X${>@l-SRe#zoV+Jk6z|KY7v5FV6k04gzxvI8p&R zj==Y`-%7Kzbe-ACd5HDsVjVCPg+CVt<6(t-e$aP~?Q?+|MudO*zMr3M>Oyosl4h;2 zMV)=hP1`Cl$!G&~RK^n7pm9Q zqrf%L=}*sRE#8adIvUjRpyumz?5o3^q)%Jzvy+>fXHyssB4RRPZ6ug;L8kXEH>KS5 z_3hZM(gIO*nqEXWvS_i6mBIpUr!37NR zBV?K+E8Cy1o6FEH9s)mn&c2b4O!ns{tP(~ErcSrqnW0rp=97^S6A;p(q$2P6Dkf#@ zu&Wmk%QWD6SYL<32@80pXvYyus*zEP?|ocaJd1(+T9qqXNmXUk64WwTpEsy)vM>12 z3~Q>cDS=t)$eUl3bS&Msl(aOCmEU!{zC7yBWqR8T_Kjctirqh4{m>XRGI6%k!f3LW znnhesy^MI5K5KUSXRX~uk3GrtU}i>CcvkpE`0DEBT4ZKM&vmJx307NM{jtElAY=+z z1{;DSaZzg@7%*2S@mjrDP`By8ROKZ>Z++;>UpM7L(|E_(enxt3xQ)6nuLG6Jj#Sva zCnxM#A=^`Si3{%XMQu*BbZkZ%L_6($RG^ACwP-_S)-31$?n-b`F+()11^vLuflP-& zu1+m5*-Y(v_U!#g!cujMhxe1x;CPc)?rJcNTjign$r%GRh>rbU>Gkw1Z+XsF-tk7e zsCSEu4$J#~tF_d^?b=u6snn|rg{t#u74_MPc<(aC^j28yWLLjhN?dc!x=4t>Kl--4 zdQke@xMElnMF3)|UWwat@Z--sFG-1>o|STIT8v7NAJm_s`S^a?doWTXvDMsYc;Q|l zt!SGwt$JF};l5+P9(!;_*>paA?$wWYpDu-kRqez%3^8aKV!uL~#6E3Rxn@~rZ#25_ z9(dC%<7xb9&E-Bv+!-KMUIbrBbPk7c@8i&E z$WCm2m{>>;a3??Zme=fT8viAdGgx9v*0+CL;W5PYOb~xiw-@Tmxb>A%@{DOq3@mv@ zg#Y4dK>MXNayLeH?2&D}EKh8BD$PD|h>JkTKB!mLs;NxuZERc19At?VFt= zCbK5jDs~O@d!sxtjM?z~;m{?8=5s$GM{bQuDW7XhIbVK*w&j}8d&{*zEz31Ky-k3b zJ+Ez3BL&_KbV$Ry3b$IlA+Xc=@2KE~Rz6 zDEo(B{8a7w!}8SlIeE!;0!X&C#y!M{8nEB9-_IYBXpXaBAZ@>+g zx7{Uiy?rggw>%gJ*_2pQ{WDl-?^GxnP1AMoT+9z?giZlrYx2?-KYF5Yfd<+lv# z5aUj|vS+{OrWJyh-(s@*fyb%~q=3mqRv-m5xpnwE14vIX7B_EkPuhi&sf< z`pLSE&n@!F3ot>Aa$x}wdV5mbY-LTpW;_ky$iw0KLfc@E5Nou*J zx&TWmH}-aLWkuN!z8gw1u0hG2UsN}!d3Pou-r|)j(~_71246Xgx^G$ei-5POqr1cOV-Tle)l7OY}6h@Yh}A{h{p>3^x|cl`@|inm?0x zU=x47M?1?g7BJgszOkeQvqM}0`XK(TKk3k}6qiO*2cuHMXmz)Ob$(}KAMG9IKg;Zo zUEG-Y=7n?~G>v${)}6{9yq1@A*Gyq?Wx?*W^E~Z)W{Fkkv1V#dOR1u>wI6x@eykfY zg$rJ-HfwbrREL+1Yr=SRxW{K$)vg40j_}OX1k}A3=pHg{XxShv+3LP)p;q+=bJH_# zrmN!0q*C%qq*Bz(Xg}JFZm*06;SPGG@8s8KPNh-bT;-W`$eOXr?%%I6DW6$rv-Nr) z^d!RAISF2Nfh~3QogEyj=H_M+EXUZ(Surh?2 zfw=#wtwQ)Psj-p0zYYzbIBmEeV;;xKb_drDViaUU{Qbj)4@6j%ou)rO383TbAWP!= zz`+ZjcUQyP;)IqAS4MCRFVM9p&7NsA>?AbtIIO>WxP66NQJt19nY}~e{-AacMTcHx z_jJhc@Csf$E^v&^{-S$|7p^CBBR%q+HQ1M-k+Yaf5BBpJ(?`71eW(VwG;Zn+tF+DT zB$GH}c71Guq;5;pVmxe~j4h)dI-rZyGSsHDplO^a2uJ05LF8LUeK`^rZawJAVwVa=iO)aRK zpD-12r-IX%KLnGlB{QskGV17(N*Tq6q`Uk~DcXK{g@p9-;`b_0cU+a^J#j~RR@Om< zn&9ue?^nd~2{^PE5@XpnYo9K{GarC}uhAl40!1qC$-<>pwsO5rwg_M$Mm&C4fu8d{ zig6>T5F-LqNVg{`I8UM2;&u|`dh9#?G$y_-j++*yMD$xftA7Pla4_Zdw<|5w{z1Fm z8^Fiy+i>b|Z{9GNkU912<#uI!NS-d9^RNDQ?38Ae7IGUn+swVBC>FB7 zsFzHCUw#MkxLQ6OU?ky^T7;0u2)=lE2!;AF+ohLT%P1K)x!M%ms9&JgK_y4{i8b3nH?vDJD^SJQWo&KXWH?VD8`a<%B zYhp?_+E!1Z*3@_fc`x~k?inDC#Ttc-pEV~9L*S;Ld@=DddCqx=Mr!?gHgps* zd=y;Vu!%Mxrq5Gk%%~_nabc7J13m|WFR|APqed1^{}pHX|A&|qC_dBwo+F)&nS#{R8)wLp6lKPX7T z*u*~$cltM7 v9X}~1zJ2NUfA_}gVl*FJCm}~j3gtC0<23-L4}bb@j;sDio%e|T-&6EoE+js) delta 6309 zcmZu!WmMFGvn5mz5D=wnkrI$ax>HyXkdT&6N$FVXM=O#G0!vCrH&RQA(y_EO2+Pt9 z3$oPnz5kd0hc|c5+%t2|e7fh(IfE5y!+yAX*#Tcn zl(PE*6e4mXltH0#VZ7Y=i8jlE(IHF2XT$YpXCzC~UAW)U4fEPM(gPp3DfZ30A%1e}5(8~9tx2sh|F>UKCqxYd~SHR73hj38qmHy2^_L&q# zxyA~@8^DyB>)q_Q@BR)NUTzP#IOsaxP+=2j@b(%y>$mCfb`y_Z4iVamvWHF2I@Y|g z3Sg9|iJ5C}2zMbMULhk@U~h|(DHUOWq+0Oo-&BVg;%=X~gvwkX_JdKhwO!cpY8-KAT z*}(F%?FTXe9aDz+o}9cRr6l}{=2E6GGw4Kq%?~3#sQCzkbR)Zrkj_jbIG-{ z^Zs*TNL!QH5bA>8_-IzdVj;u@zel7-47nmg{TuJUG2QUPRM>Y~F_j6g(2$V^-r^@2 zAE@)^7lYTRMOR2L#;b0eG)`Q2(R_UXNM;$9s@@rV8k|0!y<=xC>!o5{ z)gEc0=UTmeqRd-rYX)p`J^c0AN36o_Q`0vhCcS0pgIfOq<$30v9c>1kLY;s@(b?NZ zh4u8#{#&z*@ey6^{rgOFB#*31Y-Ap?(06T}mu_>a&MFYU&zh_~wk`2<5zkY9J;<^% z_%Q1ECP0pPHV&eQdp6ESG4gEu+2j2s3K&Intjd{zq3K+5z`YCU|`3CV%=$ zsr({F|L~$gV!>z|r@+}QYj^dCe}#`y(1Fx0dKugJ+jGasc;Ouvx9^@~S!)-407K-e z`_l!~k(WE+k6!tdC349Yn)K|yPhM@`ku11;QU-*~hAhNB`u&)6*!KO@f@cBRQOcKR zqf^R%&6c5*-=h}z5#r8x^TH*diDzt{RZqcWeQj}ElQErQ&AMK!yR~LqGrzNYCvciv zp>O<uh@V|r z8m)j?)X`y=X7U6$P&@U#wtn`?gW4^blVSRc^0G}t3ps9a$u#WwM>#Z{Nyi8~xV*&0 zo;61;1j4E>QHE_OYg`T6^c+8(&_i=aQL@!rmkMrv*Ya_V{b>rGU*XX!fMv`*{WW|! zj`jqjr$#(Vg_<|T#M@o)fRq{5ys6!sJ^(iywH;hNy9>xe%)Y?x6o13DG?hOZAZ7qu z)t|ld$>*x=w<&}h&M%hJc8>?!Z}lu9B9`xWXN_^yB~=ghoBzv!{tJ-aw6R!sj73vfp^H}ed7y+5x$8#S1DgLs2A~jC&O$jK5_~u( z2M#(8?5mpELA;}E9g?d;Xv$B#UL6IY@P%Q1(6`m#!lC*e!RV9W5|o~W-}d-;vV)o; zs#I4fO`W}N+%p+bWv?uiu399?qOdBlRJY-uZ#saivTqcH*&8?x0vCM>yhHXS<){$& zP&1uk^M;?o7LC(lES+OOWo#B!FaImgC_^BuJ+o_&4y}YJ_ z=dZEb9;RV@Uq=GiIQ-EczU^!Brf7T37yso7J}b@fq3t@e&AJcmB|kYBV?%MQD-g4s zCpg|Y&~j@E34X@vJJ@e3;L#L&tqTd}V3kdS1=y^c(IPY<2Wc>Z5O~1mWL?sGn{^sC zD~?PCO3r6I}b>b|4mi)4^MvFN(aAE7o}gJOs%%#Aa58=I{bL z4ygDgn_3jx-#x1sJN1VBeL#4v?sJ)1vYrO|t?;m#>vX`&m}5L!Wpk}GFk~p@E>-Zz z2_!{%ac%>6LVuP1Ce(15<7E3jr_*^Fi z(XIfn$+7lcIl%qacxHweIaAr=pro?NDA#;44||=J>{_vZATet2BqF)4j_aSnIW+$% z%P8kZrCQ_}t^N+^XeME(cHea@h%zxJB`TV#cG$ZY@|9)O0AyIR_EC|Y`9W9tIjPuQ z;L_JH%YlKhO9k>^Hqga*$YRQ$qnLVooom2Q?u|j{6LpL`?PWx4AO&HtOhb{rp=_iD z-C}*SyEo3pBraT*>_;F6j^`Vkjd`45Z(R6j*u#lg8S2CZ%EVR~kT1Si9@qYZu&gx$JaxIGWQkHy6ide=Y_R&E2(=b9P>cI zoK3OidfP+Cdy~OEBQdvCqr7!V`fSg>ebd z{F~=5j9yEUgCmjZD}OG$jY3SA;dJy!*Cqa~AvrjHW7{XRG+CyeqBuQ8*h6k_0N2u3{Hb`T9*HKhh=mSvZ8V_kjr8idDy9_yuW9PANF*$zMRUHYmbHt{; zxaZGPx8I?|1q5&+Qkmw&`DMhjnSW;_;wpF;o0uAZJV8koarzuVI;v4?vc=mbPq)-D zS&^9ybBf@P#Ay|LWUJ3bST4iKkxu6aZ2BaAGomZWzgNuW?{Ie+cPQ-=m7AS6Vv--O~xwfgF%eUK$t;Xxgl6&?1ZzO1Q?QXMYtK24mw0Gxk5G?EA{Y>xk%S|dJI`Vf`MI;vR2!1TaF;eM3@xJI&yP>ff98R56~!;5@q|#7xpp0W zPXiob-*EoTi}xVE@FL0aoIMmpe06{l63J}C7PI

q4CN5{KL~9c#`+^p7e!;m=+o;fi0WrxbO z9NPhTG%zDi*to*V+SkPtmYN(2uk_EF2?A`2&mXw3s8D;?+i z9XZAIF2T#j@fD~{gj|pMQyH0}@V$IGeC;=(CBTN<6tmpw`({^6nMxZ6#mBrSFDY-r zv&&5s0E9<{O4bI2ZA@Rzg9aq~nWm^{*bnl8P9fu{??rPKA3t|zDy{K?5}!;475uWX zLBNr#&)g$a7`P~uqq~V8`6N)MWPvE06s;<=U{93T zwE>u4rb-qYg)0HetaJH>E((F~O^Tgrt!;3fe<|fL7p3M)qONfWpVtaZnL(M#N_Axx zc6=Z);!nVnlt1!H*>R9G?i9KNJzplxW?=q`)C36@OctOP(%A;zt}=Oe+XY~cIM~CF zTQ-Nwhll{0r)f&N8O9m(PMK2#s=7zR!0lr<8QVWwn=Q)nM^tVcEvzDN*t8 zC}Kp|7@KkBoS@T63;lx{3#qPk|hMr_=AF{Bp~Rn*6MTG>_+ z{}>Z9=hh=hphsh#^PKd{^&&Du<fNSc6>qc`wO|zE(uePry5(fP7=2A;=%bly~GSTdun)9(^320t$)qG5!nHAha zEFL{QovBgvy;#GHS6bqxgB`UF7AXW&d4Z>y_%Tvx$oCElN6AM!a&p4V`}-_*dZ)pDhDjmG+q2*Eaf?Jl_ zB;{)W8c65^xUWr!dXet3Kf6Pq=3)B^&Zjqrc+UPBrri~cRK29j9U&Xc)+>e9!1L;4 zkJO;d_$I}{xgbCqtQliXV44`{?wp4j>bc5D==t|hGcgEm%Y1gJT_4}Dw#3#nTrsCD z4fWw6)3?O#=4KkTsxm!awp`Mn#QO?Ryq7_|A3vL$F(DbZ{SMx$j|CyX-UgYceYp}; z8P>G3W{@a?lW=Q%oykkyC)8wea@5wu`KVzdbbZ?t2~cnHawOJdPv%Wz zvUhBA$QFI^`x2TLfpGoYgXNzNFXjrd8JTY;U&SNQY#_}(cwmh(1Dz?fti&4ip zmVSi~iCCg?<+Qth;%=|3pe3%h2K9K&ij_HM(8|8yuQ+G6$#`bA&7VVOuRo%x%S^7+ zS}ga)ftfbshnC=P$)nyfce7`^dlfsxf@w+6m?TuzHV@fQ+HPs;4S=rb(MPs=VZGas zGOC(T=#8I-HkX0rvKUDLxNy{ojJ~Lecp=Z+_}hrOW#FHmhk3-knJ%-TTEOzT(ei_K zOSH=jy*`s`$G*S{rU^bMv(hM1=$pIHqbmc@_X&-tj20 zuME8BI!@XD=0E0fIKc~~6^~{~oF!{SYW9Da)--NHB$;OU`9-Qxp|}3@b7K`0!yGmp z6XTmM@*Y}Cisj{RT+41azIB7x348;pyQw>*lKX!ih!PPSa(}fvR~rLpr;FA~tq;v2 zFZaC}(7}PiHrykQTlw*bey3Tf<*1hHnoMfSrul$C>Jz4JK*zz2edhXYgZ?=%=e}W2dTWw4~sm%D&H9;-i&$fO{aKV zF2FcD!0|u>L$mp5A%M~QB%2vLNG3VLkrVf%E!eA3;bwF;hZ#2Jj`nbs3hwV)h~F3- zP1qhz`B2`}g0gMc-wu{SiZMkxbY6!jK{L-KePk0iGpnw&rB`0cnzGn%yCQGzaB*<% z?)-2Abxl>CYCrABn0HJycFAyPyfMtAajYjJ4nrc52c%-M!0_UuIE(zIx=;Dkr+I1q z7kMQ)*aC8*=w~k#2*YxA3O_Oh?65>XBYzehjP$ju`pz>EiSV^M@a^ zIUoE7BLj1{C%pE4q=Y(Lz5VXZ`jXeT;>-iP&!MfHs|n8!4G-++n~UI+2<2Y zwfCcvH`Xz(2Ef#Fx0(A$(avVr-m{^&20Xvgx4>l?S8GFXuZ8~(UniG7B60jb1aNPfv#!cX~M)z)S*L0Lcj|cWy!(K+JAs2Tmd;HamXn4vx zaUX$d^NGj<&YQ2vkJLX8cHxl5cRhM^KMz_+wqT`dIS?;>xrg7t%3u1j?KDaSGh@!RW)JOVYNf}L|-z9yG z67oN6bhh?`^ROgpTt^47g??M56kdW$BEBq*+M|2;u?nU5yqqRa&nHfMuvDok7#xif zb)yV=F{S+27Ltb#_Hje>9jP=xI;rMFy$q%(E8rMYXX&uwnMNS+zN>+5}+?;+q)8OsqhmaX>l;#t9aimZvjPz4(+MA{csFhTY zr0HyjD2Nfy&XmA6?1eAR-^u; z#>oeWm*PC9`c-A9_d$rEYs+X+I|)pT;4rzT@_;K9Y?AcTqrTipni-0 HzYh8z&PRId diff --git a/shifter_8b/db/shifter_8b.pre_map.cdb b/shifter_8b/db/shifter_8b.pre_map.cdb index e038146d15ced193a4f9d7d0f95357ade925f1ce..a6ca16036ffce6c3e041f00a8d47ed4fd83075f0 100644 GIT binary patch delta 1419 zcmV;61$6q^4dD%tR)5C@00000008X)00000007|z00000004La>{`vQ6h#o@ax$r?}Iym-<3@{f2l9DtMI#z#VedXOVW4<5wFhk_ad z3NqHOr>nQNnW~xTCM-U-UwXTHs;Z}cRn;}q{idp_YB(4S9)E@QUup+KTzN>n5w%<% z1dcF%h1!4UQrEhp1OEx)`MauSe^DhBT$f5Js(dt8wW_rZhA)tDhxGUQN$OC6kM9Sc z-lLX=4m_VwMSFCeenJ^}ZXTi4q;cI#AC}xQjyg#nlG2z{mezY8Ou5r>6Yi+_B;`)W zrt~=FPRFM7T7Sx&j!o%zQtotYNq_iJ%AJla>0?FG#}}mktfMjBUh_Ali3+hcjkt${ zM}u8auI^~kuM*iy7ukGT0{Xe`DZiu9bQ4K zafK9TDD=+|?ImhI`}~V9y#a9%RTiWiZ36J}1kwArtA8xk1mNWfVgU4=;6<7Q5csCs zxD(noGk~9!@b)Y*LkxyARa<5NjWvkzkUK#P=G2aDb^v`lRoHUPyR*a)@6t@Z^u-ZX zh}o#`3~b5`R-woe-lI8|h(Ka;>I)>!5Ph0GgSxtUMTK{2ku9>rJK7?8HQN?3X_myr zI6Gr9l7DebnYl>D(W}`r#6?zQi|n)+ZBZQI9;nG8x~}Q@54(6axR{zxF!q6>5DI}y)2me$YCGMWhGZ{J7LdUyX5*0=K?u? zz_|yPmH*29m+SX$GyNwsOCSI3WT#WxM=lrNN`J07?|!HFo%6PquUG56*`CXeGb}4| zsn5kYdPM&;o&Ww!^))(@1pOjE%rTfhqRG{c+&Uu&&Jz}YL`1mX#B7UP@qv%o?mXjc z9gvdwW`xisC^3`2S1-z~s~(&S)&8wzInwDzm>7Z!h{ZeQ!Vje=B*ZXMYqgpa%Fc6J%M9q*>w zxn=0~K{wqlEJL^VyXkgi8M?jKO}DGd(2dSgutrhSBG>7)r==Tj$gt|^C0%0qTPqsdrwA*E}+mU9U@_Q@{a$YwtZ+}y_zTG-T2=5nR5W~FkVFWf>QZ8LqH0Isl z%Dlliye(@nuZ-Srbo0vS#f)?l)U-&~Z#}jP{KiADu_H>+^Ee>q;X?Zy(g<>0fF0XI z9?KRq%{Koh+9d@aVO8;2G+=+5s({ zZ=s7u!}Yfk{Umn!KZm1FQ)YV-9>}HorE+%_xnB$nxSuBXlOd8JU|^u{G*s-gVRqvFvg;tHVZUKu$d$|W z`x^!Z;L~CrdP=~e?;xjPziD8%N``wVs z#lFwLFfy4h3@DuiGjPLHi--xHsVgEt~=m(m9qvqfg7dEt_=F?8$omq4)v#z< z9M;Rzq5+?FKgP_h)YHOFpW)Biu=8BpGWPUdpqJ2qPn#3h=QQetGQY-G7GpP@_%JcH zkh5so^A>W?Dvi$yB1q8z4fPZy|HeWO*+HL*Nhq24GIvL~(9qCAntSGPI>^v(ZT;Z- Z;qhc+atM?D2LJ&7|9AlsV6(#o76I?&%<%vK delta 1419 zcmV;61$6r14cQHlR)4<*00000008X)00000007wr00000004La>{>gI97Pb`U1Pvt z5H?7*kdTlp5#Q|{YfM6eNQ@wHfV6~UPDmiX`y+&w14D$I`9QM7Bd|k40tYtcWsD8t z?V-MzuHM>Ws&=Lu-JXx_Q*S@2s;9oH>YDAot*WXT4hDmJv48!W+QASre^GBlEtfwd zM;t#&?H@GNwLaW||4rigy{fi;p-L)*E|pX?`Dm?bRT~=&50G((^mlxlI#l4}-=a@{ zrIv;PJg2FmeR)D3v5abN9-+0QF<(oc)ZBX9_Ut76yyn(pS9()(>#-}np}FEi7E>gwH5#`FOa;Cr^@0j0DoRyAO%3*1rE|8fWQxZfIG2W zWCrlF616=`%#eZsux0Vg02*tM;vsi|6wKO=i|hdULsVhQ_1c{!hB(FG`{GC{q-@l8 z1vcditU{3`YLDhvA^}OssV|VULh@<$3g+(W6&1Bpi)@h{-pLlptJ$_lNwXv_#@QK@ zk&IKy%zs5PPF~GkA#JiETV$urWQ*bm9~Vc~IOXmVTWn-!&SZ^)+9lV2xEILr1MWSz zto&E*zg)k6mgzs4S^D_z5q3JYedKcSt>oJC?tkZo-#Kqv`FgeA+wHmRIK#Fgm)5x$ zSC8mlp!?tJRG*+LNzgy$mpKNrS2VdgkXyG1LZ}JzA0i^cEwS1>S9;-NwIBC5TL+|M zzAZu+6iN)GLFnrXSWtE8$cEcDh{A4q2{Fbs8_EJU`Orq7^>ZJv;r5CR5{(jCuu7!w z+kZagoq?5{)jG1_#fE~F-fsLF>WTResoObQw^5W@pj$^a3E{17x_!6|-OhB=?cy?Y zd##&pJIm1Rm2SFyx(wZ3?55k*W#~qCDcGZ^y2yR{`jT$FjQIQvHs@u; zUr+Wwo3z_yvfE4TKIQMRFvum_ynIaE|9^Jt7$JOKgh3qh%9jz?XiEjXt!T`r!IgQV zarjuaz`QbgKeEj$qZcdELr`^*uHS!bJN%7@VBvKt_0VgJU#kSmw#_op2Uz-Prg z^pt=_KS0jH{;h-Ell#Gu%f)`o!GD0bwy+~U1zy?3k0?#--#HjOxt|=lT1X&`i?H)r+%k6kEYM46z-O(A`5BFRvCQUpWB76 zS804#kU)wKXsG8Y`L`B&$qxEdEJDeoE;Dz;iI#>o(#$jerh^Rq)+d{j$#`RJd=iWP Z0{{U3|9AlsU}FFRP9PQsVzbi)4gqG0)G7b~ diff --git a/shifter_8b/db/shifter_8b.pre_map.hdb b/shifter_8b/db/shifter_8b.pre_map.hdb index 4f97e1a16edc267030f0e7a7779a91012d3df1a7..0fb61fc819f99599a3c1e6fa9e49fa74646ad895 100644 GIT binary patch literal 8130 zcmZ8`1yCG7kS!2`1YO)MSa5gu1P>ZqgUjNwSOUue0TvJLf#B}$F2UUvm&FtO^Y5Y3^8H$7Ed-Br^#2nYz}7>EeYuO#`p*0pwXw{vl(5$52ck@2*1w4&kR<)`5i z;N%wMgG$1_=}n&GFwIb-ox zx`8jL#H$|MHx#v&1m2VeJ-z76-5i{)wR*$X#f7pmhONGGF16V?+dt?}{?pp>$IQJF zhVs>74g!R}0v`clLc25WCE;~cY#Cl%w|C6Tza%!t*#^vSkz)~nVlw*;E?G-F`~sr& z*7g?8X#vQVWEW_wgt4Zf5}OgJ3?-&!CLTC%o(VFJ#bdF>5s9OHkMu_>DE%spqbbJ5 zC%W~2*(|^32lS9ke1BwH0pBuhdl$5Hh`5?339+D_H3lw4r0UY9j>)3=gGHl0;b{}$3^=FP7S$Ho(ZW5~RP5!&T-$cc+ zDJlDO6ZyFQx9|TK8yeYS{L(i?!pDAy6M3T=`)7FhPx!g3?{@vnifME`(rBwNS+}<- z*~Z~?_3(_=kv{?xF%E~`!7i>5%iuY&G+ljS2nc>#d8ZbG!>x{7@?BC2uJd6o7F`>D zgY3`qLkoj1il_5W1g=#Hq~{=oGL`0JEG6nEHDR|NJ+0bLp6B)=uB48bAl`^sq04tV zMSI7onA>;d>V{h!^>BANR_XHX2ZU`YnPzX?8?B zu2wH^95spA|2BoJ@6%*V*qImwsI+QI!F2P8lZV5i63@fn-7-~|4*(2)Pryfv4U`p) zmlaKr)nf?vdSxc??F|!HH(oY8URLoGjHRsL5X~rfV(Zo$^Z$wwa64i77P`hfET-I$ z6T^W^EdC#(lp?L$Rl`!VsO&gX#cp(iJitWuZ&NDa7m>Ui*LkwpGo-pW4;%58GPk(=6!H)FOUu z7HGBr4bpb}btk--VmapQKW403rA9Dviul$EiN_1QWs3e2Q>%lr44jWd*oA%e9E?Eo zkt-!mxPTOL3i&1mX4D|#iLxpLU>)blwokWU*~|oe_;z z-Bexk`dT*xH*5G!*Y^do0-O1Fb;tm3nFHzI#nqpBm07?1eYwlwXMqh-`WiJv#b&T8Lf087 zZHdYcAFF7#fH^;bC{ylUZ)HmK-yG~BmA18mBeGD*jE_8<=8xu-(RI`Eq_1+JNga4K z@h1CKe+V$sj^nhC!3&=R!G-xrTIz1gSXAk5y1YmeENYc;U$lj#zbecbAobX?bCQV( zoE{7~5f+(E_1O>FCh4A%)XUf*EYCR!GADNv>Xn(iG1rN;y~*pw*HNd5)w~z-eTSl6 z7Kr(!?4FwitRrcWf57ind!$(BKfPIfGXw3vF7NdftU`j9z)|L)Ncp}wfJy#pGXR0cRu`w$tUL- z^>9tz*V_!t{|m+g;`JK0?i1v+F2`posYLa9jd^hpINCymS~<5i3f=uGI!85t<+<^8+3vm?JvJYF2ZAvv-??g2kW$~HsGJZ1O zB4rKTw{k{Sxu(uO2D%-N@_~Kwqz7kzPw`G3nX$os7qp?d)%u~iH~OLG z&HAC!D3*YdKnjeDqimotw?y-yRVZIzPZBp1-B%>kcXOLLH2kS%4-a@1&2JQ=FA_=> zcg8-*tcGlM0kLa4_du}c%)gL#>9wB| z7`ZVj`(XuJ80t8!W-~&qY$khip38Aw3(4(b3C&_ZOiM7^&qw5|d)!#KpP+nV^?C-> zVps)!N;j@t_r2ab4-Z?QI}LV!$C%8Xt=NV8Vg5x~X`IA3%kOkCHd{V;*fH5VG3jP2 zlAD`C0vuW9f^=D+)i2fN9j6O`XL2-Ww5kb;{p{3abuH@m|2{Bzj&TQ3m_+XHm{z!H za3+>d4U~2jeT@iFA7^=!ou>6xfDAZ7<^6H2`pK1h zG!WQo9g_>fOMjKMiLs42+_r!Itx1ZfSW4I)<`q`N}S@XF}@mqo3>ykA`0jT;UMCg1oS($~jR}q4e5Mb6|Kb3A2zmW7SBlc~Q(R z_g1Z_=ZLG_7B}67a!=cl@>dEDvOp2ykt4eDk+xx1!-xTS(3{L^06|Iq^4KF7+_85j zO#x66N?X7ghQ3IN(u{Vc@v8v#&)MTJQ}{)6J=iv&<&MR3EI=;s6JQD-$bo4yI@cX% z&>)~~B4NZj`zfG-o>NxK8dWIg*;kdq{zG2#m}uCPXBsu{n-?IQ2jzZSzCBJUSebKx!uP}H=%XH~5O)N~oiS%UjU zDGc!=xYbZE4-7zhS&Zqc0zQeOH4?KciKwx$W9iQOiqEF-jZslekuQBPZhRl8UF$2B zdncB)=t!ju&AG$AA}PSL7cp6%5W{ab@TC#eFD@sa$a5Iad(ZJV_S(kbdw_{;UHDU> zq@b|L#3r)Ts&_1Y2z1~ubDIG_A|AtQL|MDn_w!l27oH6Qq?HM9$`l5VkpXcxckdV=|UKB5nSC6FIvr z8ivjw0#g`R6EbLJCs5?p)VN2F2fND9zQ1)hb^072QAB#~7JQL{PUOiKCDDmmEmPYh z12*~~TM+WbFnNp zhSz$)WzT2x059BQz^EchzNhMgdT4>Oc}fJNC<-zYjGMNT8thtYJ+5*1t$L#2*^}4~ zkiUP*vsA`BouN|m2KP2_*S?%jyI!5q^bLi7!)-&E?9l?!+wV(oD^bL*Y|EQ79ytsH zP^QwQAI+@D9N9z31$nUfFrp@QO7&SZI?&}4WaTZzGtb)m&B-V}PdllQw2n(0txFQE z3#uI1dB=Oiy-aj2xLUBUI?@;+I+q?}mr)YJm5EurcHv)!zEd?ws|f8G*=A^@8#~QE zcfPT|qx95J+8`EL31(RdWV8p;W0~!2#Nf^!Pg&;x;gr~W`dfy@W2^X$d-|JlK8STw zc!1pbSSvtz5-#5t$5m;z#X0ky!d4Tb1lmt^;V zR?H==A$+NgEW_#}Vc-(i$q{{y1A<%nt^rMPD9e6eQapR(-#1{%1&-_R{x%7^1duiu zgoOLah@28e7OJ{^EC-a>eec%&22Ha1kB;YAe|b6PwZ)ZtlXj{3sk=}zUPvp@73kJ9Re1})S7gx#lCUqY zz~`h|lR(jsCSAEUQ#lX4Q zoI!|KXqfot0k}{RPG_S@^wLRh$CDT>8eR&2uz}Qw87A+lm=dLIWwUPux0_2qW8{GKO)Zb3;DbAv?4AykTRZ?a z3mpd@fRhlAF5g!w*Hg%*x`u`X z2H3u&?3O)K;r@<({I0&k)h#&!tsLnAVc|B?zUVOCiO_tGa89)A$PC_NG+Vt4Bt|o+ z>73Q0I$ZFI$O__&xKPevD0R3Rsy%Zc2^4A;rL3WU{d8^-$J&D z0c5_NuBLiHS~^DgJ_6itg@VJ-DC;2x9;;f+B_xR92Se+4C%R$D2uKhSz?2XWge)`l zL`CZM?wYnrj2O3Zej@p!E&^R8QMpQ}y>h6%dgus)j3>Q}C!D!qE0kyX1C@XP&+Lsn-e26ajfPE3Hm0WC^yB@iDlL`ang<`GBFN|(hz zH-QV7M3I?V^5Y{pi>@@827A+weNnS@PyW7VEwsEcae5#oxR5k{OAL-Yu5nu1w{myt zZd4B8q7+l)k!~2$N&ChkB~2um3OEju@D;i-LQyq;O=Jaaq*J<5P$7Mx_4q zsoTTD=4#SJ$E(%7L#Ki*UEu86o;x~rLCp4PCDngq0DE* zUHr+?siWb~cxWTs+GJ$}kqlx*8pc{5cKAn+mYGv;H>N@HqC2}&KZG_I=+01NP7@zo za$>NE!1mS)hW(X@E<}phSh+=(I{tr;CStO(=2(|oMOs_jDKSTGkh6E+awRms-N@WZ zbs4-)5=hLA;}3gwv%dadixxA;fUvK?xJym~^H(FL-Rhe9Y4G!_K?4+gKS{6n9?3-) zD>{a7fpA2}V(v$i2BjT{4vfxhP|an;cHM0-9AC_Exole~=j9=u${)4`ZpUE{`fYGf z9^6tBh{@@cQX;S8#VmRDJT3JN+S{LZ8;4aL}OhUQyqQXxx}&D+3q#R066IG z!z3hpcf6<51>v=Wtyr3R1ts2h=P%>>%h z-(5eUO0lPlZ8Pvj1*|QE4)y`V*frM{a*-EM~(fyS_v3<=5Tpm#&-T6myc9^8+NouqO z`!twi4a4qa_e<~v4E>z$C>STN z!ehi51e`IlO`HEMrleM;htC{a`510kU^MPJ%%o#c6L*lv@U|@p8D?+Q)Q(FuoC~EI z-MZ*f3$(F6+LXZC{3qD~qW)X61$Hlds}7YIsyHU14t099TP9B`6FYOI3ZPms0Z?g= zlbZl}2j^wZ?^6r^lD|yZrXCnbPXfPs-kq7Up8RC;@G9F3%Cwe6Q*MbjN4|(K3+rvb(~QFC#&&$XBeSsDIKQTSx@JM4nJ!oD zIO(za9Aoi!j(R-m>8&wd^Q;VW6{yA0bXTAGF#q06@?$vpwYkfOwRHVh<&~%z}f)9;kV-`%N)1u>_|M!XEdwzCtDiJMGGr@mcvs_r44<_z7+l zjufL^_dNW~Ku6H%z*2LyDlf5%9D=clWY&OYbuyoU{lGLsGroJBsvxzK|A%%!OXE4{ zB5Q@l(y}Rj2rZUFi5E-L&d1>fcL_HqEh&rG;NQ;pnZT33p9ap_eo{52|HVhU+JL3& zuRAURs{=0nRb52ujvWGR(Jy9UD=Dama#c zDwWQ)_FZ7rTjEcABk(27c0RLn|9_L*qcj=6NzeL?hlsI$2)XsoVf<3w(N|RQ*W@g7 zG0A)&0{xOW21XzLlZyDOF^mx{qA=l?!D?)|x@DH{_w;y$5-`6bz(2d@M`4P@bLyIN zBv)7oj;<6BU@pO}$mvT3McXuluF57ZdOfTJzv8la`{<6}1~rAvb7FvdBt&gLepe&H{qRI;kdj42bjq#e z#5KnnxVs-eL%6?PHVCAyT~DdK;lK#qoDLE z{Yn<>f8|Zyi4|o0{gG;N<)?9$qz6Z!VFw2LYVCqWGDGFN-IP&`#=~;%jK3K|4->J2 z1KDD2I=l9|f#|V#Cka^$fj>ESW6O4{=P8WZDVL@?+Uhq4>fufN+x^fNCRoqrzAUIk0HbMH{O6=-Fb4ogCc{5v}o+pEIapdaS-&<c9(O*Xj;cUJ=@^k8I{#f8wS=r7z+#z5Ti*75og{OV1eyJs zq8OBSlVcM4ole?;*NHvdSSMWNM=ox!B|$etm^A9@M}Npm1)w90Dv<<~$LM}&xz6NW zmvJR*8B14*lWFqMYePxw-LOSM749PcbE1~e2)0OFBk+Fbriv+RPuK0hqg-M~wdHAK z!`|1hTW=sRZSSsCzMTE#s{2WD6PD`xs3-Gm-L>(yOoI3HkXHDDPyTrjz1d?aK1==l zs#&n_#WD1W4Tj^+{`cYqJv9p~68WN%J=nU?nm3r*#o!c`W0xwMwK`+Ho~rm%%c%(F z;h|V*kC<2tvkoHM{Ed2l^W}SEql)7zZ()va&3&&onkyrgP}2=v;aBa=_Nr0?N!8knbZE zg~)6Pshfop&cBR$H}BXGRMZ)rw0COdl*l^1FZX%VmflElmL8dVm+E2v+}|gF$byku zST`R!Rc1nil9*O4FhABX<$(UCg+H`8c^_A2j>mCyRzkG;_` zNI#6ml5%^PWciCa@3s;WaD14hM8Mn2cN5z6@ndYlxVdqT?=)C5#gsEy7d@h&=pyVS zF?X>esW5TtxAgZofqD*hr5vN)@w>OmI-y^T_$x_A{%qE-b0Dfgm@JEI!^jX6BcGb5 zlX`x|Stv!S4e!_*#8hV~b$EL^{+wUa^SuUs*iNuBls zq~)J%w?$gbzpBJI9@7Uc!DcJ*nu;78go z)ZGP(LOA4cs?;bx?5ue2C{r@meYjmc?W;Z;z1Kr$z!^7S{kG#@5pe0(3MD|WQ>d5; zAVk=k!QWqz10oEuH7WEp$4H@uo^F5Po8h(iVz6||)w{eqM7cKBPvgH-jY}8HekVY* zF?iqGWR=!%a2usmSEW3Q1cf%nmJ7|=^1O^4{h7oz_XsWA>a}citMoSu(vWyt=pew` oQh7xDERp4i+w<-11fyg63=et0I=p#~q=p@~3>@DbkJRj+} z_&B*gaRRxx1n4v@T|I2fE$LKE&F$!bbQ%hDI+kX1(iS$5e`!v5$p18ukbEirLr8`g z|K$ei|1uQ$$j}GIB<+_gq%48H{ge>Sc&`4((ev7UK&sf&|3_bsAf^U+T8G{*`06G8-@z(Rszr587 zZUsM>uZwSOD>$qZF*Lk7c6vGh$5&MyoUAt3%~xeF809DwHX8hLJibKiOm1&g_fFzs zWebYbv~D(YIbzi@8w`TzFwI3rlIyG6OOex&|Rvc_O5n_ zrBWOn>(b2`cT4-i5W)?kyvt~st$f(>Y_2ckb@q?sk3zlCOz<>YE0a_&Wd>ZM@tq_) zJoA2Esa9N#Nh%;L{HBnHMH_w)Z@MMAxTAtPoZSox`d<(!>_jico@h>OI@aCg!Hu=fA&!8Dj%DwwXW(BkmCLd!2 zD7;NA{9aE*(`h!)-6jWNJ^VOJr|r*&1K+A?BU$d9!>8o179X{dW4-c&-Ms=;EH_(v z%O)hnmjkkck=h+UO{vFE94A_Hz5iK18%$Q3jnM!XS1fO(XIJWKlZM(_%7 z=fQaBv!GWy-e!V1Jk(l9%8QWHRs+4c?*ycBLzHZl%8~C#ql*ml&tSX?l-BZYx}C#| z2Z+hdiiF?$acSwg2m$7JKI;~XxZNLW2mD}5Eo$q^l6;s!*$F>Di>7wQ?F`zx;-gGc z7(bm_);AWVCLI}@FJxqE*0Q^K#xI1nv*vcr;%7D+6Qf~WsnWlQq4gA*mZ^Kv0u?sn zxq=BR&{}8cxSf26WL5YOdjc&LP^%Z*1!*1w-2Mu9rHIzLuI*HiYx%$`RcCXdrIFh? z(S6B)<XhAjL@O;FazPHlkg#onlDG&8uGXD6J|=gdzU}gADAW`Zw4DZY;l# zbRT`=Eua=Z?spBoE0&Wo(q7`>xuL20rI_(`ush33X+c4+CDZJr&gq zd!wg^AwW}AO-TX9JZfZ^(0zvLnA~1DmnJiW^U?GSsnKeXXUgaYE~Q|k#|VNHc~yl?8Qpe=IVrcKRC z{3iv)aF$gs*M=Pqc*_hnmvAqXVJyzYTOaIsNNnTX6u!Oz|B1-}UvVpR zm&L15B;J#i>RoZGstF6An$8Xk`jNz2pMnm|5i3P1S!z80ge$p*a~v5zW{EodN2OfU zOz4V)kb~D2-%bd4ZDa7$u}`K0?oHmfg1cv_Ynj|5kG^{yIBr{iA;s~ z?n`C2B0d*J<_>&yCFgb>nZO9i(`D*b6?tWvV!+h>B{&gFOUwxU!q%A0Kv1;ynk9tS zzchh6oY9Ji5;*&ZE&-ll^6((|n35EAHu4qIY#ba?g5RYz;FEp__hh)Co!G1~#~&Ko zrZEWH`jURQ^Vj24#;t(Ikd=7bbH3rZv5zR{=?NpE6qx26VH$*&sU>Lz$#9@b3ABOs(A^_KJ)?PXpP78Iq}Z{+|5YhWwnI+%*}mg`DV7AO#$} zz@jCIE@B!Kf|ip)pEj6q71&&vog`l*on$=76d%bHKf;9h-KtLgnt(KVK^m?z)bN5u ze$Gb@WR+SYhyXv^StN}QCvZmW`vS1E#YHS9=vLE^`0?@zgIqgw6n8Q1F>$4<)oX5u z#8Qs$Yw=oeiA2T!6fc`-6FGZ|&%o>ZFuK48Ws3rIH%O9z3aS@?Gr#v3ro~`zVDslE z9dRlgsj%>K8z&aSv1%#~?`=re(zIv@F~8m`7?&=MuHN{a9J`;eOsInf&>z2uuXqV# zMC^od5AgZ*y9DLMsdb+L5orJ1@*_;l!wJ*KYH6k`gIDI_X;MMtpT5I&Tf_>;Pcx9V zI1AHex&3Pck@@OYAMf{k5CHUuNq!y=L{I_|t%1%QWw4v5 zQ2Kg;EYNy#OFO5OV;a5ZU;^!6f{^7Pq2*w_#bCVUpdk?9NPbRC?i!ERLQ3R$_*kiU zUV`UEkf5QO#?Wu@?0)|EtBF-4!YhU+9Mi%5#98-%@0tv7W(#l;CX#d$#wHpIyH35> zjcshYyx9mon{=#GY?QA5qW^9Y2g|2JvNVY^?dVfp8p=RUWy9O!n)__=yv`$?pCd?} zx#n|!T|v04&lz&(yW%Bxl|~OU$HquKFw}giUx_D%+%A9PB9}3!p4AQKI?F=VfXKV(g%Da|OIcy~ra=FE=x(iVJFahq2Ss*;{H) z8yUGwL+t6GxZ>W4t+nqheoCr_4XJC9cz0KVcV|a_&P{&qBECSZSIqK)f%I5-q!X(` zch5^lu`BjV-Mcx&r^X37Se-C#dvxgQrd$Fbryq*Km?U|f`e?EsLngD0z9u|yrE$tLZYUIRiHc} z9aV+SZgtt~?_P{r0N+=%@|zCrGee*Dv^YB|H5d>WzXPGr^LRglo?J9@7~$vhp3LSRdvV!jvL zgJN+UWI|nV{R;!*gngm)kmV(o-`*|KHxG{-HKc6>VX2YDrxLHMODW74f|$cFAgv}} zt#|jfWlNi2Tonw#F@QB}T#C#;YrnyS*9r=yRBLh#36jW1b{;SA;|e0K%F+9uHyDce zWL&SWeb^@)6RU{<^U)EMpkCP^qRZQ_cr8kbyXzK7%a()GK!maoXgy>F6|2FiAujOb zHQCp+vMG^d>81`row!$gpk1YprpUxMiOBVhT{v|aW$qSorK4qKHgQAz^ z2G3t0+F2Ppdx`R?rhmO}Z;GEtH`elOvvXK2CDh&47rVy7V0B)@U~+D7JDdtP#p2ne z6N`NZDxN<(hn-8uV8qCrj1e;DQNO^pVTYO-ohG8&zsj(FL&z6Hg@gMq&C^4hz)f1jYtgF8D1oHUMC?=i;cW6 z+k~5Mx^laSbe>%gx9Dfb%g^D$Y(MClmZdsoTU+8O8sq(DHCc(RG6toffLi?<>c_NW zexicFMf9v#WF&yy$kG&4P@20i4-*5c_^C{{?VhvvWZAlj{ov$5V166@J1l;&?=|B= zZF-=XhzMLzFBsG-2skTd*n9=3rNP?YtdX!-L<`|6$#^BdMxSh-O0N^O?<@YUMIp?9 zU8->;!On8f9f-I`nzE%G^ur%nvpMyBVycn* z>^Tjs4vE_7nXgm=kk;C{9KM#a}g2P0B-CM)v13+l?Ecr$bTw_RbckA4`XCl6v&;k1J0@$Hu(T&vUF(2 zp2G?ZnuoGn8+9whfHog@-Ya zqQd(tAv(o@J`YMiEU#MOs&-sw51F~e+*ZJlvQ>+_%d>EAI}LbTZ{*!OPQ&ZCO7pDZ zCPzJOurq;InzEwH5Z_c~cv0-C9$!cs9+vwde*)Mtm!^%D#pn~C(-NvM)QpLfnF-Gpv z+Hv)-+1oyc)_|}kcykuo%?UtwJ#;(W46v3a1{>@RdW{S|Y@OYUC)^>sEWMjiXhBl*s zJpOYWlGH71%+8i;xd#l4JAxT>ewXjUWjje;9Bl4V-?ralGN3paev_4P^E1i=Rlp;! z6nbI_YfsTKQ!m0?jR+Ok*52D{w*u9FCsVgcm(5e!>Q+r$?|wG&1kChl6+i*P#>1t* zq#jE?bErth?A_8fb&WcA{)0>T!iA^(#S`l9k z9L|m2cXsTkg4Ad4JxTf+sY{$H_8w(u083}A(w;1h{hUPkiB=BQn;{?gJeF+NJ?@6K zgp16RD+5yr-qI!~XVLE-&N?|@r&aKV0kp(VkAWuSRRT%)2-QDJ4BdH(%M z=c>;0rHn<8)9d26PCjm$cH2tw4*Pve$~oGsrJ?A+9ven^H>Sq^4-fq`r-&*;Qz`i& z=5hVyR`^nC^}aq2w`g2Wo#pY;>#gi;2lHgZWMcf!jEV^4MmN~fZUAPY@Bwf)oOq+W zskkSg^ypC#e|YK*Jo5=v$R*v9%#dBU{pqFnmh#LW?wPk&hgV<@<+<(a z)YxUIw5HIhtK{b7k>4e)iO)Sa zly6UlrJe6i^}W%l3lg2i0MiuI=R5GoGe(VACMykC^<*hEExI-=norM!8GqT}TRn;Y zQr_qanSHH8b+-d11V8Txt@WZRtIKt?Xx5eWzYmvFIDVdg3 zsO4&&4e+OtuzjxnX%l7Ce6;^;q(sZ0q8B#mF)nmcnI|NwRFbrWNsu02F^ne?q<`PM zPZr$CPiLupags>JIN2hSzWlqdel+Kc;#bo5zpJuDcKR5#@+AyEeIaQxr1puCr~wo3 zv*O+$dA>>mo^`?>4WLsS2B@?CYaeXHNIUCuiT9VrwYZMO_z}Al zSp>VVhHE!lENB)zm<+ zn$R_0J}h&un%Q4jYQmuzhBdsJUa_p+>y#P&ZUiG+=m`d zr8hr#b37fP4aB<$T=T|xnfPVE$SA3e#TqTWA;&Y)=2+iH4lphG_2*LOb~9Zd9C)(p z5FWKfvFY6#W_e~$f8558VQimcPVa{_a-=k0i8cw&C>d#36<+v;FAvu)LL7u2Y@3y6 z#X1foHY->`1ypyJ#fvBQ_Y1dK_)TXI(cswQ)i-PQaK2x$0V<)(N3il!k#E&)Z&zEU zysDt~@WrJ>cHgR_Gq0In;;Gq~)ZpWl^H0~PxUuU+b;d>yYRMlO^2{CWxA(%u?7Q4J zn4$(c4o#U1m0qT=P`nMHz9N5d-^@!SXffTEo_#uBIBge=y?)p(p$X)>^?M}Eu=ohG z=2?js$|Y2ybU{RwkqUE0np1O+M8j*^@7zy3s4~3gHYvupq)48FNp^aEBP#k@#_VF2 zNry|c#aV^LD4X$c)tW_Nr*M0C@vQKwHxua9U7o zy4~8C->E3`n0>e9;AAZ+9O~gp!uvAstdngl=P_r`O&eCMP$jrAoXb{~YUH;4=VxL6 zBEQVy>TZkuB6!mv^Z2B>O}cK?p}3sNqlvxh(!c}0`R}jFUllxe@7n0!zad21FGAIm zk^1s0D)Odh)W4vA+ImChutra2YW8;YK`QLw<}aT=<#Q^=gI~@Kcgw%dHh64=km@%Z z8%1ZNRSat;aEh%IfzA1=1=O~McxWgC9#30_b?UA^LlGw0f}bB*+Cw@VIpQ0v#t#~Q z^wpR41xyCid@wE@b$!~sa313CV9uY$Y zZ=BxKJ zQn0}^P;JXvM=B|^gmsRJ6{kIfeNz16=SB{#j<2}~66#V(6y1V}Gr^$XA2g~#KWLaJ zN^BD@Bjv%Wuk-fp%%9p~B)GycTiLSjy;VoLX=+UziL=3ct(rbcG4v5ne+(3tc~lnE zOaF#eXZkQ%;Z`)CqrVVyEbV0+)p{q+W{DcphTjNs*gExa3*(59nhK7#{9zdIU08~V zZORuGBU;EC&pH%YYty)c*{y~C_N3Qds`ty)<88VVBVB0v^o{N}ET z|5-rN-ZwpMU8CDmVW-jw{6q&;)Vwg_xvo4FX@5Sb@~@%4KhPhB0Vkl61P>`z}K*M=FQjDCk39ju1u zdk;rRvM@z;V$oxHvwo%VJ}M=RluTeq%)eO8Xsu(L3KjD782_~EzYF^dgHRVVBzuq^ z|4PMw{Vgkw8ZON1kjvIs7GAXdCT4#6ZoR&7cfa;@WI*7}McjZCxb{+Y#Oh6sk^|s-Ch&^}-e?B8nRpfXp=q`~@e{kLxaR=Z zI<|0rnPBSS0(^A8lE{j>lE3}-&{u(o7G2cHMi4!5kH^3ja@4n_Z<-S+ar-tX^CXMJ z+$8G}Y<1Oxj4YsyVPE74g%~7u5W@x2+98AzvdYel)2S|ze*iiicTZgex}oH|@=Mh9 zBUswKAM0o4TlGRTb0Sl3ar-bea0LQ=tRDv}9V{g8^RF^+SQg*?sekeLDZ?N7swNPX zdqP#!q>l&X_KK95N6Z6Tu>&getlGnb+O#f=?GyU?eXsZDp@f@EiaTz%qLW!8e_ose zdA*=So0}`SIL%SYu4!`34q$eIBdCVtBXf@6ywBfHorAiXZ2hWZ+Dq873Pkg5u>6Ay zYwa*X6oXguvfkm4pdD#aNkgv|%~d*erjN0N7KI=B@Uy8}Vp=iK!u&I6 z{J>wv(7RdgwW^*fTZL~CX!-suCM3`v31)FLe$_*6pubO2`F45IL%2Li&fmnbD)BXT z@bBm1`7XHark8DbL|u62Red7bM<=+%z<#8*X+~jyP+_0zCo;-l^NZ3ltk053o8pUJ zXCuXLwFf4sioZxHGMpIyM_t2`0eBz3{8SIM1G6dc6@*BMQ~Dcm~-t zrKIKvoV*`n&GH2-wyU8>GmA7X^ammMj_E}Cmc$dHYMMwtNLL9efSjvGR!4cfH_g^d zGUOJYglMD`vL*boR%*lX`#ij4=kmHzk>Cr`!yIyhU7M^lvk4H9*2YJ-jtQ>c>LV_) ym23NyYm@-y0+L=C3IeT*mGy9)WzbkBiUwX3FT|lOB>Zbbs)rhi!QFov=l=i{;>pwi diff --git a/shifter_8b/db/shifter_8b.rtlv.hdb b/shifter_8b/db/shifter_8b.rtlv.hdb index b6e6e83927b2aa4b69f7964181bcec42c6a8b4f2..04527c50d2665143448c34bde895448da7cc9c03 100644 GIT binary patch literal 8132 zcmZ8`1yCGdj4oE(rLZ`(#a$P7Xn`&iio3fk?#|-w(glhZE$&VWi@UqKdy&VxyScmf zCYi}E$(PLhmidDN2M1Sy0S{;YMq+Pmbu%YtD+haO0X7b5NmnadQ)(`5UTO|Lc20hF zE)EU>YE?5QH!Bk}YGor68)`0URe5R+Gh=EQQ!AG@Gfy)3Hw-v95Ay#Ia6!obDf_7Y zQ+mK3>tUpm%lybe8ZV(nMG8la4gV>bxUw*Eii~IB=9V~Y=H|BW1B*>`_V@$t*BS-4 z{BDi&XE>e!=&E}M=-b7#(DkYC*@iC^r;p!#ZN(jjXhRv^6E)~3HSF1MMo;98Zq61I z6Tj|1HWas(`rVZIKfkKY-0YvOw7Q?IiU6V6FjI5(g*GdD>wlVK_o^G-n0Z$M@jSJd z{dhog@DrXeaA(T7G^~!2CEKm*_JLusNOXOK<*(5#QXCvuSaPqy0lL7&%O_NCW^HVr z>5F7SdV#u36lV}3x*n17snozw-vtNpg)sX_Bo12yo;b$yP;;=7!mCOzhJ1Krv|F>t zV(|knUJuD=@Ds}t({V{{%A6_KKd)ueb`mSnMAMSm3kviQ7Bg$T!&rYN?n0yMFGq{450k>Td|^P zmi$2Af5T~dkOILMCsAB-m(954*tXg29%Me%Dr`4WXT5NFDJY&d`RI2+LL%4{6n*N+ zJRJYq_Wz3wm2^IFAxMts=})*FStE#5<*Xw8?A+0FvwmvHAf_H+s8xWp+ueY4?O>uB zHl=##4M$Im!=|yngR9Hbe@-kxTb~^0b9P(x0E)%oR6;5Z785^HW1NXY`+jzV;DJS&OP)?L#%0?4e?hz<6W{A3wUt$y~_ToJ1l`L!2rj-9Bh&^U_NIU|nm(hzF z!~F9ujkHgGEH?C1nB1rA_qeRV`XM_Pn{gGsi#GZqWtRsY1oDB9hZq|yC6p*7lq99` zDa`GS=^?i_^bqw#sjx&Txf2MMf~-vp9sjYVQ*Z45D+VF$L=_uo%Cp+QaSt|& z7cfQwh<*STl8K2LsdrT!cem8MHLP3`T9X3Qo5khZK?DbFEgv(DX){3)Me7CMTENwqGOz<2a9ld-jG$cy0FNVuJC71#a~NAOBC~!T#gg$j*dHp+O7tZA3T?9_L|s!l08eJI~=U5mDIurraMs zpx_wa08LwSVzFFh@p@3w+1~nRF_9wm_kBvgzq#w4uwd;*iV$xvdPRz0PJ+hLEU(Xd z1}HcXh^1?Qr+t3}4=GSM$s0D4(Kt}E17B@`$bmFG>4JN(9`>{KC}HnfU~I5~(zokt z^*~%G46!SCjadz6TMI-wR7rHOeqDnoBh3bP= z=_>1`Z$DCEY3fou1|z7-_%<=HkBnN%-2#|1+JUznq1RNdd0%3D z>J4yiIv9D}+3lTVsV3t74nkR5J0t>%LaKM@+BADOqkyKK`BUO5FFvIMza~+C@Ao7j zX68}C_an%hJU^r`KSfo^X%UMu%SoLZVU!6}m7w)qK*C&hMjN5Wl9ioQnD1o&uN_gb z;dq~Qzh#R02}!-A72M*C9X~^AH<3oUKBAFYoaN2WZUQwW>bP%@Ku>gJrE)(^t@1}s zCWxAtasEE9Q|+N#o%h6g^e&n>ZpNTUR08#RevTajN4-T4;6X)DW$M)+;85r zjs6S9CEV>TZuRHzle%1w@s!f9*DDP3{otV%N|dUZm7(|@b8&a$EN^6#st69(VdcXx zHgS^44wXNiVr}Z=kWa3uedpRV(JjPL9E%<-J(g+JsJ)}rs1zlqo{I#jJo6Mav_Tbg z%(U}-D&c_Wn$>roj{kPs9Oi@jWB~i8cPIGAPYl=)uM3)xylTymyc^9Bqh`&J31kzz zQa^Hxi^CkS9;ayYfoTYjUr!1rJ*_zc3i`}?E;Vn4;lF?QmCcB9F&9Z?a$CcUlFNbX zU103m&Rw7FmmhcG=&VU$jb;{(fY^K^(Wa}v|0u60-2!uz{4B?_z!EFzqZoOyihH32 z8yHGBt%g&;Ru=u;8P~-Gx4G1I@)r(2MtHx^K;3u68&Faekpi!C-uQ;7-?Z=b{XZW} z`q{oN?X29t_-T&$l%!_v%`=j}c-XP)28$40ivtAs7&blL$I@@C-wT{Jp*1`sV#k_n zZ35grY{L3~5RvUJ`B7|i2ChTkj)uW68CDNGH=IE^PAdK6SN$7>9-=QhOei;!3+Q%j z=swn|B=^(hT<5<8)Yet6io1$;2GIYJ=n-}_#EWoTzzKYl^TUQUJ?9~k$*-4HXNqTR6=E78t zJr#vq?Kx`%`ZH_f^&oEOOqx5I`nv#B|IW6oy#9iJ96%|nWX1EuQ23k=Ek ze2&L_z^Oy5!7SJ6B?M!y;lmuY@=av=FR>N|A=(xAC?@k%@FIA7J&p~!6y(3mY@~K} zdg~=CrY#gMxp>sv0uT>#=KAtdX)}i~LlYLob%lFD2(k=+wE~*By`YMhd- zupP#=Ef=7SrXK5mCqVw=dCY8p9S*GXoF-0=BOwb>&{{@^$lJ&{cV8Wu9@wNigLVZS9;4b5E3|pwtA1F1Bp2w9K*yZaTew_HW>u-wT!Xo;P@OPTJbR z1i|(B-U0DYt=c-L`w20m3QIT^{qZ@nw<`f8Oq-(Lf0^3s$XfxujhY+ST8oq`&u>+j zz1;z7vy0+xdY&gO$LEGHQ6m9B-S?kc`o8GYr(A4^KbtoOetj+WfUuWr+52Z=3Q*v> zYt?YU09@O);?pODwQYWw!;u`C9CtHxGkeaN@a!lg{uzef<1g=$? z2jd-v;I zg=$506=^3tNh&$+jw9e`H68b^D5OcRYlD5tPH(J3m}V*~kl_2xd%&eS;L=UJ0RBt} z-*7bp?&=gN{qAFzZUUUM8N#p{8pqi?x-V*829nFCAx&ijo0agO5{5a1AThaKpH9U~ zc)(>b*LE=1wp76HoNBg@F95jvq4_g3ss!hU5+!4Upmq@5ThghrCLgQ`@t&7M8fzn~ zzJAh|CP%wMe}9@tBf@1`k13!5X;X$|qo78fl*F?qei==pVNa#;1gOCEvD#HBPNJap zk9R{q+EMMvbIs)p#2bk>O$oJ{IUOfbY=Hrs<;Wydk{3oMk9O0*Cv$=gk_`njnLs9yO#O#Zphx{%5ZgUf9@bC+|@_x;j3#h2xX}^AOPc7~JrK`Y#;z_z?`BW;<{Vj4I9ywmeb}e6u zRt}7cV$@aJ(_da36ldoX3sa~kofQo;VZ>`9Lm{RQ!+5rSt@JMlA_|-M+5W7LRi^`+ z3lF!E>H89-CTRJb+S`W z%(=(yx4u*|8yGVksNmXm6W=V$QXd>MOEj3L$=tyfkL}se{?gVD3MSd{i!%V7j+OmX z6;d`*3!Ui8b0~MVb zFa_iwENL`vhh1L0KpPg8x1v_5H(DYEafy5=$H&v7{i*Z5pg-)pY&qG-GI*ocfH0Fs z*+`GN8p5{TvLz!&QH>(+JWK&4NZV&fHV$fk7>Orgsm#BQ!zhByOEhq3NYiRald+^O zm1DNP0Dpo48f+G>m=uH058+6bZI-U9Tp>UUC%SdwCMvu%ZXXlM7KfSzILD)Cwv4{T zi44c+(}nm*H@XUQv(Q?LJJK2vx%3rV;y@|pWXAWfL}iMx!=dcgjK?;H?09(jw41be z7b~LN1sa;1ZiN$9?dl#y~u?*?>L3sUEXg z7NUD3wRnQq90$L7YEzkX^-zcT5RKWa4|yuYd_bTkPtcGjlP9u?yO7D%JSJk!rwF|D z*BU)MgHKo-5WDBWCV0xnd?;lZo`VCET^g7rRw2@+?8|2CE}>c4Bm8AOa}Uh@^iRQe zC&AAyZU5n}2IwD8)7#XEN}=`@(uRcVo*`?N7-c%}_PP$iC$Kz3BBfU&rE3gs+A4~3 zV@Kd3+<*U9yl^w6FJ0ir8w$iab&nfc=(j51c>-c4eh2D z?ItGPg)KGGj|#cqjn(@@B0CScp6s0vJ_`X$eMo-$$#U$dv$NiUt-n!86Wh|PFNkmM zy-zD4>J+$kMV3lZpEjTeP7hd0iN%RgIANm8xS@;r!*S=w_O zt}J%|hOucVjyewU%_dm9!#*Fj&GDO=?pr>7f;DuB$M~9vCSQQ4r-fZ|n^rq!y_l8T z(Cl)cP_iru7~hy3bXAtro=9%RPIT|Q3w5=?h~*V*PUtG6e7v^Q+R`exr2dXdK77*; zUe^SW-0ZW@9+*omRoE?`r`h9-KEs>BrC(cK8Ix%BN-;v;%&m_-5`LveyJ=574QhTx zKAbl+Dp7Z9S9>BoHwSNz<9Z3I*SlAp9`7G$wf}4{t1T0n>(13xI@i*N*BAByKwAZrNN(>TB@`Xlyb-? z;yn(Uha?bW4L3k@{*2;W^F;(GKgW(g^5R zg67fK)7Y7AxhTAx@hoWMZb*Glk{pmC*mg>d+n8d+5~y{Vw23 z|MbW@F{0A5Hy_6p)PY=U^WJttMYK^ulv4lT(9BHmkOky`UtBSq%o!WE0oC_ZOY0`0 zr02fiTk&{+E_6|ar48;)MzO}$@ao(G+lJUGg*TgY?}6=2*EYTUSq`;s^*c6YN_x%fv*``iwfSY0O`E$Wd*(M5%m%f>jH_9R$~YC1p%!Gb@rqC}mr6mS+QPZiF{4Xi z|NQ|Io2`cPhm@!|-;9SAPhdL;(s;w#;HcBV*S>HL-iV^%>CK9%y#cTZEghR+#3jMZ zUd?ZedY5|qiylW?@0RhaYl%T$GjEl8+izROuh;8qWxaXHPG`oph4tI5`}l`UdK;OR z=`3nD{?X!OF0wTgd--2J$TU)qJD4yDPlB#UUsXeOlD|a8U5mlT(TjajJ`qH1+_AxE zyqNF#AZ*N|h`(k@mo*MP7NVxa* zCOWiQuL`vS(^4{J#%SG0;IfpX?YzG5`D;H35+p3ybHrQOI9gHX$jWX5f6#o4ho*ar z(0ndSWcMP!^gBv|{WwRvp3p5x@Ops9Z!7ZcT7tQ=zGsEI} z%`3~(8P-E%?`uc=tIGYG776)w74}2%Mkn2N|EUXQ1IBgXaY>5nyyqx-iVr*?wwJop zd?E1Ys5q>$IisxiK{v2tw~vZO5)T zO%+wZA&~NBYub>-Ir0Chss8SjhoFC@+LymW>wtbTIFJAo@ z!l7Hm{*yBXH-qPiwt0i`PYDXFAqYdoL$_+Np^2iUIJZeIO%hamX1WY5pdBF4_gm?m zsaM?XJ97F7@NjLFV6>C-vWz?Rqetw~{{82Pj#R1QxYV#M8Nt<79=Zd8!NMGkO&}*@ zfA`;N%yM?sB(na$jkei8$d-7VFJzI%cW$<<$cb#em>?t$}PEEO*EuUlI3}0 zj1iwTV{!38m?NUk_k(z*a8n?S>Np8l@TFP@o_V2StH<_Joy90O;Z zN4<WY?S?@z)?*)d%UtjrQilr4s#{cz}d|arm|;Co=?8-!ls3t0!$mlc3IB*S&PV8 zJoM)3y@nr~fF77_)QkL%^T<~~M{MgnhRg)J-*I>6#3{prefgOGQ22=D$(o9H>~o7? zr+}Dg6Tg4s#nSm4@4(qbTg<}FgVf$;<4)^%kBB#`xz=T=vR`wXW7aBZujg1q?b(xu z?Gvn5N3GI)C+(b}Tl7F{Gwea-Wk8$KW~6&&DFN$bNC(4S_g}2e2Yt*3|DfAH!8vhg zb2QwQU`#EgJC4cOBS;2F1czjrjQf;EPVlBV^C{S)UDS(BUPis^wQi5HzFT|I@Ys@{?ZIA@>xNGLb+@b=yBXULlS3-~mZ2 z$6M-YOm==u@`Yp0iRv{c{=ljv|9*`&07t4s8_B*4zELE?dwc&>b3vVBtb{{BtDzLZSqi_(!5aqO&@*jb-fFA z@s@^PxW&ps4oYWTt=NO=>9b9z?*w~iRQGkI*Mj2njw%Bi8$~}q_{R16*xzi7QI+Sb zWal8k4%TH6`F*O~@$>%cTZ!=X)dSw=`)nTL2K|D^ieDua z@UcJ%r8eMLC18Z{A<(a^nV8WsW(UBNhX+D}keNZFQu^*jEH@@`UDx3j@i-#UgiZfW zGyIjMX=r493`C?nugfb1b~K=vfnL+9cv`4nXzhk80qfc`*&f!q zM?RXN)Fu?0Fp@0L_KrNfQ&0=h(&eoJ3{I}sud=~|!s$(lEkjA+a$Zx&>M%vO>}yj4s3QyCLp zco}((BV5MwS-NDtz0MJda0)8&&bcRT_itYnWrjfVVyhncd73>3iwb8czskC0jY= zO9Zz&MX=v~|ypja9HL+!m#jY}KH`oQ;o zt^cvN$uzTJ|2FD#-EW0yg!uTzxC-F3CD-fl;p7;$kxNM7Mz2YuQ(^b literal 7603 zcmZ`;WmptIyJbNTq!#IB7bHYlI+jiWK{`cBV2P!>rKDRrq@}yNTUeKFT)I22-~ImF zKleE^@0lO-&Y$mM{{{Z_bhR=yr~7DPYD33O_eqgX+x!cijG2}5zchOsz`r$6P&_IAmrx8b z{>!!0|7CZ;fuUChgN#qMFrEa!qmwo|%pcXQwYE~2MyS2EwlN_+)6l{apCs7j+7+LD z#k76#lYCyNS~up-DQB9hH+IA+0mCiXYbmiC6g3HXv0+*+f;Y?=ftZ1T%x<^7Wvv!1 z7A<>-+D5NNH)o%QqO!7%$8uwz{b{)7a=WnW!CW=|9LU^FlMcrJ^g@?I^L7hZvn7~q@Cis4C$Sfgj%ScfPtYPXV1;FlKO=F zbVh_qMcPW2SRhxF`wP490StoTCA5kt}loS zDw!7~8$I_p0xJh|hB(nf&Kqi6AP;ycT8S9!%mi|SdBfwVA1bU_h#wB zxXjmOpR%Qfr$c`$7JLkz6u&I0hGj#YiU8Xx26^KD54w)j*Yaj%QeUoJjP>2b^QLPV ziZ$CoC0tzB5UIpD=BMySbgmNmc#ulMBymB?FMDqU!bGqma zY&YwHvtY5&fcb!G<&^S4H3lZyogA-MXcTvso9LZAu$u9548@x*CN;YM2cP-ha>i41 zZ=!d<=(($QNx+`H2mNj3&QNzphD6!-8U$=plSQowGiDgg&7YSHC3I_i%c_|AL>8I9 z3Bw|Ry$w2UT}wS~;uQIAm~Z-X;pY6sT=TW1E6s_0Cx)(8L%xYMO&M zMD^#YcX()cpar(EjP!=d$j7}!Dw%b2_}dYtQ|mi( z(LncxR-0ak6yUvV_p^W|caeVbv$~m8*-I{UVO(pMfK)9vDZR8nuWw{9*iJAvJJ8DU zi=?2XB;V=Y_+_87h^0^|N=D7@JGbVVUlP>pvGM#`dYP}4*-4aJr8fnw68R?L|5o`Y z)xwAK`*j(A%usW1RS!9-(AZ9>4^vGlChU;8KwQC8tyO zK8rm;k3_Kv?#jPQy00}8btslk)t&Obx=e%@FVO#8aXMr6`Lf+MuFF$;C7_>M3OQ9L z92F(~&P3nvX>vIKg1|P8H~CZCe)SUJkWYy>Z+zw7v#%v{9EQYR($c!pkpeb9-wIZf zQoybvBBY?F^j|2cewa{h0ar3mrsxr-YwJ;{_Q!6QDFI*6m?+PJek}by=V4QFQX>DE z*>RlXzJ6aY7)QRsR8VajR1|Y%N*~e-IZ)TcK`nCd=5LVrj7e?Pjc=Iz?m?$t3u*-o zUDLt8TnzL7M7Ir~`ySMF2z{T_o| zzZMCcK@UQ?AION3K2FGg)ki@_`!Xi-yl$Zm*$bu#guJ8a`h(*kD>N4Ddci|IDUVcf zsP$(Sdz6kOtC@e5!%F8$A}&WpRG`!t_h;9C-{88ta{Dmxt0Ttvpk#rwWpU!d8;Z%C zX51oc+a2u%vNwj6Q60KpdEHar+F1A;8vHA=ErS+^3NKgwP`>m8xd@+&^JW8rEr?N_ zI$u){&SfprE(C{?8>r3i@d33w`qU@MMJ~@Y4!Im@&0{Q2r1wnczQ6~I$+Ud>WT$O^ zTEOMrU1xNg!UuPN;7cy|f89DnIXg19_FtIB$UoTSQ?K1kAQ%<3Jej)~4jZ54`Oln@ zrP3I+*IGW2zr$t+<;P270wOks$uY~sWRLRQMS_^rY3Y%CJ`0g)Ch)KT_eA&^)%s-L=NAl5xb1s-O^e`jkgYh&FB0j)|y17Yu0oFV@=|tQ< zB3WHpe@y-AX;o1QIfJ*#43OasYRwJewWnfwKHK&uyvGmbu zZokPOMSSMCXcNxhG$&$w^>S9}NslMG`Gf*PjdI2$;A(Ec@!hGIg~Z-ll#)zWp^U+G z2gnM(LeD=7xm#n6-T8-$P;7PDE@XJG&`H`jD`sW$`bmn7*ZR_ORt}o&78zY=)cJU2 z-vCT|)|GbT>UvJ#DLle*u04#2*0RAi^%%n?*m(G_H23}(s5=XJ25JflHeFDN(ml>Q zQ5T`@gl$WuP+xzr^jw~8pKD&S2Pzb6Q(*Nz5G2!{LEkgr_^E_EFhzcmL~Czf=jnZF zq|;Os&gmGVj-Gy^Cm8Q8;5Q(jGP%6Z_a}HQgbQ?&EE;9Vjt6BYgRyy?aY+78$@uk7snsFy|aPUqgCqV7zR zU*l9!)TsI8iv0EW)FxxpL4^zn`>(}S+}SiEDcD){AThxEP#mKQa8Nch;!b<~BLq%# zS(z>gS&jrvzL$ASFPxg>`{rB0a$z43-IDWd@At9U&^h;(4Efnh@-sPc&ekN!UJ9}b z96ascqT4-+d`a8e0qgPg#BB_p>{CE(tyZO0wQ|;b!RX^zRX`>OG2%cX#o2sy_Ka_0 zx$%wG)vC2t@2th5eA1OSiN}kojcctAVhGcYzvB1kN@8~_ag`u)fUnSYB`SaOC(5Q| z$i6h%p*>R7#_)QW`k0`;GUz}lIcegE8j7~ju}1|6e*Y7~-L=)`*s((9<4weA;J!!A zjC6Q>OEQxr$puO5$oaUdbnW>Kyr@^OW}K;E#EQ1t(R0>uG4*-H2pNsRCgW_-6$9wY z95fC1YDebeJ!6>xB-iAp_P75y5~s; zWTSIFse3B0efar6EB$NgT}2WIF&w*ZJ``#;6l#u1x)V(0Lj-hd_SIs%&A_>RMMt%C ziXpnJU;Mt9_JudGK*L?~#ho(W*eV)5evdtVs=+5zw7?kyT}$4Z>r3Y=!u5buA@IOIV*WQjwHCKd!q1mTawhN8e4 zCya*~REJ|p0fNLXEMLa9jhQ%xyjXt+r49<%xKC*zqx}o)Ok;=%2E)S$1Au9pEdCCB zb&VMizqdiuZd%2u(6UXA&_ zX+=eO5VUx#kgm(w$PQ=l!wXJZ-K$q!enRIQ6QmpIrrcd2CcHjMZDQ+M=YX4MYIMA1 zobmD}{CTHDer7~|CMJGDs%GtJ@aQY8B(+gy`ne)J;I@n`6@Q@1fD+Bg5NGU?i<1_W zQN{e;;lt9O)F#R=8+I>LiWT_*ft_KBIqBo$+{1EaL&l@AF(a`tfS#-&A(>l#lr;|X zPHS(f=_0ggf#>z4-@R{^g0^3^fAd!LEUsFbcJf7iA6S=-1FfDUSOq6M@X_s7S=`>D zsQFl{lNz`@2Zrec|H5qEO#~^K4{?(_HsA=#g=Ao3)*Kciki!i2@gVzTkS_TKV56Zy zpK%uu9CW$&pjnSP>v6`7$R3IXi8sl%TOIc8Y^M+I|1PG{LfLe5Mxlfs^JoG(SV9|2EWLiw+I13CrwwDbOV-m>wX1DvLx#=Phar~LLnA@X&HY92$pYRXHBqpdC4nS;| z$?`+9vKGePT*xKVdOdT?|Kq<>Z=S@P<_F=UN*?N$)8~Xr z_jSG;Hq-mX@JuOAHS-6O41&agAW5JY)Ypc~b$Y8L*os~>G`)J#;L4qm?%S zmbqZfsZBI%?q1slQ{l^Q2%MKB6EFf7nHk7N-XU`0TlVW*y+C9CW_ zAdhVZNc;jPBJ8|hV+4>lDwIY3nt+(jo!*4kSs>>t+@6zf>#Mo=^a_yhg#qy69I}g@ zh=3lv0VaS|hw0FRpERV~l%;>6OSTC7BGlzW0pVB|O2;>jGEkZi!M_M0h8PedvHNC2 zTI7yFIL&GyOGM&&hiJ{JfQ=&?ySSO#Hk{i)oMz>ahs^&y593JBS=s3C-F_@K)*PU+wi~=ZdfZ_Crg4iHjqW`dgT3Tl!Oy4r=3?P{tKlV z$m%DuqsF8e>>9z&6p&O?2PUd` zn25j+NO0fvssnw-xJy{TTf*8o$ILJ9N<7CZ!G~bC7{#PBNI*|IgPiW*(~u=P<~`U` z82DBCsqX+w^@s3A~{R-aw)I9BVU;6eo9#O`Rx{>FWk> z0105lVFDkdso25MKmxgluzcyhY48Ra0qh-6a+iiFo{9sk0wiD>3CUoH|0&aFBhxnw z-f$7eOe+@;T3@)S>1T5%?`<2!Vw-TB8q+S;)1R=jfe6q1P`Uo6FXNVi{W0glg1bv$ zx(|c>u9QBQ9?c>Z9kdRvvVZ2YUjm0!EJ|EpPu_hU0R72%B}T^8>aD}{K|U4xmAan| zYgA|KS*aWGwm__590NOTZI<&g=Q@qoDJNcoz^JMbBORh#{jxD|2q0s z?QEa9*X7LWzgvTk|32eXn|pRYFM@t=pzpiGCOCZow1x@0m$^7kv1-4n;7?iwJiTxD z(=c)M=TG$2*zf4*=sykp<(Y?>nLiqmKWOV0mi4Eec$3ja7N@v0ipHU&-HNVBF%($_T)6L`wh0d$6;*NF{Bvyac1#8z#xgI{6soO8;sJzf|mv z!mmDgk(PWO;%J1g$hyK}t<}n{K9)e;E>nu2wAQJZxb`be`sHZRKvx;TZ(-dFNMs|i&+p}4BGrT zxCrnY&kg%odU?zo!M6BuRcHD(N(5ZDsTpy^35_zQlcpbEnCQdOg&x$wI}S$>G3Tk# z$~DPiou=;I*0k@13VW1W6V`SqY|@7!EBE%uY!1KfQ`wJ3nhPy(AO1*gn1~MFb3(&6 zc+6L&!}cx{aA9Z&KRwa3f!`2+uheP0x|ww~dO@W^sgRam#cMIo@A`~%J;0kiO-W8a5$gc$yb1&!?RWR zLCqXV{hnD#f5-X?A3A7~Y~oyha&z^ZyR z%4r+I`s3^?E~~cfD`u7{Z*`N$5YX*$4$7LsnulB`IF`QdovjC#>f~8yv`7P=9d@>E z$4zj`kBaor#_iLShK_y`J0(P6Ixa172T+!$ZC*e>d;P7Y{_R{(KGZSEuhw(!q`{%n zJ9+_TX()KVuJQYwpMFzzrED9UcY{0h!Pl4au=?Ag`$lknsco)Gz2_Mm9U}2LC-YV1 z45aK7pLHov!@%3Hz4YwH^*5@f5!^w)rNMzRhOI4HP8pKK6`snjYD6+dcgD9LRrUQ_ zclp!#Ii~u#UlQ)8iyrgIlWXfcpvMR|)bhG*bA_s9b0+w0N&A}r?NI616k%~eOnNjI zW+a-r1@Ez4IM?gU<)Ha-gAa=b;R6irKY9*Y=1q;n)Zb9dx&+BiFT$$_$zHT>`RCU- zsCHOVU2MJ;j`I1&ma&4G3P9R z{8gX*>3(6d{mQ92@X0c;S{+z~AxYNcz+7U$vGD`HMX7om-if8?{+(G*QS%!tN@UCw2SR;Ye|#5AO8o&AYZ$9MC^AzB^)i!{rw&l zPJLcx%d}nXmMrIE+;vJwJt!%x|MzlH7`IkRv zTuolwJ}UekK3w&;janahP2c8wmWTu<1y5Gsxg;PGb$3M&>kW=jK10gM6$-nV!O2R` zSb?%v)68;Wk5&!B>0*3O7QMC)Pa9gMUX9=Q(<^Z8H&+eU`GhT5z1_BLR2AGBoU_B}5kU)tw4jJmkUd7SG_ z_BE*)S*qr|J@iSX4IR0$vpn`+_P&{|FXale>YE16Z-C#P>Au}^@(9|%D$Xf3uR^#> z;gg-VQ?(?GExAX3Hg1H1bn#mCQVb1!>)VbqUA`1uX&I}Vn5;XV zr<_PHvG5x4sAy>|+5%-|QlXDO@-As2kqMc+`eUK%CGlk{O=rl6vI2yGU=y?i#uu&T zdg;Ngf0ZbZV$mvOr#cPQUfg{fYH0hNRWv2DLV(ngEqXtvz9XYN8+J1h3d0|CDBOlr ze%W|*s9h~Sz0kdZ3qh0PoOylEpJLaa9$TYULplq(eY251AJ|7}g-AQumTyUpQV^1V z-;{9^Z;j$N?*1X0uu;*toroM9poMrhoWXxRzNQhJW66BuOJv+*%%3u-SL@}XKRea& z(EQoy$P0Avz_mcY?|TL|&>m|VsQz-;hJ@Lb^bLLE$&8bsc`CMK zpJ#6}%Rmas8pJjv#OTI7$zOBQ-sq>48h5K+(v^S|a7{Ah_ayQp)bWeO)$w2BYVgY6 z_5EZkj+nZruYNKbpiAu!GE7qO5iK@1Y1H9t4WD37H!Kxp902zDI?xmz{$PTZ%r^FF zk1xNj#nLi(jDN-=wY~UorPDsNr<`3G)9Xb|THm-%M)NH@iZk;s)FIB49cnu;u=p_x z@xpcI+`=oy?N~$1GTlw=+%PbS&vw<4WX$eq675=aZ7a*lTbS`#x9gd-%5&0*2PAwd zB17^#Gil zwjax}Y0sSJGG)@XsmYmYRSo`h=W}8xT%>%7>=XH8RVr%RmBJ0a-+6wCg7WPTC#F4VWOPMeRZ56_jw2+XPFsjWp5hKwy?@dt*ljDRDI#zKwumP7MAMf^`H z{XD2Qm!wQQ5Lc`Zo$j(vAA1?l8dpWIyuJ~$^m!@`$6lvV+;TY;9>I-#-@n>Z&<%*Q zy1tZ;))=Sko*~!hlddA-Wy%slcpdY14e4mG4t$)@TELQ11R~lq^7hUxwLqR_8hZTulb};);ElpJD|pwBn@QCi*@}T4vM7a;v=sQFr{0_Xoj@tL zZ==j(Sv^^<0@uvnoaHn!*dL0LVRkTi*-LJqw@Xz1W^vk8q%2|00000007ho00000004La>|05XTs07WGwfmw zBuXSMNI8JS0n)<~;DEcE3ldzowS*K2G>3?YM3a#?a2PK90{#U@4&2BA2{~)R{`=&JjO!<|6-*%75(g`^iM+1r2$fQcp=e@GOVZTU0&T0$rzjRQ(68UZ*#z z`uAPEPOn$>XMbG1PHDJ^ey3f%P8*Eb75Y2y0%a&*4E{k%BK@6G(7^MgOUL(t(uP&b z%_XEUH0Y2rz8_p1^!NvDDf(VUGIv<+(_GrVC*%&xN%UIC9hQ^mQOF&ZljuVscUVrM z?+&@cati&};~{rgPN5&ELO41FEdTXdDr zXI1?@s~T&ws&PK6nqxDyI<)gyyFKP}o}n{ub)cQdhA-$pq+mP2SUXn{+X+^{RD@o4 zQhb^C4p6$earDHo^&=HK znX4$JZGVpxUTNS1gjmmAPIZ_q6PauCrhHeJ%+Lmh++6{DXnQJcLxOcPGA_h42i>Bp z+8$T6J@(p$FLj7%Ep^G*5cEe)LtI+zK-hVX3ntMBOvF251{~6&F?Up&KBKWYL z`=>rUE$a|lQ^9A^-nz!SA4`a#!DrFl+ER@6=65mLn`6ajZ=4sSy^&gs_D0K_)Q{+K zobupz_*I|y&p&0@b-(~-A)x@2S%CCDTGb&X0K6)pQbR<7^yy#;(b-irDr~1#`C{tC}+HP}*w<%`@SVyJ)LJX)kR&lOMphbicx z_AuMNK0*E+>hI4e(jnPucnTfA2n4kOBIs4o79H!1Bk4r5Z7XS-hw_?@UZ6>%JCQBJ zQd$QLsg4*1Z7F<5^@w4}WWyT-K!2U2PzsmvssLdLn{KoP^!~pH*j`e8`;^8T*=c%h z6`~=;E*su#AYdRpnm>9U?sxBME6hrJJ?>sT-q7$lk;ad;Xz-1=ZrTLO8?p#744G`Y zQF_icBnB}InbI=Zb{h@+o9VYqwoAvod;y9n6S!0t`KEIT8(+>{{A{=wgnxrz=_~Q2 zhN^7&;lN74x&)4+yEb%7Jtd2?xEC}iTpBX-#{~M1`;eXGQag_@X97BkF@KD$i(vZdWPm0x zZkz+&4ZFCmPe9rA%Z~L6bxj-4M|AOSxU5su@j9sK$X`fx?gBA10cE?d^i`zlU^{*} zu?*)9m%;`dq7eLy)P-wd4enq@d%haBB)Wuk&;`Fb3F)qE@N$4Yuiv^kXcsv85W&Q7 zX9@E|1m|wr`k;n>41X2H-JWPKrys%wfx$0oLb@v(`YtGHd(WQFV(jagnC(4hA;Hm) ztfIElr{snigEZQ=#22lD?Wr+OFFK;O)7y=xF7)|&)CRhUisEqB5%bwy3Ea?rKSS*W ze&nM0DPcQ)b;XQ9YA{b?HqehuqPSlYIC>o##SOLnbi#Jr>srL-d$|000000074b00000004La>{~gH9Yqj+yWHY5 z*w_*Yk^_(kz-tZz0sY=1L4t(rMV3GtSXdaXS0W%V34euvkbn#aNI-~005)gDeczYa z$M^Mg)mHbLp6!_ic5QE2?e4Cwuez%Gn4X?}^Z9&!$*7?Xlz*8*b+nAa-=V&QvecOi zokKg@JY^e3qpKnC7i3G*l?C#9A@j$4e(F!?{RTPga3u+5X62yrmV&NJ-6)YOadjG8 zg}Za64}!Zae`v}dh4Oy5D6-_SZ1a7lop{kB`Aw#seUWeTJ*NHr&~EeVP5XPH-R9St z_Oqeg=9n&O|9_d#Zu1f6>=OPRcpe21=iu)!lKF4Q$)n68As^pMPD9IHT58O5X!5CL zd>^HR$hEX3`kquet+!dOeczo*r}a+s;Z!=UccNEP>9pR7K9owQ^-lC%sdQTJLO=Rg zDxKE5&<|IkACJ&KhuJ9o=Vr?(^~v=UYe$bf@xZaCPp(spPL2*D{R-Kgl4z6AWhgv*V(qCbkl&2NrvhU@ z%8lHmXn*5sndn-ZkNQ6qCNuUSWDh^&<@%-^`<`mwBF$VVhbG^ntNI>S^*xUIW-Kk` zEZ3MvJ5=weRiVcby0_)g?%3P%D)cx)_qIIRnR{Dag&s%f-j+vCh2EA|p~n%rx8>0j zskh}-=y8PZZF%%G>}`1!+Md%#qu!QBPvYK|SAU`7cZAb;T9)jJl;eILpZfT=Y$>;= zQqH5JeNCQzq9KQ-oJU7{OK)_vzI&siHP#y)&GX*qXr}f?N3-P(j3Y;!r#!};akVEt zj)AC*>SpHw+aL0 z#eWDN&zdmkWJni&R~XnP7U+B!sz4`m8po~Tz;+&B8OuX{IBNoNKQwvj>xY`4=fl1l zB$P+QgsMpR5gif!=Q%7rM$A zi_OcWS8xyRIT~&32F`Odddkr;RgUh2%F!9D99IQNg6f$raGKY)2<4+;InxDB^O|a9CbG+H_O-xiUVqCY zl#iMNPIsbMfv4C99870Q z$$HAzp`9tELNR_pAT(%7wMd1@a5Yf5=3HOaw3V*x6Zd(%p*+=C~&9w!FF$-@a3(eBN$|h;)|E367tPVG=jLj<{wLKC3y5Z_8lX+H`_;V8T2ne1DO4af>k_XE!c8 zHZB;NhB!vJcz05_Ioo6(w0xG&r?zl`n7Y8af3CDObhXiU{&Hd~TsT~+7;#L>hzr`5 z?u9kDg9ZJ?X84-glD5$n{^}&w1pX9sTRxSvITNgSo>e(dm_zq%5^BzIgV zIUla;z~SdBSsXrxX8RlJ`&EgEtAsSV zy+jw7Rr|4RZ?yi9eNS3-((?7M^g=hd69{^ehH?8}x@JG}b#>x+N49GseTVDqu>517BlOkENo z7p?K*-#wX$`<8w=a`A*=dPUo$#S?|k$ykaOsXd7~(K^9fu}tFA)+R|Sr8Y;NW(hV! zo+ODQ2C*H90*5u)0(lloxEb~&NgOrkN)!PKOqJYp{r!#1cbTbevEO@VEL2W=(IIJ- z)Yb=L-Vp5jqyF$j#`C43Z;x}gK6A62&h@7~zWSSOG$*+mLGYkq$^{_7L>;vWdaIIt$H+Y-_p_Q$9;fAaQBKSI>R~<}-Ca*+iwak=9?u%z zgAd$uU6NvP?4#iOdviki7Qz!pBd46<8FG$B&NxfRxsaid3n7<6u7nhA;><8!-HD+S zLnnq#44oJ{F<*3I=)};Ap%+6hhF%Q47-R)2;B00000008X)00000005u`00000004La?3u}m6hRP%tH*so zRP-P!g6Pf5ERKR63SVU&#K-aEBRCgNB8VU&B7!1rxGT6jZG1HuJy9_c)#c2gMLQ)Y zvoiiaGXAK{s_b$&91aInRjrivm$cP@k)P6=kygu(f+*K}q<{S`Lw)Pc9{5?od>alg z%k*_t-xj;zhVM+DYbtiz>iFw2uGh3LgRy79*pp!FQ81>y2P9`8|FqEiDihLvkye^| zi~L!V+AcGd%{jJ=>v3S4yGN&#jO%g0w<>82*W-Y*HY`1x*W=)j2U{|($H8HZ_ThRQ z9HI8&dfaBYJ~{W9UUsC_Mjxy#8Q0_BY;@j1wNmY~lS)6Ecdx^7>0`;b9yjIYd5&_$ z{;(^C=L63i|J;Fl)vYa)kO3+Imy^2z8h>hTzst#)&C&Eevr49G+wZICeqMjh?0Saz zv!>l+EiSH;ojS#97Zv4JOq$F0dEkiJw6MOcMj_xYW(T^yrGWqf+@z7Jp1C z=B5DKFI9d%MKJ3v@S;O{KERuf1+ASlFze7i0MkPr3AweJ+FIqA)-I%yy9j0%(x=>; zy$gp{??mKHR%}z4uw&AmFuP@5<+170mkEzeA~wDwi0pb*d+V=_?bIYHdzK~oU9A^WE$D^?ZBs#5d$87k5S;Osb>&--M)!|UTy^{Kvb+Sz}ZcJ2e!pO}e7ei)?MSu2@#cmy43$1hxej~PEA z6<$y_to^Zn#KL*c=UV2dw@b~mvv$!J@!)i6C)Zvd^Pv%K<%~zSDU6o`oetS{#Iu8? zpW5*GP~wpPx2huzJ7hf5F0aE>J2}g=ld}&}qxoipN6eA-6UA0E*(TK|pwx!;`yJZJ z347kJPk8eGfsJ+>MCsS$EV!=~JaUHi;Mv^Fg^%c2`EpXY#lLS-eF98v#B#T2_YUF7 zLA_?&zt2C00000008X)00000005x{00000004La?3u}m6hRP%tH*ss zMGvAPh~7Ln3*$i#g-_rc`8b|@1n1&O1QA3;L{P*HcLjH+jjtx7Cn`Fkx^xaL+BxK8 zR>uEF#vhegmEAoY4u^xPs#Z(;Q`&04$PekwNvq|1L6qxV(tm!Fp}uu{5B$7fz7B_% zWcoU*Z;D-T!?&i-H5I#Ub^KKs*K67r!PwJa>~S#mFc?$cgPkH|Ab(oueUS-iKT9i3 zy-EIEl-e$HmCZS}jO%e=o4ZG+l#J_fz&9&t0@ve!voWvr1-b+wZH{ejYz(c0I%VS<~*ZN$bmExepXQ%W>|ee@hPW*QI`vihZU1 za?{74&}-^BslZ&(lNFG=;)#zX4#K61QEv;eH;o(EGx78~_NI{nlQ`=|slk7w`o;zJ zTs-kHD1d2VfE|;%F_<19@peQipUHwr#oQEN`=rXprwC@f1zvPW&j)zZv7oh+24)@l z2Vi>0BOy1}Qd_G$)7phpau>nuLi(6{vv=X(+MS5J$%<_X6Lw766K1!}%RDxH`ZD3s zX~f3&2qL>))86`TV>>yG*zQ^Pi|zC@V!PchwxwyrcB5Zx=cf_dwSKW($Yb;GtYr1t z4Y<;t^r}8zgv#r-wd&19c?y`3_3nRY6Ul1@?N5@tVUs&lE7rDun@yHM8Yk;QzE6(q z`gY)}lMMqNf7v_EZ+P4bfB4fFX)~UjzFOLt5WH%BH*T-Dlo-lOb`&nW{lzvKT<@g% z1d`hD$#>-+q#hXe%~#pYI`l_Xcxa<$yh+-Fv*Tz!@00lsjmM|zQ+<=Pv;Q*f+y|;Z zF%ye?Fi5qtRx<7I2s+Y^U%37rGk#bqyrBHC_D2#6f9E})Ynh|oDmBy2+C^W)gVUv* zTzh@YheouOGalWhFkTLHI%L}s&kmM;YQyIPi9>$3sv`~CWIWR@uftS3Im@(@v-eV? z`R0U2%#rrv#a1-gCe}$N>6P8@^ zY0|pwIT>1tCLPAF*{7TuO)c>o{4Oz=pZCE7*x&uG<7r)19;5}P3(aSJ=eDW4K0iA@ ztBuH=WLgfd-n{*+JFjc{a)PL-tXA|o`?KERb=H6Jlw`*R9L?4@I37DoO9<9 z7Q?j6$h9Mwm&39I5nMJno){1epSfB)l^{Z2LA3DKT%PMm_)BE?GKL9nS@Cmc;l00* zRqwJV)aFo3`YtnYD}OT9Zv9l19Lb>bQ^yoAKK_VTO!ZDM!5%OVt_;yat-$!N4MwV^ z?By5_B(9tHF`Szq{=kdf{TrOn`4Cg{H|ovT948^7%JEv5cC_zKzy_EzqOLsi$P$HS z8JUnOks0^@4#$HKa9!)~cx{Eh=ML1P)su3cCcn2RF*=Qs3`dsXC%8OTB%?#~@}&WZ zLaSKq%>G;Z|DBCcp!RJ8c0AktS0cj&mt3R#4MX0|?d{8ftkULgWC!$AFL!~^v+lW` z*&@s2va&e>BsDHNqv~Jdz*eoeZSE*J`?shM8)v3hIW+VZxma45LBShUA1moe$~R81 zZ>=cG$Zg530xL*>BU(fe)7)Zw(n}fKuX&}|LTld1Wb;@*`>C6=1<)cs5m!KVNh=j5 z7x^g%uE-R*Cq6Dbg(h)mY`;I;-iPUv$?C`GuBaV}%zxFV-#6@ zavmG_E3k64&H;3Mg>>58A?H>7{x|_4-@8UygS(V}*}b)OK!VFtP{nEdYIcQEujJCK zB+|4qh&1wxG_vzHws7+{X48nK(}-FKJL>TnI54%DD<)BU{=Xn6bedy}2Mex$)9ke2 zZ>JZwoj4$jB#N6z-7+z;L2WMy;dCix{&G&$K0NtjWQGnsqG2Il=QWi4PsKR<`yxU{ zF8rJiSvYc;q3Iv`G7?pNK0vN4BzCd zQjMyFx~xQ`+h)(vHPg6iqu)QKLDuZ(N{y~0PfoCCvcje+PsoN^ z>xM<&xo{n4)O+Alrq?Cw3&@EsWz8-ciP@m&AEQQE!UZA<5x4k1LS`4Qg%~iM4g3Gq zMSHIdc>YHStsfChbx%C0TO%0>sPGp~I@`artyp7OC-ajZ$cIuu7T#Hl)V-#H+v+LvK~0lkNxe(BVKh3+xJUx&8@3_h!G4h05;6RV_=Sp8*T!2zC!u3`#CYee1!@<08-28*nSK(T97{A8Rb$tAANxCQm+UFcxtLDF_5&sZC58Dp|vImG* zF?UUwmyA*i2s2hJ;MII{##K>dFU#F+q!Jg}`(cHat({HB*ih~kIuTRZgcUw*V8Y|= zxH28P%BHrFA3Yy8%~rL-YacO#)cAeXS%V{ty;@HKqrZ}F`WQWor7{;xS9A@z+{Me) zd!eY;yRp>5{T?`=Fm1W}X+GZeF0{{bK7JZFa6G&fJsi(f)sZSV-j#T7i)gv#5`Fy;2?7xt0`Zt#OJKnGUWu*U?Nc=x83ZqVs zcEa|N+ENPaG0A1aBa@|hp3{HO!-gyA>vHzV2--h3MR${+KT$aA3&XdX+Wjc&*YFQL z9o^O8TY^NTag@q0ztFK{R~9QkXHkn^e%2OGB~h5Lhkv-UAX1=?m#6s6M{d3(LSBG6 zFQM+&pM=pNt0PxCZzYems_=?8_QL|bEVdZrJoB-f9`XUBJu09BO-$-bSb>Q@mbonl zxw)+bxw#$}xp`xsUU(%vEW*19*2+blwd9H-b1bzzmJTQ6k3)^9bk|78d|&$#K(x3P zQZ#fwI?w33PYV14cHII+EgQN^x*-<)`&JwITXBb{dFa=CR%O+5#`tD0MhVW? zl6^3_%Bg34fK;YmuViMAo*~a8t7ssMb?>ZdDO(GxMDSGrM^&=>@*+6g( z?i1$$kqe=}FN5$jE~D_$KS`n#HmL z9Uq@7<@1C(V>gFpIXYG847-K=8+PmVsK*h1h9{r!=_~hWqb$oXZsN5*97NEJY#W71 z5bl=6&`^`QW=Fy^K%l@lG3PL+p!hKOQmc35`WNp@h|OT+L#nCby$hff*emLu67t1Nqtcla6N{Wdo~sBKKTOQYEzrv@c2lNm!&@H?)*y&Bp5-1FP>infninpcIr zW&7ZjgGs_BSk?#oJYnHENtYAGbIK$i{$9XT!h~NaHZy$V_s8u(G=A zI;%v4M^Ox)LCNA*KDFv8E7q>9-F>&Q!F?s1CQlHVPS}xr*pj#dPnm@SPnSQSRs>JO zQbq4$*$PTC*}}@b!(mJ;z?5?O)4s64wID2h2MX?=?hJe%`HZ=OtpBK6W$^_RvpKa5=2FdHA5|KA z-omzt1p4aR;X(dWZ?kZVY;34l(d%(0-7ie^D238w{#sLamVCP~>ycsW5f-Gryq`31 zXso8-Y596MdLbN=n*wx60D3pPPw+H&lR>8RCrOEpT!}7GiM-&UwzP(d%P?!nJtSIF zD#@-zFS1i7GG;7c5qYPYV{wcrF9n!KboIbN_#?QFR^db@PYScQ?p*5;^XYQWv7pB# zUu#NN<<|LNwccp+8*mdfT$YsElvDNkIUujxL*G>qDia8h4aCCwX0~_lmG^Uc8S(E* zXb4;8gOCS!39Y=#(+8`}YFm9``CUzP<+<{j!~QRSb)_t1WQ zjE?iWi4JZZZ$*O+MKZDoX74<7=f`!tjPmW0g4e7^$C%2-6B>{{v}jITKJxIe_nP&s z>1Ju=!JN@LNzI$@ruHSC*Uh=*MR$824&)P2vN%YBHyly z)kvDv$Rg7A$2&7#Q!cy$58H2YFw?ZQZlG-UTUqCS#gPPT8BK5*PdFP%I2%hi8%;PH zPoN)3pdU+EMTWFYVOl3eS&fhccVc<4e(Ia*P&d(-sF}n!ldw2C2RByRAs`8JoTtP@ z7ve+^K0+CHaa2O*<{wlXxl$|BGcEfvoEF!e+HbOmm@~gAH6!L$Wt`7@fCiu=6n@5+qw^IyxU*ey|(rc8A%}J9QvjbI*ruN)D{XMg{*{aL2S@r765jGJL<~rwBLz`D zNo@agN;+!w#8O3VvK~CDQPz_Xc7qpInjHsm;PvK9H%ee(q+Ox$Sg-~* z+0@IJyrJ_MDsE7<@gYI9Pk`Q=2Pp0X2$0e$%GWE1l{Fw7z2iTl*oaO5kZY4n~hHYJGSg~kL!6bSST&Ylu>p8wSU9f z?df`MVR;(cAl0+AQ0JH#qg-Cz-iGw`r zB$u%SL+cSIPpFAI0tlE3`0ml@*#W&5mda`-XCI9ZfLaPZu<-4c@$ITnx(Nd==2hAE zZ1_fDzX>PZ2mn#ru2oEuW@XYbZaqJ_S?&&WepTcgM9d?&bHhT<}L7|#^j`hJBD`cFv%HEmkv!Cey zKi?m@Y)yXNsrc&m|&ktDF11z4RxxQIhjraPs&Yavsw-CHpf8k^VKp7?bh zo5z9JYyk!C{5gQ3aEDPev}~NQ|I=fDoS&h9!V{+4z=H)>m}KF&Xf7o&kv0I7So=!! zRI?ES7I(sMcqN)wD2pivdwoxOD_E=h1xiE&DS&!^gD$Z$m~b;}02qXjf$KCvUpX|v zSYerViMzxZR^M@b($Znte53PxyHu3*nvq7_vd#%W;l~7>C-9%5;MS+itX+ReW?4y2 zS?5$>5KvZ<084RqZ>Qa2dBxHnnU&mc`O;P~|HU+^;ZY1+EOdD%8T%6r0g&xxz`4=} zuSGuVX6!lyzhiFKE`88J$y^PFj6cx=Y)88-T<%Gi~mykw)iqyjUkbPFMW5p{E9(_FKo0z(+P=^!C0vq09mdi;Op^( zSUxKffOCmss!}tQ3Bk(nS4qxaUhWwgOZu+EY9#tsRPQANc+Ege<&8cDw9}wboT!qS zs8W!ql9Q-Xf~b;_$X=d7%Z6c&C4~6Lo!`IyvqT&qBPafr0Y8M)f3&zJT-WIS@3d{u z;NSccBCzJ0r#CYflKqllAZfYm-;Ad!BPs#m0VG|hSON@Bjz{K5t@s*mceL{@N?xbc zITQV%cjgz@loMBx6Q{<)+FiL8k%^>vyMIMPbYVmUNr8e4d`GqoIQgJKjW) zP$=kw90#&Y?Vl^Tq-42)EBS%ZdO#VJNuLOk2?ZI;bs}L&+c->{wF-B;>Emo9^4N$J zOzPujrfu0eiIjE0vzwk9NTCLHnKdoV#_j3>vi zJEBpw($#j6AV~|;(4xESJ(6S>qrlPT8$+9xNsbyPP!w01>U;Nbp@l>nO8oQpE;+t0 zyZ5IPYokJa;F|zTu9OQ;z?^tvPwhhYf-j3kC$>{gW+rWp!l=-cbM}f1l{1;*y0PSe z27+g!*qj3a`9$g=tZ}+&DApk=W}t&cuMFJ@#5W3{Olk8!(&@>fAvj! zkJzN)$B(!qJA_0mOPjC>{v`A&fMF*PFsIgrz&Jhx2i6+6b!k5DPqbM2emznCHB#wsS|NVvD1bH$Ck}RJ zOuoD!IF~5HNvsWW)#7~5&uRG1_{AoPkuXH~JBFeHj>Bcit2%py>>AufDWacfq*V#@ zY`cEuRaQ!K#6BLyMoePuxf7Mo8tWTTsXcn5cPY}wCSqUGn!kPvWfM!G_dF-PIm70~J7I2cI=U#A{g688py94VT+zOq0!ZV)VH=Q(P%*rAH+Q_Ha)q^^h^0vL$MO+H7M8;%v zlMkMa&-W=5ba4)}>(3FI&o|!On>B{sQJ+;BDG=$F9t$)>KI@pI>pVm9~gku2fFORk!ba$@-c@J)c+Q zAA4Es?V>CrA)xX)*GW&7Pvq~fw&U>0R1-tVtWo#p0>=2N*wy|69WKdR>;04c?Q2oW zjfIw_ey4|(>rX$2Be=tV;kpP+E!ec4IyS^}imSazd!e3>!gIkvR2++)ZF(pUOqqZL z?N+4l?p99xXTuh!j(^YFw(3eHUwPkD(rvQF<8A(M&B~6AJc|~$wHI5;wSO5sAYTgs z_rzA5zZ09ZdsZiPmVWbAat47Wp8K2vZaM+1rPgq+4O!yEpO^0qNpn!yV6t#u>c*%? z`I@|H)64LdLtNp8&iT4{*k`7>wl#D%7;q_MxZ$qO@{V1`8Pja2lX z_b;a23e#8;QqXm!Pn_2PlvI*P>DDuf;X3 zg~hdnnZ-5zg+;}P>Nc0EpKU?KHEq+SH9r3sj@=tZ4cg(Nz-%f<3)hkDb~pWj2Aa>$ zpx%u~?wGeV!t%;DwL#Z})mP>FBF(z(5uie$Xp80Cqt+MN!9NvJnXjL4+L_!cA~eh% zJ}>8v@tV#k-t+|~;R_#zmBw7jFILbzm2oTI?J+)=*pZ(L}#4viK=kseE%3& z4+IOcOTb#r%d*y;9`CO6>Dpm$Eh%qzl4m|W)gMg`ZQ8cRZr+-OaCT1nJ9$$h{=RA% zUADddn}h(5wtNWq1vJ6ZCHca#C#xThl$ai3Vi43 z1XZ5fz3R&Sw;z7wXZQK#kfbSXFM)+ zONR-3E`9s#8_Se{oGIq<5aNTGFAA|Xu@R=fi}op$bm77WQMh;eXF`G0e@jIlBy!m^jN_y} za|If9|LaK&i!!OqWv}+q#&KGXswA`TvO!N%vBN`o;+^_?_F941@x-Tzxy*s-+8`YV@Z;fxrqA(=PF2q7M{`%f(p|i8 zI`?9D19Qe&k$3x@L&qGB-?fx+?rU|GOantZK-GnoEY}+6Bn!dbm$XT`hMF?VV_(REnP-d zpfSQfbG zCmPGbcL!!k+vu5hXRK9xB^O`^eS`=c!rR#dsfnh!VFZhnD3u2(B11CDtHw(%oeTk<=P`ndA7Xx2TWOxRZ-U0UyneT^Jnnh%rf+C-Q&;r( zzZx7Yf#1KW?vBfSsW}y~{!*%RsOwYMDZP<`x_JrhqJR0{BT59q8`)nArb70CqAPP{ z_PuFIg(I~q89A^++6O{_kCFpiPh5t&r+pLr2W0Dh^T6@p%nA}GYGAf7=6%QRxCp$Z; z^H0Ct@i1M;5fKbP?@RI4^=_RXkq?#mH^b=mjl4Y6H+CPZ5vN4CDX-dkW9`+{8#gzZ zwQ*(lSt^Odx33+!uc=bxVy<=Jq4t&Zkmx`%Z(~F5r-4MYoy=ryEvG-Hm%YG{O*SNuLP0eNT1w`*dtUK2NOthl7^=nX)GlY{m)Jv1*utZQ(j>Dm?ufo zY0+XTq`UO>21vyxVYYGP%& z%qAVQ;X9+J-5XEfTcnv7qp~M9q=_i&9G&5%6GwOaO%!BQz-jvT>qJ}gv~qL+(1qR*Qa)|mxRi!g&sRM4XYeT1Nu`D|9MOQ E3mU%!lK=n! literal 7691 zcmZ{oRZtv2lgF{38x{!xf-N50f@@$21XzN*1j6DF++7!UcXxLU!FKWBE&&3;-GUwW z-96mP)xTzXUi#Nv)zeee14KeXs=`4=vVD>G%UJ{J;ArvP79_~d36gZSur>j4^YDT= z`8c@vIk-7F1wpD%2N#QPP>_<*H!Bb~NL3D`2{i^un^-u#xP1p8zc7%HCg1(XAnBw3 zkHw+vp3=R+HY_TppGt+@PHPjm0MaM|rz zuk5V1<~b=?biY`0?f$WT-~G*WjeM!o{bC2AwU#1FH>cNVy|;b4|NE}M)A)d*P!amG zI!vneFbMiOx#ee|x{v`}sQ>8e@*c)v&iB0U<*zcr7PT(pHXxvD>HY0}DB%b^bUu=U z%8Tv}UITd)`yzux4-Yw>S=-EZ?%#u|0se4?J0rdnXrxl-c)s3)1Z)_#t| zjNWCZfjpIhMBDMVoOQ6nxj$|QvAtwpt4HU?E9;kpEH|*Jp8K)?KaJ+1NQF_07;Jn2 zRg!CSiH&%RgMBqaRI#aaoBkP(5|OO=D`R$l>)i`xTg?dptESdaSO1`akR@X25*Tnwd*{x0o(_7z>KZW)q`BW#4tF z)Kw*8ddsrEx(($Pk=(O7h|k9bJEX6!6JumyiHLzMBxxDgj++3 z;jDbs87v$<8?B41v8Wa&Y%Q&k%SVPt;*YO#31c7(D^}09ul!KWHRefsA6f6vW&;m@e*tUC4jZIRUaLEA{io&4 z_C5-QLpJP;*}f(wCaFQb#-vy3Vuvf9oX{|YO;f7ysnR)$^ux1WnDe0XdB zTh)cbP!+H8r?93;(y??R=^7pl@FG>+|2huoR~izE-r(B7G|=dk_pEGjTgfxQohQCmegSt*hShg25ik5FIVQr7`- zA$7p>HwHOKN@mpude0tUw}@GCk8IpfRHeB3w$8^5%Zk_^i;cgP{Gx9Cr`-V`T~<^pcOrH` zF97;Mip*O;Gjdw#6OR)axq1lYN0IlGJ%cEM=@f4zUkzHoCl6PUZ8h))%rarr5I)sc zPPhGY*ycC^y-s^G)>%lc%+A0~xwV&?7EF54E6KZ}S(d$&_CvaEUBy(0y(U>zaYd6d zG0xaKU{yU-qh^~^TNN5Pn2@R`Ynpcn9^k;s3dXgDN@vFVI(?iXD3a{3DKS}}O*^m|O0i+u9#_t|e15%|@5 zQ_Gk8b-joBK!Y{1Lxy84MFvSfv_pYVi-b{fKDBHnG%xa3XIIbIg%63VU}L%(LzvNu zw7Ct(o4l(trJy+uDSRE#P#U#-&rwyfk6$jjRwOxT+QDFDDTNKz14ld~)VG6WGDoB- zR)pdlcURnnNy%lrn2e{O38RosYoB;`wl+fw%Ft+wb&rHWoQg zGJGEfT%(QCNZqz(BieqrDwBzhDvpX3ItVAb0eM*LNvk{F0B@A{V3vEMs(_DPpe$$& zwm~@cYQMOuNSM&$hu@H=b?CmR667&hX zm7h`%({CY9scs5xgg?Scl-&}<89Ere3Ldx?dCxK)xXw~f8GH!8NS)?*+Fbm21?Q)1 zaPQpU;!mX%FaWg(xiJYF3+)~^hdNBkWTTqY+I8P`X}PTtHLt|9d!yn^`1YQsxp15h z!P9IAcf@`~ow6)u%9>^@E*m-;ilMcH)%@i-fp3q4b-^rRV`f>mp*xe}nilaCS2@88%rnQu8VnOe zpx-Gn%7kr{u1~L&nDWI610mY?vJQ$t6n7H5@UPpqz??pA2Qen8Y?OY3gDEF9_m0Mh-ZI=f4svC>>bU2Uy%!8-FIfmS zH+SQsId18(Cn#AbB|)%_GhoyYWPUq@O(2h{qBE+-|D2eYev}lQ;h2+t>}dbRF}sBr zaaMlrX1`={&NHmSdBn&KmYSr3BCNQ#A*AQY0CzIHQCs?7b^p-i9|Ma_G`U(*^`S;} z-)p}fzB)n7WSC&q*zWHyk;m;X8EJG0j}GEyehCJ(pi zc-rV|Ut44SRb1jwChO5ZR&ft_%0*g|IxB)@O^ylpF5-Mc#3SJI=VC~OJ%>UzJBj6r zt|Ln?+q)|9B3jW_Ti$ypd!;vfTf%p4Wd|39O}df12Z-KpFev3$dh%hXw*5w6#dXFZ z*)9kmNPy?59nU>9$-NDhSn19QBYa$*mV_Ny(%C%m&0C_)?&OEnamgVNhpYCJczi~dVkfnb%*@lhdU zg;we~iTY+J_8y9ufFd|d5UAYSA4$(+0e3|JcdZZ+6j+yQHz=X=z0?}owm@T1tRwr0 zfV;+=cH$viWN;|(v6!z#T%<|Z69yAd1Ct{M{i29L4r<6-9XL$utAcci-hTCR(_%}g z!WT_X{5*%!JISH>9vboLLi#U#kG+YNt(;Eh6NyqO=S7?~1=4N9=s*2%>yHECgrA?t zF&!PBfVpy4=*%A{(2^3+5^wUtb zs_bHaf<{+}zFP!kCmb{NN2K4K7uskNKBuO1j~)f`#jCIRADTHn_1nN;9Sk(bIvYX7 zP86V991FDaWj&4rug@V5Nr1b0z#TS-JSsZHkJ=M}R zVJPiyL7q)|7--V(Pic5^W);x*sxbh*&7R|iL^i9S1TRR)3MQr~`|B&mdfJV`w_Vy! zyKkxDRKE0B-aBsT(rhkEY)ll7+<@Z^k?qlB-Ig5%G!btax)vpehJZ4y@;Bo3s4*zL zfnL7r5*7>G38$S3O&F#>-1B1FoQBTTS>Oq6}%6n zUVi@^CE(Q%=9LW~MGh($xory2DBB4%}dLNXjWxqYK`dr%`+lZdBr}y zWcM%o=IQOuy^y`D3rLsQDBc;ANDxL=YxmZvXV3XN=QZvQR})tv*Uh?>Uvq?46|7Ye ztj9sqjTV#(cQrv|T#QM2y z9<4~v$w<#r0q!WVr#r=9cNGTrVSqC01X4ZD+vUVR7pCvFTYo!0IK2Jch=Sh4)7iMR zzDP3VMpH}DGH~II6RJ!+Saz1>PrtR9UHuS{ti#UnhclP%28i+LFP97wL3J~?S0PM5 zjxPZ%XswwyjqLZmcY5NT)3fM zQ#(QtA`*ofi)fKuL%bs)IwrCe<|PntV+0I$G44)`PTahcumK4#8tCRxV#OJ_e7bn9 z`?Z`ZdA9Au%?=fFCRK5{3qR?mY+65{(Z z)3CqAAMuaH#bp5}t4m-q@)FVv$w1?0xwjoA$BDRy{__ade+<#1m8wpnp1+GVy$D;G zN3M(EJ zwaPbgpopw`9#>@J8bl6)PW%;^d9sUp%p2eQ7-R7Ggv0MEtQj!T`(Qjeo16@KUt<-oD^)52a?Dic#XCFQ%G2%E9oJ;y@uzHOEpNoNJ&>zpz zqYTid6rx8bl{^&t$8SMen>wNL&1WB0nkQ-S3KPv5y5ujP1b`rk2PP{V*;w-koMyrf zI=7zelr1fmE!~kVRijgrr&F_{Q`4nW^Q4<$lfY`aK?mJ7tPpssf;;JHTmp!_aEkdu zUF~iIX6y`qK7fyoC8ilKAcd&jL3h}jAbk7y7|t)$Ift~P{FA!=5{%r2Y+YzbyU5tu zofU~}PSo$-?QGl{)r8!H!*0T0tA2h`%^6}HBe9}jVb5?_7r1|o#+VAft_HU71$@Xs zgNuic5e^Fk_y45X!~+E_iK@{FDuBt^X`*8(1XbuhzfdAz1=9T(es~{rgK+yiw{Uj& zenSC|%#3!9$&6!O!R2jxiOF-SDn~;mHL9bRbQwa5a>ePxhCfgxft`!C`9Kgy{6qy6 zT4rFJ{q-)ji9>AK_fA1y1_yCi(Ltj7x2=@X`@c(Zvk6x7qZKQ5xZvGr$Z4{TxfU+O zDzw1~sRI}DW~z>(0U;v#k3>ntw$h-<(!mX7oHawQ*^=G@Bm;3<*(Lu=*00MqI#?m` zr(+lU2~CMSK4V#UNjGC@Wx~3wbK@V=hULfx^I=~$dVp(M#NtzD!qDbqfTXKfs3t!S zP9XT_Ezi|SJ=aPM(m?~wrXXk~WBEz9s_#*;F0rAj%nR!_K`UMNg_p6cqk6Htw3M#9 z!`IT%+)|>Gu0p|97R_MOd!g+0A;92lEUo%*ll;w|i-+S~-l~#zG9x+CZkNoz`S}Ug z-0`2$-UCLi>}mJ)%JUzzkL!iGPv_L_%>|~nwz2!$iR%=NYOkr^9!tz~k4p^hN!my+ z4`j`w<1+tNH%9;Z+F_aQ`9Ss1q}r&19us>Y-MO-BmK0U~IfO zFro<=#hoYY^-?!0XE^!_i%j5xLq2sqoAFh#_$-^4=~fJUB-!RH#C0?eR#+Z+QW}0m zHo3O&>n=)!Mr}hm+{lo%$q)o)gk)Uz<65wiH?BI56v3k%GBg(GS+4IW`uYeOe(jkD zM_|j)OmR(dC%@C5n&g{WnVS+@ihuXHsi{y$6~cC8^X<1cyMlpa` zY;@WSn7qDDjysD!t4r6V{cuiqFX(b~)*UEIdBeF|MEJXme|qmrtIwKZ=+tF!-lp$5 zgLQG*pwj1<Cr1i#EA!&hS8ykyBANE) zOyW{t`Nu~@W~9fLqaZYwVNkQ`aWK0b^I4V9M^~PI?^;XNkaxDAs%qgJ!N2N}I?TW7 z;tKYeqaT?qt#@~pnggec1Dg4a%H?Y~CjJ~-JaNXtXW)=MRTko$)2X8+cQ)_>3df@X@dY=(Tsg~BIQm()ziUjUl4zh=au8ej&S+@qI^lb$79A+ zm&5EPWG`xy>&){{)fGI^b~7wKy0|%8NPTGtX!?jA*6m{Jk@RE{>^n0sCI=qSY^van z*<}>9JE+LCt+$fnKTDmea9i0cp<%#J7&yauBn2CodSm+3akr}Z`cQs8elWC{MJvGE zBkXDt_J(m6r8O5>8Q9mn);nX8oS(t1{pnD++RM3FQPg}eK8e}*TBVriBy!vQ6IsXP zLa+4YnDqympB-;Z=f*NGj^mi;axF<_%GWBR1!ZU#n8`#~aH6-D;h#97>z8Ba?FK}< zHs3UbQ@w*-3?|6!iYgK94#bCFpr?jA(&!Jk6Dthd;P$)6K#Cm4bp`~RYBu#M1iou< zKGpkHZ|}A-N($KjE-NH!kDGAd%#4AyKS7j653;)9Le{!MX!j`TzUhytMtsTp?d5~@ zIXtJI^mtw5TPkeq$&Fx}DZ)&stW=hZD&oyaj}=!Nw?n5`tjpmsbyUk5>(K|_;FU4^ zb$+{F03yUoQ$jU6J9i{~b0k~t-S8f>mF7lr%jf;`Q;~RoID2qdd-aaWgyQJ~7c*R8 zOAEa@<*Y95_v9a1D~8Y%MLmH4w2(#*r4X}`;pu%9o|vB@zZd!Y)YPu8FK@d(Pn|); zL%uidRWs^%Tx~PmP%|rjuf$bPm`_}sb#I@BTxr$l6HY{@+fKn*YVJYyOI6@1%Dc;w zwG)MZska9Z{xeVh7R&n%rY?hymx#(T(bo!PnpOQZLyq`C*`4O0HVh)YW%+{ zrV0-3N_`zE&@58W#EG7CC<)i5OXhrQC|5CZd3}J-`su7Cj^B$t-ObMOEZAc7jE(~C z2i&b`J-n5PoB3BFjEa?!uB3>Px%pGk>**bakd( zSlqoPC2B&esz&Cjf5mD$Z@7g!%XT6bG>xbuxQ zyR2R3FV0<0YXEhZi8Dkqa+*cR%;;loKC*lh^c251%>o|1i{5MeBU+Qc)#c>9QhItx za=Ut8YhlW*c6)UoRqQ!`^70Y#wDay+G<)Kg-b<_V`6R5`u&q|qEV9Zku_YR^bF3EX z+6cu68eIwVQWE-JUVcidFnFdHk*k#!l7A@ePW@rY9#ds%>b%@m?Cb9M^WVyi_3fS2 zB`y2IwsdXPU-zcn@T5nBzV0Nx+>>1~el1%nkF|>A$6J6L%_DX;Znss!J@>no7PuMw zTg_IwBN0rO11gIVn(8`)9zkwk?KnOcKYaMnIa=5A!RXiU7DMZheKdvGU^zZmERS^2e*D$hon1t#wU@ zY89$Xy^(IevUsF1>*PX2o6eoo2!+T|w_BS+D zZ<~HB;Z~~~EGz|m^C0QQw-`31ZAEZVbkA*VRh3S#c!|&WL>X+Y3b$lN?W`u>jrV2o z{aNQX<86K@3B0GYbAXV!%5001-aeyfRJDC)-eAlwk=>%wR+QJLI9a|sLGs0zqQtaj z>1uWHdia93j$!kLLMW=GWnPm*29>yp<6PhV!7&JN3*z`u5_FQNu-r<}NCbDznxrI< zBNS^W+?*0>rTUR0o!Q6U|Bue15PM35M^ zSLc)yHqE42>-OJ;2zXPk2w~uoII#Gw1Jcd- zZJh2hBIr{3fQLw_9@1m+d}9)2hfV(#8N)a?FSjS$4DIxQL($adkRa9PEb<{QHGmDJf%KRA?j7wS0+nE z5$EcgweNm$b)_1Sd6`v>gwcthYEMDw+1-gs02Nv*U3JVQ?jFim1ba~XxkFj|$3j`l zcT@;D?Qk+7K?hRT*VurTP$cHIS6D$-cb~NE)TChpniuUwtTB3I2&55}O;Rl6MI?ct zg`9&>p4P@GCLQ_af+IH#`nZRl6+K!O0`#=UFExSAv;7w{8u(^F{{stJEXy^g!02hh zs$OpSXBWAMKen8F= z$3Q<#>L-B*aTF&Z?hP<}qLd^9agtUcQ5B9s1d-(DEc=8{$}{aai)9U>o02nFWGkH1 z;1Pyg@BC6ES&nuJEvP~J;@ES)Ud0+uwS+O1EqqUyDG|o%s{1>Et@cjS) diff --git a/shifter_8b/db/shifter_8b.sld_design_entry.sci b/shifter_8b/db/shifter_8b.sld_design_entry.sci index a8e7d42006f04a7d8047ec2a93bf06be5648d63c..904d0039f59656ccad3da4a679aaaea101b107b5 100644 GIT binary patch delta 12 TcmZ3%xPoy)J=3K0iH+(29p?m= delta 12 TcmZ3%xPoy)JyTH9#71=h9Fqi5 diff --git a/shifter_8b/db/shifter_8b.tan.qmsg b/shifter_8b/db/shifter_8b.tan.qmsg index 70add04..ec16759 100644 --- a/shifter_8b/db/shifter_8b.tan.qmsg +++ b/shifter_8b/db/shifter_8b.tan.qmsg @@ -1,6 +1,6 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:15:57 2022 " "Info: Processing started: Mon Mar 07 11:15:57 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 08 15:17:19 2022 " "Info: Processing started: Tue Mar 08 15:17:19 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 6 " "Info: Parallel compilation is enabled and will use 4 of the 6 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} -{ "Info" "ITDB_FULL_TPD_RESULT" "A6 Y7 13.413 ns Longest " "Info: Longest tpd from source pin \"A6\" to destination pin \"Y7\" is 13.413 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.994 ns) 0.994 ns A6 1 PIN PIN_67 3 " "Info: 1: + IC(0.000 ns) + CELL(0.994 ns) = 0.994 ns; Loc. = PIN_67; Fanout = 3; PIN Node = 'A6'" { } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A6 } "NODE_NAME" } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 120 40 208 136 "A6" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(6.895 ns) + CELL(0.624 ns) 8.513 ns triple_selector_8b:inst\|inst31 2 COMB LCCOMB_X1_Y5_N10 1 " "Info: 2: + IC(6.895 ns) + CELL(0.624 ns) = 8.513 ns; Loc. = LCCOMB_X1_Y5_N10; Fanout = 1; COMB Node = 'triple_selector_8b:inst\|inst31'" { } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "7.519 ns" { A6 triple_selector_8b:inst|inst31 } "NODE_NAME" } } { "triple_selector_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/triple_selector_8b.bdf" { { 64 488 552 112 "inst31" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.604 ns) + CELL(3.296 ns) 13.413 ns Y7 3 PIN PIN_60 0 " "Info: 3: + IC(1.604 ns) + CELL(3.296 ns) = 13.413 ns; Loc. = PIN_60; Fanout = 0; PIN Node = 'Y7'" { } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "4.900 ns" { triple_selector_8b:inst|inst31 Y7 } "NODE_NAME" } } { "shifter_8b.bdf" "" { Schematic "D:/dev/quartus/shifter_8b/shifter_8b.bdf" { { 56 688 864 72 "Y7" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "4.914 ns ( 36.64 % ) " "Info: Total cell delay = 4.914 ns ( 36.64 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "8.499 ns ( 63.36 % ) " "Info: Total interconnect delay = 8.499 ns ( 63.36 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "13.413 ns" { A6 triple_selector_8b:inst|inst31 Y7 } "NODE_NAME" } } { "c:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "c:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "13.413 ns" { A6 {} A6~combout {} triple_selector_8b:inst|inst31 {} Y7 {} } { 0.000ns 0.000ns 6.895ns 1.604ns } { 0.000ns 0.994ns 0.624ns 3.296ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 0 s Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "191 " "Info: Peak virtual memory: 191 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:15:58 2022 " "Info: Processing ended: Mon Mar 07 11:15:58 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TPD_RESULT" "LM Y5 15.661 ns Longest " "Info: Longest tpd from source pin \"LM\" to destination pin \"Y5\" is 15.661 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.994 ns) 0.994 ns LM 1 PIN PIN_69 7 " "Info: 1: + IC(0.000 ns) + CELL(0.994 ns) = 0.994 ns; Loc. = PIN_69; Fanout = 7; PIN Node = 'LM'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { LM } "NODE_NAME" } } { "shifter_8b.bdf" "" { Schematic "D:/projects/quartus/shifter_8b/shifter_8b.bdf" { { 456 40 208 472 "LM" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(6.879 ns) + CELL(0.650 ns) 8.523 ns triple_selector_8b:inst\|inst23~0 2 COMB LCCOMB_X26_Y1_N18 1 " "Info: 2: + IC(6.879 ns) + CELL(0.650 ns) = 8.523 ns; Loc. = LCCOMB_X26_Y1_N18; Fanout = 1; COMB Node = 'triple_selector_8b:inst\|inst23~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "7.529 ns" { LM triple_selector_8b:inst|inst23~0 } "NODE_NAME" } } { "triple_selector_8b.bdf" "" { Schematic "D:/projects/quartus/shifter_8b/triple_selector_8b.bdf" { { 352 488 552 400 "inst23" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.370 ns) + CELL(0.624 ns) 9.517 ns triple_selector_8b:inst\|inst23 3 COMB LCCOMB_X26_Y1_N20 1 " "Info: 3: + IC(0.370 ns) + CELL(0.624 ns) = 9.517 ns; Loc. = LCCOMB_X26_Y1_N20; Fanout = 1; COMB Node = 'triple_selector_8b:inst\|inst23'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.994 ns" { triple_selector_8b:inst|inst23~0 triple_selector_8b:inst|inst23 } "NODE_NAME" } } { "triple_selector_8b.bdf" "" { Schematic "D:/projects/quartus/shifter_8b/triple_selector_8b.bdf" { { 352 488 552 400 "inst23" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(3.028 ns) + CELL(3.116 ns) 15.661 ns Y5 4 PIN PIN_147 0 " "Info: 4: + IC(3.028 ns) + CELL(3.116 ns) = 15.661 ns; Loc. = PIN_147; Fanout = 0; PIN Node = 'Y5'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "6.144 ns" { triple_selector_8b:inst|inst23 Y5 } "NODE_NAME" } } { "shifter_8b.bdf" "" { Schematic "D:/projects/quartus/shifter_8b/shifter_8b.bdf" { { 88 688 864 104 "Y5" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "5.384 ns ( 34.38 % ) " "Info: Total cell delay = 5.384 ns ( 34.38 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "10.277 ns ( 65.62 % ) " "Info: Total interconnect delay = 10.277 ns ( 65.62 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "15.661 ns" { LM triple_selector_8b:inst|inst23~0 triple_selector_8b:inst|inst23 Y5 } "NODE_NAME" } } { "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "15.661 ns" { LM {} LM~combout {} triple_selector_8b:inst|inst23~0 {} triple_selector_8b:inst|inst23 {} Y5 {} } { 0.000ns 0.000ns 6.879ns 0.370ns 3.028ns } { 0.000ns 0.994ns 0.650ns 0.624ns 3.116ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 0 s Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "212 " "Info: Peak virtual memory: 212 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 08 15:17:19 2022 " "Info: Processing ended: Tue Mar 08 15:17:19 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/shifter_8b/db/shifter_8b.tis_db_list.ddb b/shifter_8b/db/shifter_8b.tis_db_list.ddb index 62fc03a8e58202946f47dfb250473beef65d76b8..2a9a6ed1591983f8d06f0919d128f3ceb185b6a3 100644 GIT binary patch delta 68 zcmV-K0K5OZ0lWc_e?9uh$^Zn6Kr9c$A)dkUE>7{jj((2ru0inuu0g?`!6B}GA@QDm aZvOggTfTu*GBEIfNd^WcC=CDr0RR7a0Uz-I delta 68 zcmV-K0K5OZ0lWc_e?1asV*mn1AeIN>5YOOv7pHh%M?Xh**P!?S*Pvj};1E~8ka$l& aH-CM$E#E*Y85nrLBm)Bzlm-9*0RR84!5ZiQ diff --git a/shifter_8b/db/shifter_8b.tmw_info b/shifter_8b/db/shifter_8b.tmw_info new file mode 100644 index 0000000..6516e48 --- /dev/null +++ b/shifter_8b/db/shifter_8b.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:05 +start_analysis_synthesis:s:00:00:02-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:01-start_full_compilation +start_assembler:s:00:00:02-start_full_compilation +start_timing_analyzer:s:00:00:00-start_full_compilation diff --git a/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.atm b/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.atm index a96c2a3453c37567f150cb36a70ae4697bec8a6e..9caa3efe14d3181aa7a9955c200a857fa1b6236b 100644 GIT binary patch literal 6909 zcmXX|bySqy*CnKe0Y+d1=}ti!9Xe$I>6Y$BdZcBjK|nerB&E9>h6d?oKuV+}rOOZB z-}kKh$JuAyv(MRkowe>$Mud*`?}KF=7@^!Yg%Ub0M6r~F_lw>5o{TFW1WKtVjsoR4 zn6?mZJ*Nw&QC?0%%tP1wtx2M{LS1k+ym+hlnruOhAxqhyCoPD>BZq7}zZ<57=|bAt zlg1noJ3o^m14AN+N*8z(=`WeHVh*Av68&rNB|c+-8Clgg>8M`V9H(xL|oeiPo!pO|y& zwFj8MTGF^!)Oh`Y9nCN;gFn`%GO6M(v$DvL_g7{DWQR7>17~~fXim77(~CPO57BG+ zLvdPFXWFcz|TtDz#W(=IU6#YW~xGl%oLBsA3(miSUShXan~k=7X5AAC8sG=zuH7C6>pPHT~TnP9H1=tdgIq zx}K&w&Lc3@9ZajH<8`Ar-N-{m+CZJh;8p{e-;m*f1opIaw4tnYaK zUSn3=Z`-=zSp6k7uxsV=J0biL;3h&DCw2T%$`*0TI(fx}h-acZC(G~YERV0cx>(IJ zqmmfMns@TQI^MPw8t6akq#bL}dfgfr08^;(X*!17mR{Gg)qNevCW7iPMmHv9hD;~I^?n{O|{ai01Ly7 zGiKD)TVetf8yRyo%Kcu+5K|z|*zMk7N3}4?lXO^0DN8Vlc;phk5b6Fp_f?3ml(&#p z3$UDAAhlNqwkw&WT>Df@?62NT=&uYm?CnqQWWVI@#ybx3p3f~yklDBh(Ys6D8b zktUam5+A~Q&4EUW7RrWyvLp$4Eta!7yM={J_ysb-R%KP3n4=7>( zhI0Z3VZ3x+wgcD?GN$U)<*_ac@E)mkI^u7)oCx>Il>T!}aUTQb-r8@~pZ*YidJFJ# zNu965?A$jdtU-5oLOI96#_BiY$RH8$+?OiCA8Xo}4SyRAxSnL447$S`wgUU}xS9z? z$;F#?|0HJ&4tefNFWjVTrM{r?q?Y#eFV*y!2=bUr%FBB};Xx(BEmB8ePk%!bdKqtJr_(PM^&x6?<`)Elaww^NEjj~UDbpwAokDin_ zZ08>>bBJ~NwHvjl81e>y<7VAkc*-)9(p#i(d8D5&7Ieg=Tr)pm-C3vUcisDNLdmS! zy_F9X3H{0#6mn*L*K&{c9ao7oM8!^kF6et5vJ!Jug}Q2bUt|I!V{>xF0=mg0s!dIA*79;k z&EFe2&%e!CsL31!#1K5})S^@?Zr82Z8??wj7|pA^k^F_1Qh(fvcI)c9kYM}))_b;e zJP=g$n^jAT>F1L+_S8@;adK~#U7R?|?H5=xd}%+TLxh&`$6QlEsI;PQ6BHPi812em z`x9{JDvF8H8PI#MCCv@iqYTA*yg$yF3amfHQyt@2=R5wDk`^;Iwlx&SGMM|!8O3C z5u?d&B#}Zi5-Y*x^b!&7f9LpKW=+nlZi9ixkamVJGAvnvkq3Z3jxqI4eNUU+YD+v* z&VQgkYar4GK`CNZ85{h~c4}OUkT0ME$apz&T=P?3AusCyX1o|J5B+Iu?u`aQh2gY3 zY@hoEX#_!Z*=!`PFa*fnxA~2FB`ibZ@Z)e?SWYuu;=v+nK4W@M66%PcTg>1=g>~+Q zXfV-0>AH-cy#%Xn6H8quRQ07MB^-&_O-AK2S6u_fv5kjMS60^vh@WXM%-HMq;|c>( z7&Gr!HuVK1GSCC`(uN3FKv|zV-^zQ_j?JxPr;%K=1z;2{Dczfv_F9*HBrAkDf51e> z`Ju@aO%O$JD>gX>zC|$m2J`lFNJ>_&XvFN%0^Ef40 z-x>^E%-%Fq^4s51H2OQ=5-fI{0#NhMtNg*r@A4KqjBEVMI}RwLmO93qzM=wT8Y(-G z7X**3F{kJ38eAS*SGwG?FqwMEYZ1W zim@)sS+dk+viBwvu`eesO%5x611g++RaGT>mkx1(RqlJ{62wYbXzF4g^#@3*D4trn zx$AZrN%`xV{yqY5ym=DcjG<{8DptbDR&cjYkzUcYATD`{05R$KqmN_!?8s&}sS12X z#~ZN;!89T@pl;!3vqJaU8AF?O!~Sml9auc0rxw z=R;t=!I-4w?DJz;sYBowH|$C%Dd}UDDaT4(Gen(Tbt1lKOtOOCqRajPZ36*cCKK4g zzfh$T;M^t>_yw@!@v!gb;V2+5;F|@rc^aaZ+J!`HKXuccNaS3Q_&iaTA-|Bysn#`7 zjMrO~C}+#ArJFtHid7cyHV9@w?~V1gIrx4WFgk2P@<^ujNG8t9!3;Lwm<8MZBclZ~ zWLXUwNoiJ?{(T`+d-^8y(Fp=z<`?5A#n)lU@}5{e)Vf{2BNKPDiiq)vlgeuAN8Ba`!4~N<04QB|ZnZj64FeMv?D`0=ms}b59kr z#uuN1p8Z%Qad=IYOdD+UY7MTGXz;_}%ZwpsDFC|1tq|`eMQmU+bm~|mvvm}o3{>Bs zOz&bk_|iP=)wCQVVM!HhB*EWzR<`3lReSvDRrphX`k?v^3E4ZG8@-M^wS{4^Ci9b3 z#d|uBmqRQiw*m?CAD!1BTm_z!Q?ipY1_j8wt*k~_wr8%BfNbJFz1CQ&&7d18(u9L9 z*UZnBrK)XPyGvdLtrNP?kJ^93ZwNrJeefOgtk6@v-0iOc*ezzMn?i_ijsaz>mH-sP5HjGK|+!a?GjH}}%RV533*`so8N?vBBpmjnEc#x3* zVQYRdBZk)l4U2JKl+*JFVtm_PJPPmRD`gjm?*`sg$aQI8Wf&kU7X|JP#THrj6$yfp zg5ate zLgY+P>_hoh0I00$ix_+9ce-OA$)b5FQyo_BJ^|WJ4lO}(*XF>CQtd81Liqu7Mt3su z_4lsSQHe&2WuDav0~0~pK&ylI==25PXPDB^?>zD=#Gn!fz5FRm>ClJjqYiZX(&ue_ zrGFCSlQKRtQwfSoEb2+>(QoJB;)3J!6U%wh4YSLFQ}J-;S*_$^x>L`^t0uQjmNB?v>2iPm~RTxRxgT@GA_eL7_V+m3W?NgUruGxI<^JhkVd;a(O90U(7>67I-?4T6D8auymj4Gx#+tB`du9 zlpB~VXCT?M?|^Kfi?V@&MNLZ9(lq;CfdG#?!bhj|pTE`r`P;F-^yn|5jG=0X4I|wg zYg?DDYItXv`RWY98DhWbo>Cd%{$Y4$C@8K4KZ;E+WMw)hTY*_K6!uF^%(S1a0L-%4 z{d)up`h%G0%fG$I>xG~5$?K2ms_SQF37kQu6YMvq6Qa35I+A$|G+JP5sRrrP)+hQ& zvoN)7{18^mZjsI<$;3*b2wib<+|6ALuxd)lD+A3W%p9JA=Pa92jN!TzxFZ`7T+L0X zo4Hoses~$pdZB$3LlygMTAcPdV}P`t&6u{8ms`!S?sKZB7)<&8F-Pz}`3ik4dLe}X zZBh3k3LGG=@ViIt-#d(A8-BAgRvxf2IxVWnm%`<7t6K`whsVx-mfZ690;bKdsO%W> z(9PP#Rs?z-CG}V>v=UOg*Uky7VDV!^rpIHILPdCaILk9{dlX96CExat6qbA-2YnOl zF&D*UOV8m1!wWot-}>Qaf5tOU}YqZxn3+4t+I*~l2BCjTvP#Ms7l z!qn4A&BD`qYJ#&@S&7Ns&$^~stx7ti6^Ir6_bZwM9|_>JzB?gwb!F8-?VCk3CO3gS zELW?Cq<})@>m*?!hoVDRjv7dQT~;S_E>;}B6xY8L(^KJ^Wn-#yjIOZ_t&ycPy>WNr zBxWH_K#Tt6R-)?St}l(yM#tuxIeQUZ^`FuflQ+z(>`KYav<8J5m2#YTRC`-5W6odi z5@o;POhLifngMNYtB}r*hhggGzLXU3>Q2bqlrYz!K2iDnC#PZCC7AM#p>r{oOY3`92)C`yx~%k+{8>HV#7nUbrU0Jhie=|J;yJoH ze8;m))7mfik^X2JZm^~MWG`*00*)DP&5pDi10h0P>1)9vLgM7e$R&MT8O~vk;Pl)i zNILU7^jU(_+Kgqo-EPi4GIxCU`?mLsxs+xzqYgK+Yq`mPG@{S6JI_+vs%KUU3XU(6 ztQ!)y2pn4l{XGQE&>6f1kENsQ(o#6kRR*4kuT;o1jd)V|I#BCZSL%pFR1z1u@5&au zS$h*UPi~>{B-q-I^<^UteS?`T&Vx7N=mM|P7OFVTu~f6U@hcm(qLLLHykyxEZ%Fsu zA`_8+zq&^EZZHhnGMMIPDb`|k*yUN~-UU6Sg5|l48Z~u-lNR3EYt)(}yf&JkAxC{7 z>^mKbt|E4tKt=cm{>sEh6$P!99I%H>uCs~>+_|bHIa_HUpVB;3*;+;T)ykTO1>AXu zv?YfJDopw>0h93PL4!#BZBl%>gMD7hal=h{J4v8ZyWn{p;n5?&`2yzN(!GmaNJ;6@ zlRZI@1Z~N2drb7-=1{Us;Dss*M+G~x%|vV2PY~$1UwCd6dB6*u-#^}vC-LaXpCE{S zEO7l-(3(RTiR%&U)9?fom*K3Ynv@;L*hITBpN?-6>ocqzB!oNB566Ji_eOb1xuNz12v z95%zbjkbjzJu}-m|BLY!rn@k7bhc&Z8OHgi`DO>m;-jRtFWHA#3W5+X)-` zC-W%Wc~E*J_pl`=@xrLi_SX6FO=!zW$@k9>rnl7C~-X-m&gbCvGH(@s+xq6|@7wW!|-y#s5NOigq!I8GOT zk9?I5(HEL^ZJF!(L)SF+!GJn}t<7L(5geb2wjdkxsjxCPB+ZwPeAVcr!mTp~M=R#8HQ&Nz$@Y z(g<~!Pyqj6(M1-<4#7x#1|*uE;(-GFHPvnQT$!&xYArQydTiQdgD#pW_hfMSh*6_2iKvS_Eb8dCaZ zs6JfeM;?e(y#B|eu2B%#MOkEHUsRCj{mHAO|5~pbKNw&P(wena08`rdems`*@`aw+ z=SLF6vE?gnJyLO~l&GX?t!I|cL1$^Ub34R}&2KaKR7zeI+b3($uMx~7$joRsx5|l# z{PZi^fO3HOIm>glB!tFnaMnKoFXDG*ADJ1{6_Wa);D39P$ydCzT)n)o`KqCjRon5a z;P}7%XgmiV4D;NNv^=rXc~V6IT|E-fdon7XE5{lqAQV>A5w$T1*3{|gc84cs8CQI}AWCNH z-Vg8Ry7dP*_7$$XbXw49Zu#nb7A{m`JZ*zoNJN&95JklDhw_#(cr*c%R0D(RE%VTY z1-0W~pFWNab%rNtnT7kCA+ZiB5sdvcN=3K1lPtY(!lHd6ZwBoTHv-j}T)%tRKtAQp zV)@oW`hBDjov8NSzy~J3^yTW#!FaC}exiebKi?RrR&ifFF zys8YQ4H1*PJYxAFtl*gRF;zhBE*^fn@4(Rlg(JKz&ZC~d?Cu$I#Pa>F7PLjrBrd|BMvuNmm zt;?vsjx->F&q%*j{mH81h7Vk-Dtw{VI3wOROb`0Tb6%=@+bAAbs4sdVsT zPv4ibC#h$WQ6}Ra2Iz6I`QS54{Y{-9o~SUZSJ-i?>&2LrW~jP0t3TfU2jFiQo*;Vc zdB;(qzP7Wp%CTlxK_?}i2Y18B*+OO`%PL&Td##XY zsGtJ~m+HJqg0?k$f07u;ODQ216@R^B=Fyt^Yya)$kYBa?C{$xwku<)sQa-ZVeGpB{ zM{qiU$W*;1+5QuTn6jPHkM669?)s$5E22V76}# z0TvvPoF+3^NHnPK`*X&G6ZHIp2!zz0E&bxExoij5q97KeF!#n%b$iRKODarrl5hK-jL1Wj#ySwN{NR_~mTQU+u{!3v; zjZl*i#K^!+-c5PWfv(%Hy(gLhJ;^q)ZiB1ohW{NB?G%kLhRAbzY`32Y zTIqzWcpb|jy&eH;PnSuI)uO7<*X)TZ;_btozEYK5mwO)k+`V_EKC;kD6ZcI|9?F0$ zwCKYtfB*NZD&4WpA?>9jSVWV^zWvub+Uaav@m9UQKFzm(t{tSl zU0oGj+nQXN&fCsPyx`CB&oUAf+9+ncvU$AlP?3_)`{TNVd3f(D(n)HR;L6 z(9px>`1RsLqD!7ur?ED314xGA;{0+;kb%rjBu1lkGQV#Tuqi9TIOwj)pJ*l$YAqtt zGbcw65Xpy~c*xDFr2}vllS|u=vj%1{H6N~J*>IWAD5TilyqSTQIi}Mrs!ZiA-HP%9 zx9`k8Jl{8@Pwa^TB>wt)V2w}6cu|>`xN-R_x&k=mU0~u72S!c^;9GLKZ;MRdmr)p>1VSjrW7I3P*h2-nacm z5#L*Po-7FG(|UL^tCsRv?%8=VjDpD6@^6DbQRvMq$rUwoCe=|MtpkYzFK~R=;ml1nUEP~Dt<$4KPb9?gRh#RJ&#eFrUJqpBUdLDW(C+f05OZK4$)(KGv(O7bTS{Pl!8G7qvDEP!ZCR5ivTmhiQR}T+%x30kE(|>4dzpy z@m4lN0a{$+GOE{C=4!;5?huk}_9lkI@+x>;DEEEQZ#p!=k?LB%M1Jw10ix$N_%r*t z3yB!B(TU59(s6?W{Y};C>p294F}mZAPJy94RA)G!(Z1#s?kJ{QN!;e)(_!CK@*ae; zt@`Z}fqExu7Ad48eQbx~9N%FVofgw{G8`p{d=WlS=kygO`K~xY+4zbk1MW8Z-4E>zCrHkZQdbWut>u((NTEq3FyRy(PAB*IbolI%6f3u*HMCh zHPIO@{=vTL?GONOz0=K)t2~&W;eIQZUNyBjWZ+rrEpc${>?B~>^PF3`b%*J~6x(#R zl$A~`sbV3c=9&^7sV8*A6moO#S$dU^L%$)Te2+(}ds!YTN>Fhn$^MrEE=nuU`d1zN zi`{QG4NBPk{PTq9Q(~RXl^7qsetJ=WXE@i8;`&Z8uuUXD! z#YuJ(=d>#PfEdX)OGba*mNd4z&3?f}N>#P;ggRSrbcbx9`<ae>WD7w;q?Hm~Ow{qW~z9xF}Bh!NK`;15!9{=3?}- zHEpZ*v?Yts5cP4|x;oGBx^G;)K{Nf!es1za;21ue{ibf@>=5ux(%JbnkD0i_<@npWszMTq6bI6D`4PWJcVw86E6OZ}KdvHmOI&GSxw zbP|Blw=QoTjX2_1uM>!3vT%GY2I9xT1x=y+M&c1@$s6asn*YM48V-M7hXC&5*eY_0ds3fyn!+;M}3O zwn*Z3)8>S4B{nmYn%GFSQ+^pqkKVx2*=lzXs|A z3g~!Si{2s`61#Nxj$bCN$|nL6ao*wIou$1Nl3E%HYPUhH$O-&-6jnr5;ZL@YfY84; zKU`{rl`XPs_x4IdTlES@iH5Fn33d!xU9XQ!Q};XfA`zFU$H>xWh1)F1Y`T>suUm-N zFNEWN#}VXi?Rjl>b=f>}aML%^MkeJ>#U@gnhfwaRA^L-SMv3`^y+*eRl5c>kW^E``OE^P>b?;)Q)^vdy5!Qwj-HvpI0dzx_0pZm& zGq<>9N96`aG_Ji#|GYo9_>iRg>owjyzAmp&P>&sd!pOK0q8IYDQIMYSqV>6VKBvxS z^vW7V5OOiCD6IAnW9$^a0f|vn7lbTy8sGk{#8CXYL9`)!yA4T_K%cygnZfc2bWkN$ zzKxNtgs}G|aFvi}2LrRVjroV*s}@cjDsw9;WY7y}7sEJ# zgn|4eD*-Qg|5~xQL(HJ04XJoSAF)FI72YJlPE|gmj;gT6)DJ=X7ghOz1sdET#p;Fm zm8wQT`a^^@nI9Q0=fux2GTo5pHBe(aHBiaik(o#YcrA6AEU}lF<_T>;hKLn;IC4U1 z3IA1YC}DnnjtX=~2EM?;b(xCsmVxtx2mfH}xC%n8|AXzkz~a$fRug!EL3NpSiIxTC z2}xdH_3Zdxny9^ym0G_YErktUn!GowqUMF`GSQO(HFZ?!m!>|0RNh2dley_kr7)M} zI@+9cLWh4=eJ2(0pK=$*u|i!+`IIhKHw9GL0~{R{uwdv$dxyaA=*|;FwCc(7Trps5 zz+v0vg0*bLgj{!Ymrmc-bg250$w9eU=c?roXgZa4ro_CJ7>lbGi=uz4?x_TfhrHiZ z3$IA{yPg-+^??rO8PH;T*}UYc z*gh8wWfqs4}0CQ<;a!do*JTr!d{&ITj?6BrNReJlp6e?~uj-jI50&k@~?GPK_Ot@{Z3 z5zM#_Ia6S%0DNcKDhLYTd4y1+1u~9vpnvxXgK6G^7dO&?4>R@06+zYgcE4gAK45i$ z7yD?{sza-ZxWyWX(9ZCih{cK`lwPUrBC2^LOO6`^0sV;QBC>Sfohs;*NAhAs_Od*h zJBi7ft4i92#_=SXQQ+Ig5J=J5D#A)(-<0&@@lK}K2edJ7be}R66XPQI^mDE?rL8{X zu56F1znNsj;O1zfn6w3b*4({(i@MZCWq*R87m8H3P!*4I{EbCMAEU~R3FA2tJEShf zDjo9x_ErQ+RZ$hp!dnChpwq)Lv()CM1P>BZm>|^35VVgzKEJsM{6R;=+tqaY zEx{=sHw!ZEIyJZ)^nv8O5)#|Nyx73Dypfb!xJ`K)NWEso%o65NmtGLjL{~JsFeL*C z_h5Q@Xpf>MuQ+TRt2;9RV3e<*51>llyfG7T5kjtf0|cTq8(~JrAOz1jQgP| z|2&>Ky&SYdhwM#%*2LQtMnf2y-rgvy1IXHrB)4}#E21GSK#2>q2x$^vj?mv`j$rp*9OM@KiMebe zI3=_Cn(R6-;~rSGW{XBv4r#hlG~Arj65Ce1gDhadS`=i82T*=rV9lR2jc~=p11hw^2Efn>q zm-2UbQ9u(K2ehb9x!0GT`NOM-(oA%PE1)A2Bii11A*{vghFAVLvbLck5p0TcY0W2o zg|JgU8t0`)sp?>9qKd3|TUjHqRr8+mhy#zr>GRD`_VHqk-*t`5P|O$mIt3oG4!9sB z@b2)hk|gcN0Azi$T|_>TSDb43D+CD(}{?)uQnBgmpz(dc&oS{ka*oNOJZyD+rwdop(>17QtrbDm zc17btI_RGTnl57+u?yIATu-V&76Tpnr&L{^RwqKqz!X98nANyu>ImPge4LEWFeP|Q zZBU=xgS`Hrb=r*BEwU}UZEfvsJo*ZHVPIG#D=E4K;l_h#l5PTmzd39I1zga5BB}`u zgMq8Fe+(;nc|U1)7xNTtyJ8tFna?L_U@7zR&;Q5=-oKys4wHQwYM0Jb3e2|ape#2< z04G2-CE3fuKCMak1(f$3DZZ8z*{d5AVU)|Zcd6=W9Yk5=_|SslgxOEAIK{uXVYvO| zf`#Am`YD(ZvH_e^DLz;+(A)yT_DaY`-?WC6oL^>UxnoW6@-P0_0PdkICP)*l7o-c& zlVv+}P}Z3uW~f3f`dEg;6xP-(0_u9~GP?>W+)X7{-dX1)V<~^&U;05swy@V5HcGR| z@t<;QE?8Qx*~EoC5Ow7yc*}-Zr$jMe{V!W=4fC8^Rqd+jX+_xwV!2?AP3Z0e@uCg; zb_|2vWB>H=(r(}h#M&bOMq0y^pDx@3s(OyB+{66GR;PrUW_C1#|M~KvEUZDEmxTx# zHULE_1)hi74U5s08Bidik3dmC_3zxB%S|I;>R8(maA4bT+RL^!3?b-M)XpwgPoy-i z1XZSy|9raiZwaGma5%aF+Sz`U&T8rzJk%Yml(D#eM~J~lW*}c!KvHfo%4As?jD>{c z#{6-JNxpOa7Zw?f8b4U?>Te?>_?Alq?3Fyrs9egQ!!*li8LTY7{M$nzAXoWtoNcW} zsg#R(%i(Ce4jT8>3Fq-PAtdS`pD9m0h)=Z{HziFO50Gsx)T&RD-7~cPZ^9PySG8TuVLkews^K zxq*LrOX`>vzjFM^nee?%HNn4(kF1npINNk&YAdaN4yzO(0~}MN(DTYq({N0Eq;+d2 zfzoX+dmeMe%sjw?{7{*S}g_cqS4Rh z0BT(Sj3c(icUmzKQq)=)|9o>*zfP4Wv@EqIQUP=P_=y{t%%ohNNvB10fpS!fr~h$2 z$FrvYP?uWoEFD~YG@iip;U*AeGYxvCprk$!CP$!N?56&oBXG{pbUd?Rt#5%e_T0oR z{9AdR0XieKfi<_y-Jo9Ht|Tb7?Ey2314qHNGG{QMX4?epxLtDg?2%oR(0?95XZWEn zS9EeY-=}gO*JMpnmL#U8c-rlMDJyyK>y98FIgi|Gy?Db+&r%iHe?^f?xm9!~#uv=`S3qX~mJ_52tb|v$bK-ngV;3 z$1>bSQL$?nVz)GEowWDJQ*}LEk3&TYTF{?a8bX`l_PW- zQWn0KW~VQo#SZvLetgTB|5H@}Jo@?$p*8K}k&KeaKZisHn$7opqkcpA#!DGiYPnn8 z%SP`A_BGBURBR=VDFu&S|5g2{#i$}&-56`CdW#=wE=NPw4hVFR2Hv|TX>BUQh6nUy zAu!1;*tSxA+_)nT9m;yloBbX=RBQ4I*t^|V28Sr#C(%=9g{Pe#7Ybe-DQDXNjv46O z_8X7tus?Xlzg~(GI^CzO7!>%C5M7TwA;5pkpo?lLDF0p$bzLc`KJHJy0H&DH-*SB0 zqwUhjZ6{MotDq@+&@nY{{RmU)RIT|H-ruL>3r9EjvtnvPd-j)$W|r(PFwXe#Q$o7L z0mIK**raHt#3VQ1cO6s@0;c%>LVx8AVH^Sp<9g1ka30 zzmWcH(^rltM}fdw{I2}Lw72QIBu>TP+v)k`k8Zqxr`Qv@%i31fj zp~xi;5*@rS;HQo#ZC$k5PiS-DTHE0|(-?r-R@)%$@-WQmLP8=U5X+kebzTK;tV&%L z7>Yt+L*jr@*?Wk~E!XBJ8ypE7t$HTUf=)p5{uaDlxgd(X(3){wHqB`1zNBqRTm&Dx z;589i1??yqI;ffxF-}r(^Djia-P?MzRch>twCMVAr!1{EsF&%3X3nKr zG@TifzIs_TE-lU2usGhYDda`_U~82EE+T6jX(hTRUZJmZV*o{>?Rwd@H+&txPSpO( zgJ-?W34euY5^^0AsIApvUyjzx!nFpsP6`lwhT%)@z(TSs-Xg;T^} zMcrZ6@wuc)y#n?NCihOo8B4#tY)#F%pa0TfJ?E+E5n6V7kC?T}UH%19iXHwRGD~Mh diff --git a/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.hdbx b/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.hdbx index bd628c29d58a351765f0f66c52e45196c5ce0efa..ef0a47a59b33d14d68ea08640d651f8a793b67b8 100644 GIT binary patch literal 2018 zcmV<82OapV4*>uG0001Zob8)ibK=GlfS=8;n9@sjtBBEEB3pUDAa8WSEeM<Ed0oW&ifmGm@|@upudGb8u0$UYoh}bbr$`(>+3+4(dnoCh=GI8T!!wh`4T- z`;lkaF6sptPAsTS;wZ5)KMGr&4q8Teg7Orj$lKH6hZMOu^;aRvq9{n)D2P_RgSG@YW@O0p0d7Gg-}t*D}<6>d)3Xo~DuGTN!qd8#(zJhgs1Wy80oEwJtsqoAB2-!D$+7P@3ES#ZE>gWD!*hz^zj% z+KEEfFW{6amsqETW@rd%h5(%OHgY?452iGW^ zg$Ss_E~d1Yg%qu=F!wAc%M(CrZz_WbAP_{0s0l?ep$#r#<)BaE|3zlQPqW0g^DIiz zYlNl&wqV!O(((B z34TV1VTBH>c6gTO5hwk`De#YJJ>VoIE9P74sx%rAIltxL(0PhQKwj~IrK39U6o_uVe=Wtr9$lw4(-m?$xx%tpN-3~}WJ)+&XP4+}$^nc8@+&9p%q z^(UjLK7^8iZuBR2MWH^PF6M1?JDZG5W3rg_wKkg0G}Bm)=f7x%ZotQhF*k4Z`CK1= zZlhse8xG6DTBAGN(C0*;F&vol-gMf#8EPMiHVb`BTbgu?{$w$pgKjLTN}YSWw#==* z%yg!Y^tpbgne*jTW7>%6_Y6=*8ymEkl>Dk`Q-(@T6UPi9r`SGV7Sem)1D`Wh``UKB z4$1Ot@7;+be2N?=7TQU?Bxxr8YhWv%$VTK%EG33<-Lm3zf z7lsEJ^0HVm zI9vg)>2Mqiq2Tf)Y2AASC&(2zX=l5GJY;#2T<$%BBQakAt{L-jEF{6plO%BO5gdt9 z3UIqoiUQzHlC`}TEKZzqzh=tRD6Hv&rpZTu7-b|2&&4rrS?jt8tk5*~X+Z`eNmhHR^|HW`z#kRx|X8 zT>Z%#WD!~7#{o7|9AGDlNZ+4G$J!G)9wI+dbU64smfzNe&NRv0WmY7+MMOi67@G5P zJ28zQ7bp)OGyjh$x$lg!%c~{HZu99J$FU{Jr^fX`W#1p#pb7NmNL+91W&aeRJ|IuT zF9{q@w=T}qM)LMrQ{G7< zxGl;vawdsCp)<+l*35M;&UAz`iCwvLCP{3j@|;kiJR@h4Pno93YC(WM&*<}eJCh() z!KcVJ@6$N3LU~Tkq@K{3lrsG0FY)&=&Qv_JqfhUoo%ma-P@a)9DW?p;)p9ur=NW*1 z@4Q$RpR?l1mzu=h26hap+Re)AW*>Ry^4cxSu9bUlTj(tc8STIma=LAkU7Kbo1)RrL zt7WC+%?bLI^qavg%>PhB-yW854SZ7fEalNE)E^~#z1W$aM1U0PaC1$NT7{c zt>SegGY@^>Je>4@g&n5%dDerCrT>dI>do~&xenInOZ08utttw9JF$`tDgP2Be?kfN zJeU;!0Y5LZHwBlb?n*DGw7yp`VE;c+dEXXwdevX`%BBh1*Fc`VynGGhe~6h-w8@m# AR{#J2 literal 2013 zcmV<32O{{a4*>uG0001Zob8)gbK^D=fS;{jfzr#)RB0{V$4uoxmgor+UD4r`_Jzd* zl)0fu77x!TQ}%D)21rUYMLS-VYEp9a;6peX-5(l2H%N86s2@h#*jwMH=tKV_VpWy> zH^(q4>iH>-O{k8eFg8;!3|ieTT7_ARvIL{hZD{eY1UWeI)&WYx&`&zZ57(ZJ+$^w3 zb%KZpGjLFt-s2c0p__g+V@!*R9^_vyO;B$%oubH$(*PRgVo2wmsJx{aY*Cn{QI;at z^KpXQINao=E`l+T8^(AYdcm6Z{@?|+pE=~CgC9H_qbLs7vANlhq7XFlHknUN$>n|A z4?|T?lF;_d6g$WX?QDaCG;a)Dy#U7A$*likJEaoRkg5gXHVGAN zhk@hea0-r zLQ@}`Fl>xnu(3c+=WlQB&P=MYo5hregHzMV87)eB3rEbYS)kJI2%r zenP0t@Lfjkaty~Imi@$vpG2|Udcbi)R?PR-RbezDa(>Iep|b?(di!(h%FTS=C|Z)* zyW(Hiw7~;zH&2<7LANrHgR3B76WAJdiw9iu;4ju)T}L#h({9&b!zr(t_K(?|M3 zztfDxYO1w6sNL_)K^bj4r^Te?S52F?spKTK4L`K=4Fk9*_nr%0W+>LR<+vXNrh^1V z=^&2$5;)-_nU2Sjnydmf_Cl?J8ZR*gHC~o#)D)n`U8prtW4V$Vr_`v)K#jjpYoNwS zLP3pFm>M++s0kNpP1IPaq{eeKY9de*FVq^Su~K;&uuA7taCW!6R*o%B@B-qDx8j`M`NWaRnCkWO^$&v9|1HGO9oN20gh%8Sz^9Y3;C^YkA z4`LKn&QTtDs{G9;*&mEj<&=V?T7N{PvIWSeS`J^D_aALg=Xvu+TCeP5#}d>B1fP7u zy_*wr0PK;O`9I8+WJM@kNvS_eQMr=j8Tk??p3s+gz94X9_Vf?)C24oHC>^8>JCbMQ zOFVxAjSdFgiL(8|VJ7J7X`M*E8=)O6D(dp1o@ayXBzR?AGt zmjmcq*EfS(nE#=MzCW0Lh7YB*Khsz64UfELov_6x*9(m-fkp-Ab}6T9PAg-)rdvy1 zWQUsw{yLQ+Gcf(_pSXPK+0R%>kR*;%MZVS|j2-&Qv{;mH9MN#RHBM48x@__eXW6o7 zvLY{8JZEwu&j}pEOQOS;I+>d&0xjag80Pb1l|(-Mbr_D-t?;m|Z`a>8{&ObWOH3*f z%O3V7GS)c2aq-^ziy)X6?3Wttf18C3bKShGp4-R&pY}OkVuuenerDiFCSPteo)u)7 zS8UmKEK6j0#&uOol6Vu#*b!bKliyPs4vui(;J~K0m6c_{L*K{4He9t0OWU+s`PXfX zEbsvHaMJ%3wwK2;j{9{yZ+MiCZ`io9kH(~cRhrN>*Uvu~$R$u`Z0Wjc9 diff --git a/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.rcf b/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.cmp.rcf index 39cb3c6e8c2f435b757d501df1393ced85334320..b22c47a8df4a9c9da18c2cdcba1b0ec7105fbdf5 100644 GIT binary patch literal 1270 zcmVuG0001ZoW+{kZreBzhOgtNAex(9AV_)8!E(_HM{xiz9H+62O|HB8btjklZ2)%VxSWcA!Tr=S0t#AzCBz;-h@qhAmE z2;7DVfPH}M0dna#z!2lwv&&!n*)LJDqr(C35`wFJynX_h*Z?~3k^3G)=z)2Z{D@Z( z_!O@G1{lo$0$-yg7(MYQ_=sQbZ^83=`?J^EMJsj{ysWp&a2-5_X$b!5ozXCZpPAcW z`3rmm@9y_>9{U{_kL!-#edwJ%Mcm&M4SCbDvypv)%J_L)r< znA%=xI&~Al+@9R|!$~lnK8$Am-E=zgALxB+f!N21LmwF51=que;oaxT4ag_COX(#3 z01J2l*c0O^`11lJbRk5+>o#FGv&(Gi>N9u$gM98huE}^hI!qo_y|xaK1#~5i=Snji zISAa(b4hKM5d=%y5p14oexX1|3RVR>egU=MU=I*af`iPFfCCxDflxCKWgsYz=~^1n zwQfXmB*>|Y!xOXt>)LSZ+HeJJxTj!PQW&B(uvr*(GYp~(!!{@nWH-RTb$wWMd02uv zs3Gd_Y-Kv0NvU{pI|s@la0FW}gD zT`u6*Ijkl){63Pvv5)GQWfTr3jc`0wHkcSx4sO`uu>i-d!*K;TuAm%OfCB|MZJUhU zq#XOGuGwbcG;jLKWevLqg@c>6eX9<~tHbdGIGzB^{K~I?j=F z9HVqd(}pXoR8mg{5t2cKWDp@4mXHhUR4!y?#5r22u68bld@bf9kEer2)L&HVAT?1% zS;#9l;B~l+)^vyo`yKGvk^HQ50cvK$XCgEoFjb5frnLM=iScvl8 zMOB|143lSGO!B)AQ)^pu90lEZQZ)@hdadr*4HsYI|!#i|; zKs%IrA0DHf-3n+uE+V$reB=xsGZAsH*kX69n#wt29n7eevTpO(I8Xg7Yqv?A`1ZQ< zO}cZ9JH(AUoOjU#D$Ds=lrzFq&)LC)>lu+l1YFR_T3Gew0Tu@sWl4Ljl2+a$%i7s^ za@S9_x_7%v@2v0X&iko`=?z`Goz5F|NW9aTvzH*6UGhX)S}I2cYNMjH<)wwl4hzTU z@3sSWYh}Uu+BR6k)xCRNdN0?RZu4Qnd#BFJ4W$e3#QZT)9Xio&1cq4F;~}xfJN!K) zm$O!jhuTjHNPX8Zj#b@vSxmZdkMz+J8QRLBFDu;S@RykkwWZa)w>R&)y_L!CS-(zq z;@#GNEn|sXZOWCDTx}kLqb1RPyF~lCt&2&KC_69fUnlO%m8ATdduYp8Vv!}*f=Qfq zRXVz_9ZU*C**QM_!tzbs6MbPiGMGq&iL@##YKMt5CS+b3%FfBD|1o$==UD&!=+Kp+ ggh#s6>T23+ELJabu5n$cJ13{M>fgQp0o*@yAgsTCy#N3J literal 1149 zcmV-@1cLjl4*>uG0001ZoW)sNZ<{a_er|q+)xK=f)cJzBq}l_esl=A1ZQ5P(gh)y| zGzJx+o2FfU`)nYg5FqyCi9VzaUUU8bfG-n;`ubt^#o7uf6~b zZr*~A!4gdFax3x`KU`mc`zZN78m)sBe+nL=Wa&rVouB#O=jec$>3uI?z2y(^1{_{L zv3)$Pf$P?R4^Ku1cR`N#228H7V_suuI_AmfH(Nb?h}o*K|CP^XY4{ig-a3dFXu=s9 zhm5^n{DW}R>BKvIe||ohk3XRWy@9U97I(DqC%8atL=hWKFCBa8xwG5J+_{|1CeAIN z#%vmEY}&o_&g@(J@_qRjyD&F!oiPJmfCYR7_`EvhNI`8y(<*u*--DK(PXn4+Tqc>Aq^g}S{`X9k3^V9s**?AegLf+kBD>PAU#(#WDj5mv1oxT z{(ZB-Z2r7SEm2FY)k&?evXP~dTC4qt)|l-g5-yC58|o+2D1TJZs41lplP(%9Q5JFO z*wa>nPG#+BWs%m;XRprNWR4mnQne&@UNXWe$0~0b+;Pp&-fJdTee<4a5~nLAj&y`| z?!vj&bUvw4jAF<`6T%&vDyDH`PyA`~?lh$LWasWK*L7!koYYvrtHh4-bjPe6TUIFI@R_ki!v zj2bi-K85x3P@a{7R6{Q((LyWTFG{i1$FOiT+!qX`2^L1?N!wuH#$N2EbLSU38Zub8 zscR%pH-$B#_i99!O!%GFojCuZ5n<>}(mV*ZH5@h>4jK>WZam<=H+4lPc2lrtO~IDg z|FdFYlbjU--d1SB0w%oz7B88$k+3tJk|$Q8U>g#hC-lRili{F^LHE6{+3`O;KIqPQ z9WI&HO?Pj8^I2L4^UO`|=EuE(1ItTSVMG>3w}wQoj?ob4gMwH0#SrL3eaUE~?wnaN!ST*@lS^1*g(hG?fA*zd8ap)>?41$j2YirWxM$~j8k%_{vHwr`X z-KZ{OC9o~^MYIGul`f-gt<8_P;YX=n6Q+HB#Nuy&sA?v~v8%u~1bTIfg!{QRZSx~( z`cX!Y*zEPADccxO7um$I@&2yi|3oM9aaC_5w^prZY34mkV>{ByikWvXX%Q{z&2J*p z!bH6VwD>zmth|mB$0o|7zr?=f+nBbo`zk=M#oyvpz;#Z?N!xZZzkX~(MUU= diff --git a/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.map.atm b/shifter_8b/incremental_db/compiled_partitions/shifter_8b.root_partition.map.atm index 402825d7dbe8946892c8f56843f094d89a98c384..ce1faa9b80ce226ee61da6b2853fa9fb2a27ad33 100644 GIT binary patch literal 4573 zcmV<35hCua4*>uG0001Zob6p(bECMneolS`<(Jc4m6_)1%T(RYmM@EVNnFCfT^;bAY zOAsoe@Vv&WFP& zD~Fl4*?${9i$ZgrfIMVH6U6ElsrH3nHHarfo4x+v{{&eGeiiQGd%;W<3o6X&ibnJ2 z`fkL)QT{RM(Zue=aW{`_Bo$ z0BSM54~FAWoC;#_l)Ru6x|S10ZM|dJz3A5T1Iu-wte9oA%v;Mamou8KYe$Y5+Ex%o z*RI>bK4i(QW-|)9hG7OlyK7S!Z7W>P5UGr|=FA`jkT(BZ!QEUB++qoMt!Zv2$B`sMkVQTAwSjvx3%|K6M?KvsUC;&YBKkrSG%{ zy^-0uZCej+P#WxPH@pE|(Y^MXx()m5njU-5uDK9AOrO)z{nwFtR@QW0A{}C$Z@5m| zy6$G`acdZs8`)J5uCZ%_2hs<^aMf2vcwBtHvo{d^(xlP^q8c~%jYulpW ztq+xXdTT>5n3RXhz#2`pdstl3S1xZe#&7_07!BvZ0p_7jeJye0=_)iH*FIE zZNNXEm2Jxp=xiK@Vf$1{2vq>+T2Wx=&Pqwwq!K*aj#m1Bb|q?oz^F8Wu4aIS%JbZ% zzTqHE-*r=TM{rB}Hz*mh^s%EsBksi98=*EHq zzH!qNULlWRl+po{5G-{d^(k)4YFnwt(+%@& z0n-5Ff3>{m*7M)yB1}Q++Ln>der9-HOW{-icB~E>t{WS*rPG8TT_UodUOP)T02nWT zgbpSTI}>?sV1+pu0I`h553$gMXTc2hB7&QdC{%jDa6l~Mmt-^qdU$yOzg&zZ0gbfV z4buV_B=rIx0->Rm17(DYvqG2$w(~j@(ZvN!JT>hN3DZJMgAt}_Kan&mh^}q0es=yK zBoM2!oa(!GtK}S!9I64@c!Xb`fp~-GiP95ypJn`WiGPx%Q6}jqEhirzjv=ooZ7&LY z9@Y&O2rMWlD`o*^9gsT68CoKZfD$f@3XEP4QiICKqx*Cbc&33yM-MuY6`Fo$F$$KT zNk7wZ5cRq11=d~fnldV+QQI(Wnz(^T1M3k4 zon}~3Zl6d(V8hG=ws{wUeW1-77>lO6+zC)o(ztPPd!~3Pm$rU~8MGX)8!jNVoCliC%zV{!^1GFZ4LpaQ0j@iOH83pj zL`<8?kjwDB4 z9QjpFk*}4=FOh#7F0ZIYoZ0M1G0<;~>Af1M=%1kNg?{yT(F(okD)?aO5{QMSi_Reu@0! zAiuT)@*5wI{JK!lnQ!wOugKS5-{wE|N8|ZyiWMh~DMg#1U^es&D^t zd~X=t8pf6=BBNRhq6T1u`D$DeDHKUe6iFh&NP{1;bP$%fVbvv;c*9a-do1luMvsLh zfK0{jFu_D^FvAioFi8leI)VuirO5aL{ghgTAKF3AN)qJ~VI{)W?C218pndX(V@wh% zEjGre&0MWD7(-A=Dq;*>ms~@P;jb!!Pet%)+F?NBX76VSH12@5%igKIcTMgMG^1zO zur{+SP!F>68o(wCm1H!XG51kOih!jE-m*e(AeTr?FlEne0F%t?){1m}sTU*SqNk&{ zNHRj-EJnARi*BdX$tXs*jYYRpZ`3MAw_C+^8@f$=1|AhcDf&IS5;UM-o-HCY`amct zxstc9N6_B$2=cogVf#IG@`jByYYaY_dU9?klSM}lzD6D06Bc1GvWO>(5BW3wz(Pbz zk6`J=-=*@dZ(WlUSX;D`7lBS;k&0Tms#Ha>QLRv!`D}iwh>$H}){_4scte~(EuYER zAUq?rbiQfrNg!}u6`M^Xsr`ZW8XAc!G8ws~jFeHq^_q&msyI}wdKiNgdGUIMGX+0B zgWP2&p2!7*R8w+T`!D<4owm#&NihbCtQ|ljC5anEt)9nIib*8ZViHNMm_)K#63LQC zZX%L9Y}AxCIEKBLq@?7LHS#`4s-A{QvYPpLE~yC>oq5PiqV}EYGMh^xWt4GPa^s+P zXx!{cEgbNFeA>AEX3oamdpVEF8mk!{WP+-;!WmhNQ9gh$D!d--kZQ%`i}hmi#YO@7 zViE0cp^4Y_yJeLP2W0l+UA27I03j0MiD=i`R@kgdQtBsTT`WIQOI?5@tqCvGmt93# zj^QoTgW?O^yz^bX_CqYBzmTOu`U_bupud1_D`kA4baR{B+zwmAr43sb_VRP-ejRD? z-bFc$qhmGm@$m)R=+54PLGN^D?Z1E#;kzmYhov0!UaG;(qNs4d|MBAsJ8XF0`;_=m z;|qB)>6IWRyi!&+*P)3#4>!M_}n2b>?CS$A?kTDj~0vDQkZGQqn-Ei&Je#}eF zk0cN(aiRzVqZKY94B!h=AE2=#46s&R_7xgC!k`?o5mu78Ili&#FNztFmWvsYmWvpX zzJS$78DS{h+y*x%i#tRZHk?A*&(GEJ{hV@xESXQ_=orm>c!UAGSVd=EnI}_+s&thZ zVNh`Mf`Y?R4?9uM&2f*y0sn`OFv!~Z_K_;q{Ro2MjjEB6G(s*Tz zid0C(sK|w6jEYh~##lrPTxjaG{V62v6wFJJ7WW+}r;9K!THzzYpkzLvu_nTxuK0?^ zng~Pvpa=u6pDs~~8Ie|s8Ie|s7?Fl<*UAV(>E<@Mxg8GANgF<0v!9<+^TVPFc`08z zk)vZY^YIY|RjBCfwGeeHzs_0*eM(duy^6!q#DgLX+`M)z9Poeq2*dU#s2Y2J-R7tf z1}*1lnNRvBZxn2fPeNXDp&1!Rmxw7`X?UfUl)L$h*x=2c%@ zruddf9glduZH12rLw1@@R@OuqRu0sqBSj~KVgrO!>bmk|3Wa>*GdX*hv!0ihf z4oj;Y6k*`zwQJ#k|Kmp(wm(DFIR7=vEZ$hp`5+TjjaAmj;*8ZpA`IMo7GRYzYQc(IDkypm3#KJTQn?xXvZXk`0B{h;6iH+%F7hxs2qxS)vJ2NyQ%Z8}eI z0U(o6K_;N&Rl>-ki-+6_UgPFIlNGY4$%Q0~no>-%s1}ec7SRG1nRO}KpFXRd;*v)V zU$toXs)ewK69pF-t#A=sQ1XwEF)!;;R=+~VysYPtvk`S(H!f(!3`1+h3`1*03`0Y= z>t$G>ba0y--1a8`>!;YaplRg0S>*M5zDz!ahhsEz)~Lc$5>NV*!P9r)37p#WDS4d5 zlc;`Q`2}SM_#E}0<7sN%@xOxO`Wu9&aL&c@UAZ^frMv5h)yqND?KX((3RkBk~z{4um8weaeg1NPxE9e#?+_IeKD~#0T2IZ1?tCh@3-6&_6Du1Qe;a-&*86QsRLm zNg{CV-hRuG^GJyYwk?UUXzT5_A~}zgcv!YcBCP&<`z=b&BPAZz|B_e-Debo|IggZh z2qZ~j1EjRy(&Ri+`k06${0X|vMeN%$iBO)%XM}D%J-o?+BwqPtG?N1&x=~rcw(Fy zC$(Dl(v!2&MWrUHTwSp H6CK-|xI(#a literal 4566 zcmY+C2QVC5yu}e4i-;1vM}pP6)h!Y=+D4+U7A!u!MAYbQWuvYht9PPXy^9hpAw=(@ zZV;U(-+XW0ygPH|&fIf<|M}0EQ$~u5^Y?gX?3o(7r>jy2)CU6cQJy6-QH_LgCH@fL z%u^sOLy9JzMVu(Zc1WVkU4axf|)Yx#iWe2ow6?q#v9aRZj`gy zBKy0?SC|7^kvEYM&usFQ1@X>pThS;y1BDdgMCaONou#$%7ibOW`J+*R(M|ypoBI z2x->siJiy^L0M|pnZ^^{AU2V#|A5XZIak|4AChj&oxb2&p(DybSc(TpeWJ;xkE%8Y z)K(>MY1&xy$&&QNM0C2er3Awd+HCJB;wn6U8uj3@nzCI;^aJX#Pj*)<8L{5tpZea$ zoWGH}9B|zS(L-t`w{ZJXqBDjUhg?wIPh|rPUPQ_4=bp1NkH}?NZOi9iW!J6~O4;|O z1hLFE@{C$fa`1208jVb^^z`KjJr$O`Z$v8nDtN@~tIp0UiN{uZ5h5eA=jSZr9^vK} z2j?^4Y__B^*nVq3mxL+vOO5F6%|P`P{aV5LAJeS;Cdua_%3*~}7V5yUA8#jBNpMXBTAtC$=TiCQrf@J`+M*?RpkOFdDq;0rFV4!&Mc0AbK$Po~`(eKgb7b zVMv`Oa)pBss2>35DJzlf;K7d#FQ>4x%P2@Qk!PW!IdP!1rHd><$$_PIw^O{K`}IGJ z^-dBg6E(8;dR(n)QIZV-aNk4yQ>A%Z6n56X`TNo3?p!@JTz%;<-F;`5x4jjuEz7V` z#)K(oMJpL>Gwdli<9j*vPh2@9-k1JKSfQXV+E9abn!muH`}u1fcmbr7whdwj)_E1F z;+aXR;VJ7oALfNBeTseNwlLeF$*xugsT1=O)WaBsZPSUzxsMdb%OrUnrR(FYbEi_Z zG-}$z_1H^i>v_Fb6|5_!7N8F}tjqD!fb)||^KKsSffgbE{*V?k;UAB1;!TKt8VR^4 zl2T>97tr|gUj>#A4!dhv}DPUzF9?oT5qC)v9U3)mR)%V)h zM&W_6gQ2o8A^j`)%!QnZsPElPhjkU>xJwK?q@IkVyy8mbMQw32Ehild20yx4RS}F@ zT*ZJRHD>!?DlBErzXiWG?7c^Kl1pYO6f31&=G*Q>p+ztixFe@B+zqAI^-cI^C2ES_ zkG*)l;T1B#(seo}D=+6nkY5Mw{DqELTbvq(z2MygN{_^Dbq#Df<(-z$`Qp1Cc>3-x z@QXUTIy8=0^+*^+lb{p|1KKyt#w6RdvKSduwG~#szU&r;Z{|~K0nGb%bCxmnI>s2jv+*Zv zm+Sd8q4(Zn@l@gFzpF&hJ7+c@<8aA_yxmw_`Mjxzb>yEm(oHuRvCuw@wEoSARDqC= zjxM2U2uIOzUOo!YB}87Z<=zbYdjAWWK08+B%$hC|?41RYbv?D~TiiV3gwa`NASP;S zu*kiK31zF?pdPgbYU8w#{J+?jhRF zkQTJ;iOMx6Ogk2#BhdZyv(0(oPbDZfm0MFy?i?<&D9?k@qd1~eS;z1IWTB$NP4x+P-hOzJ*VHeNV>m%)##kXd`j}(GbGG6tjpiZ zcCHlA+X`K7>$(nbSsXz6o*Xyo<4h3|pK21F4pubk?*MxJYr6cyF0YqhUt)cqbr-uX zuG!NcUAJBY`|hn@Uw50!7!KPSxR$}(gaiV9gx#m?W7HGmrbncm&u=zcc;xO#>ONDh zBY(zi9(pdEQTY=jXrvU=WjxSmD)b@+)PWcj9Z# ztm0^8oS#C?YnkXa-@Txw?cmf{T5o<}{?^I1`dC1)t9aDd*5s$WHKPUJ>!7P-d9sCL zg7ecc#~JmS&bfqCPTNqZu7}vI!;kMKPINd=hTc~1lF5yc^0L?d1}4L9Ot}ucH>e~X zlX8>FfF49K-|}Xy%XKSDW9gGpH8-A@Kb-L#Hp0U2oq&&u*6&FYgl?i=e-(C=Ik{I+ zYV(=vX&uYhEab5(9|tRv@*{tABQ)VqpD=}84c)AfUGKj<7CWezmoWHJN(0kQY! zoU>epX0{Bns0rN<(eujwXm4>?8*r$_%Ndn8sK&PL7C)f;oQ;Q~DfZdMVoI@-EAfG4 zTuaLHZv)1xZLYNRU+g!IWuO~(&5)qe-V^zbFOGbM0WDSGdlrIi!)8K_q~>m~GK6mT zHP`2x!KZ_C3|w??g4GSJ5WH=mT?oy2PS)yDlG{YDjpTNYks`)G`O?^aAt^9~w|yNl zeCdsf-=kuEmJ`4_dxD`7-&yJ1=ro`i(gsBlFP)QghHaFTstyXZgw<+zpalK=qqZ5>P$o99-$=Us7E-kyTz)Dfz$G0HDe4JYAx69=A~(Y^ z`*?xuuc6lTW*j)LYGb5j%gX*wdli*BrY<9U8mPe{Q6$ie)P61;YWR#EB}TJI7c zp33|CDGUU{)Zd+&CR`!}fQo(hQ;R63C7;9y?f+I(=jFDbJcm*!>w?%VD0?X=`h<;^ zpdyu55y&1TL4i241s7Ik{r-`7ZiLJrd(@W>p@{ zZ%L>kuw1ovp@j|WYH{OZ+7G-rQ@qK2IoRB8Q8RrOobg$KqlA7ZBd(Wroe7w$_ieS- zvL+)`myg{d@fZ73gmrC4z76agnWYvXf#McNp*Y((pqEczmBw7kevf5UI3pQWh#ge6 z7XKtB2y*}5%A}vvjN6E?avSWni`J}qD-bL|nWr~WAdho9lmsOm?O=O% zH%JdQn@s0Y+Z=3Rnn8C-bKi;oZ_*?B|C_WnZb?MrlMFv{_NE^gE$U$cH+O`M7PA=+ z%j?M$n(?S_g&Cq#d>%BPU)1x9z9r(LwDE;)v))cdQ1|%UjCCdZYxWcJ;k<#e4d5qM zY0((IaYdzftar^>RrgU@qZ3VZsfWH$_5fnVshN#vYNUwZH?=XoCuU+8m2 zQ0-km$j|_x%PrcYcxKn@QmbJNA1_I&anP>{KvRYS{9hpy;9Fib(cF3uozg=tgGiA~ zmeaw!2?Ew}sDl~db28=+RO`U46sRjAW52rqu zYb3jt_Q?L4R@Nte$>5>uE<1+pXc3AeDKT{uGd&t-{voH{Ee0XjK52`XjhzvoRxDG>i=xW_IqiyB*d=qX060*}_JcPbLmnnjFUUNyk>pb|1sJp4aj(f^)0vdm==ws zWX4`i*OdaM?I^vuSSWnKf^3KoOLgMKu2w!CP0k=23ZsXz{eJ<*B%0X8Pt8W#-3)$YLf#&Zm7OpH;pSDtm;+kGFX$`g@)= z3QA%7y4LSF5vLQ$yPzab6c$|fCb0GEbq23n1CR^p9pG(uQ%!p--{u_R@;~*5*UuG0001ZoaI?tbD}U5e&1h_@p-r5;i{xDMo%4|dK&QXv6f7|di0_@$+pycNt<=?)+G!#fn`Y!=CgFj0 zd!vs(gYNKZ)F0?Qk$WvZGKE9ZZ}r>v>{YhKk`Ewyd8 zY{#-1vMRZ5$7(iJ6SuHaFG{Mn(P=H)F-CBISw}O11y)=9?FEfBDRoG!xQBH=CSJgE z*5N1w_QamEO7TW;qdN2{*d{b{sCM4FK=AqEP#xjrB45a`CJ3@SJhYCQoYm`>2IVwn z-2?fc8L@%bTg*8YEKY4}u67|RobHvO5J;)Ru$ShOt`kK}C&HE>fCNT-hB&El7UJ4p zNRzisN5&ftZ13-K(n5MqTEx0^RiySrRBbAz6b8ApEV1?C*G^k%O3%KtJ3Hjl}2B$ zGuG0001ZoaI?tQ=>2#efL*n`n+qn^rjPWw8fn|)pl!j?GqCqwHYJS z5W3r${qY-VrKJTHeb5p5Qu3Yel9L>g0O<7369Wsv1L}JxHT6)b0pdBnOTCAa+U@w_ zd%JdO9H2Sof(q#q7zaT9HilI@vOO^0#%yDVjL(!>>-@&u>K_~(D&Rb%%mtd>07?_8 zEvPBV5x60IK^+2y*m(pRxVZ$shz(3Ps)XQnu4EPJP5l_^4tqc{K`>!W1_U#o3u`vV zVrKkBaJ!jwoZ=_SmPTjN=?|{WUJt>wCF%Au9>&!VZTRzIs44AE8^Or5lZ-MFByF*M z-yA_crw`P_%!>LJ%;;1QZnf=0+npkqMAI{f<(wEJvwt@3-I)kR&2&#r7#4Iv(rYEr z0=2B+XmDwE##W^l=#m9|4&~T|0o-%}?)D}bm!6wZn`6E-e*63p!Piz! z&&TF{es7%_aUO&4$!KBS)oiELz-mKp+Pa3dhOX5$MQ=7-6~WjsqZ-Fl1jg-+Ir;`V zgR5b$Z+2znm3dzvFkKx1E;b+IBsHTEwjv)zkZww6bkEBe=ViqZuWVt0Vt*lEz5NI3!lt{c%60ULbPT-Y5m} z}?Da~s z*DB3ktu*^$8-7XI_phqVzFTSbqe`=%6kGMmdeEN~+ww|Fzu0-FwDgM|c1ugY*pp6a z=@)y*FD?CIPx)Vr{(D}0Zs6=!*(*4u+#BARZjAA}_SLt<_2<^DhJ^nCaauG0001Zob8)ibKABOfS;{jf#J(ZW^^oqcO}yYS*BCAx*c6|Mt$M% z0?KG9Qp1ZAHRJyFEcYY8b_^82QA|~L3v7095mGUPl|k!(p7}AI1bY`3gZ>^P>@F+ zD^3wp;Y2=)vs;p&G!C*)PC~e-Y{5R#EJeNHWP&zMl0{IlP{SJUR24OyXp7=J+vFJv zXh>2NB=NeC1_=5H1?>I5g+-nm#;~b6_ueWji9e}@s;^RK5r6|rr{Q)x%(^eGOi(uxmf^iopRM) z9Qm|>Q<_|2ofMX#0hk#9aMpU3yQ!DZ4ezA4N(f;lw5ujVvT|TF2)ZdLJ!pJTAIvRA zz}aj<>N##mP*_%V_SJT@+FZdWn%x3ysJW(v-)%71$0~@otqp&qX-tJ z;1G5(q{S$tXzfIK;CNY{09tojIm7^gA}-=C6ktn_2~ zv3n_^tDJ({lB^<8>tMaZr{s(zJZLA5_ZyPX>?ibp;v%z%AEvQXW=HwjCERk*#R^=e z?m)a#zb|Btv7&u{hZlp612ySPt%(|zDr%BZqox5h`An^WnxrWuHOUZb z)Ks9RoT)WY!&*g6mTJ@#pr)RwHBiG^wHsigz8k=n+SAU|ny5+DZh+a1nkrVCfhvi0Y$6f{XKamGu3GH|iZ0c$!NN8@V{42ywVI=< zR!`VqjxJAfq#7^guLzrF809u7U593JjwCuHG*TANCECR6VUAp4 zo3s1(zW@(}S5Kqs_2<@jWKYJ7$aFlOKZ=nVa|CDD>rclwi&3Ga0`y@zUQBl1c5q0P z(QIq;5P5)xL7-8ZIgv+p#R7k@aQFZL(zGn0n~>Nk35l1*tnEA2@ZiCM1Y0W;J-@qt zEwQV`)M7>7PtYAxD$WnZCc$PcKStoAZ9>)xc+bz=mjMrdV|ZQ3DA{%E4;e5POLl+M z&R~^)znIr`gjbIO+VTBhj?8I~LwOon119U5J)qP6Ii#JJic&aLPSwc7$T}vdii{|`R@!7ZFi5O9pz1U2k(W2h$@{hM6`0L#NBgkyIEDveXb`e7d{6}*=gBE|_rL@$$7 z>71=Lhe+|5fIl!$kFr&@g7?BgL_cM^YLpW}{$A46Z+#lnl>J$-ijX>^rxAS(oP!~M z-0iQtTX^g?;n>T@TLpewz!E-0U-ZwXsTbdoS6{(HdABMO`c8`^xz%SEoz{GbzBJt)qL8|YlWdv%Q=I$;5)uS3DD;l(r`eN=OIMGzmqU8ERtVt# e-!Zvqi8{UMk49zPh22}*`zSBp+Wr%EjUm9M1Hp3u literal 1930 zcmV;52X*+Y4*>uG0001Zob8%fbK5u)fS;{jfzrz+Ra%?(k<>iMGM(X$uF;V#?F)+s zC}D>pSv;I*Qr_Rb4S*6u9TzFLt?7|G`c@DS`8RCH>j7Sn~W@11$x(ek3`cH z|1JrFiMmmNGZ&h(G|Ak8BysEJ1}%~@LuHOp5+3RCw;TmHC(9TWNfPB96eUaIqp*y9 z+MFY*!i@uz6f2yeJPC_0Zibnt8bN<0MUJ|I@ff9UR>aVetD%Fps(4Q~-k_u`(y~Ay ziExg>ELn4Dh+qyBCK+BPBwn)7??~)NWk5d$_<{HsrCGAf-1VA@60nG@%ZRzc^}XLs z!%WZf#3ycn0~92FxyEt9JHt>C!(40rk@|$crWwxjXoK?7`#1IrrlClfSpaUGGu3_) z2ZY0^Os=qwxn-yiX2t-Vj-HlY?q?)rlXRCE#?*vPJ!F8FF02Md_Z6ilgZKJ_xqJoO zG>wSwdJ#rZ)z#f~+tTVV1z$+90@%=VO}O73FxV~7rVa{{Q{c>tJOQ0)38BG*g(}#G z&6kv~LXOsMT!yY+lo_D)Hnl?(5GZ0I<^mT}+7Kdc3HD^(oZ^fH?b!AIKoXk%1N{?w$l~!sHCD=;xLkXfSq{2rgGbfv ziMQ%^jnpyPw3}~VBZ&k26`Dm&H)KhcBx*nGkUjh5kF7_>js9B^0G9digKzp)E!d!y zgxYONCU(~ttqcM93hmYvNUn-aN{twfCWCGtrnm|tcbS9aU2ilP+kI=|+}YFK=(9Dk z?mEaGj_0!ux}S^&&U7@N^sEjVPb_D;7|wpRruMXh`aP@P2kB@!+ZTVfr}m7hnD+0S zS$90{-uA8cbjGJBsDevh5Jl33a z6F7+%PK=YR2^A+<*BYD*;3Qo*aZaLCbCQe(CmlG+7fy_mq$w3A$q*WxG~lFMIB`y* zR&$c21}7CbsTWR+lc?2sTr`?_Tn0|sg%jr_)p=Z$n|WLTPWputF#UpQ4&rdnrlMQ>zr1G3ZP!l{ZUwcd8B)~udj-z`o&wT$Mo zGXkXLob z1|-f4H}>(ixy|m-_wLG}cq%fIM%c-5g#99+LqE`Qdspc2ZAc|2ejy&=bvvKfwCU%G zxI;+1iswn6?on3Eiw9|6)H%EpH|h_EC;nh~resv?%;rtIDOTwI(>Ms#?)}TUYZAPD zmd_UNCu?X;x{S%o%#Kj9?-c=i#ZZSPXFgBoee?u|u=EWcueC{>~q%}azFjS$7ust8e)#%aS;YIbid zLX=m4w2Kgvdnx@aHQbMm5LvBDI7MhwaHd+bdutJ5zG8%^Rh=qb3f1Eg!td$XjlJ3| zRJEGj8;cP2H7ixE+6VH_QmOvvbD*Z|PJwlRG#R~&=zHMoE&20qf9IXTbGHbGULL(o zVAlk6w!ipO7fY}-zp_%E!+C7AT5e9?^0G&gw|Do>J@7!^9^9zJhg#Yn*^60+&{vVU zSbYjf;*>dbsyMf6J#}~1nBgT$7+mBh>lA(ldm=Y>qs^bVexn$bqNZq?Bny(>=#gXr zd*P^7@^zp(0a@a_ptI|)97vw8tFEZZnkP%Hq{@;a39_aJVr`SVPE)WVtDF%&KJJs? z%in|%csF}IeDCY^*LC!iiS!(kJ&pAUf1Nr@9OJBdX8J4$;Tiw6qyB%Nhey`>__liL zpZq`i7m(af9&q-=!B<&){iySzqU*BZ>we&QswfL#XnL9^yI9A8@&XxtALj%(#c_aR zpS@t++Xggl9uNB{`+a2Ar`6)GD#LL`lL*-wR><^IOFoZQB4|uo7UQ}FpdZ@ix(fvfx2KP@f`B;8>ebb)}%BBn3 QSIN5|uU;kp7gWU32~5htUH||9 diff --git a/shifter_8b/shifter_8b.asm.rpt b/shifter_8b/shifter_8b.asm.rpt index ab487c3..354db37 100644 --- a/shifter_8b/shifter_8b.asm.rpt +++ b/shifter_8b/shifter_8b.asm.rpt @@ -1,5 +1,5 @@ Assembler report for shifter_8b -Mon Mar 07 11:15:57 2022 +Tue Mar 08 15:17:18 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -10,8 +10,8 @@ Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition 2. Assembler Summary 3. Assembler Settings 4. Assembler Generated Files - 5. Assembler Device Options: D:/dev/quartus/shifter_8b/shifter_8b.sof - 6. Assembler Device Options: D:/dev/quartus/shifter_8b/shifter_8b.pof + 5. Assembler Device Options: D:/projects/quartus/shifter_8b/shifter_8b.sof + 6. Assembler Device Options: D:/projects/quartus/shifter_8b/shifter_8b.pof 7. Assembler Messages @@ -38,7 +38,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Mon Mar 07 11:15:57 2022 ; +; Assembler Status ; Successful - Tue Mar 08 15:17:18 2022 ; ; Revision Name ; shifter_8b ; ; Top-level Entity Name ; shifter_8b ; ; Family ; Cyclone II ; @@ -76,37 +76,37 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+----------+---------------+ -+------------------------------------------+ -; Assembler Generated Files ; -+------------------------------------------+ -; File Name ; -+------------------------------------------+ -; D:/dev/quartus/shifter_8b/shifter_8b.sof ; -; D:/dev/quartus/shifter_8b/shifter_8b.pof ; -+------------------------------------------+ ++-----------------------------------------------+ +; Assembler Generated Files ; ++-----------------------------------------------+ +; File Name ; ++-----------------------------------------------+ +; D:/projects/quartus/shifter_8b/shifter_8b.sof ; +; D:/projects/quartus/shifter_8b/shifter_8b.pof ; ++-----------------------------------------------+ -+--------------------------------------------------------------------+ -; Assembler Device Options: D:/dev/quartus/shifter_8b/shifter_8b.sof ; -+----------------+---------------------------------------------------+ -; Option ; Setting ; -+----------------+---------------------------------------------------+ -; Device ; EP2C8Q208C8 ; -; JTAG usercode ; 0xFFFFFFFF ; -; Checksum ; 0x000C73F5 ; -+----------------+---------------------------------------------------+ ++-------------------------------------------------------------------------+ +; Assembler Device Options: D:/projects/quartus/shifter_8b/shifter_8b.sof ; ++----------------+--------------------------------------------------------+ +; Option ; Setting ; ++----------------+--------------------------------------------------------+ +; Device ; EP2C8Q208C8 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x000C22C5 ; ++----------------+--------------------------------------------------------+ -+--------------------------------------------------------------------+ -; Assembler Device Options: D:/dev/quartus/shifter_8b/shifter_8b.pof ; -+--------------------+-----------------------------------------------+ -; Option ; Setting ; -+--------------------+-----------------------------------------------+ -; Device ; EPCS4 ; -; JTAG usercode ; 0x00000000 ; -; Checksum ; 0x06F0A9BA ; -; Compression Ratio ; 3 ; -+--------------------+-----------------------------------------------+ ++-------------------------------------------------------------------------+ +; Assembler Device Options: D:/projects/quartus/shifter_8b/shifter_8b.pof ; ++--------------------+----------------------------------------------------+ +; Option ; Setting ; ++--------------------+----------------------------------------------------+ +; Device ; EPCS4 ; +; JTAG usercode ; 0x00000000 ; +; Checksum ; 0x06F00042 ; +; Compression Ratio ; 3 ; ++--------------------+----------------------------------------------------+ +--------------------+ @@ -115,15 +115,15 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II Assembler Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Mon Mar 07 11:15:56 2022 + Info: Processing started: Tue Mar 08 15:17:18 2022 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b Info: Writing out detailed assembly data for power analysis Info: Assembler is generating device programming files Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled Info: Quartus II Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 221 megabytes - Info: Processing ended: Mon Mar 07 11:15:57 2022 - Info: Elapsed time: 00:00:01 + Info: Peak virtual memory: 241 megabytes + Info: Processing ended: Tue Mar 08 15:17:18 2022 + Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 diff --git a/shifter_8b/shifter_8b.done b/shifter_8b/shifter_8b.done index c251748..028fc07 100644 --- a/shifter_8b/shifter_8b.done +++ b/shifter_8b/shifter_8b.done @@ -1 +1 @@ -Mon Mar 07 11:17:08 2022 +Tue Mar 08 15:17:19 2022 diff --git a/shifter_8b/shifter_8b.fit.rpt b/shifter_8b/shifter_8b.fit.rpt index c9cea6f..8ed0afd 100644 --- a/shifter_8b/shifter_8b.fit.rpt +++ b/shifter_8b/shifter_8b.fit.rpt @@ -1,5 +1,5 @@ Fitter report for shifter_8b -Mon Mar 07 11:15:55 2022 +Tue Mar 08 15:17:17 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -63,7 +63,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Fitter Summary ; +------------------------------------+----------------------------------------------+ -; Fitter Status ; Successful - Mon Mar 07 11:15:55 2022 ; +; Fitter Status ; Successful - Tue Mar 08 15:17:17 2022 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; shifter_8b ; ; Top-level Entity Name ; shifter_8b ; @@ -91,6 +91,7 @@ applicable agreement for further details. ; Minimum Core Junction Temperature ; 0 ; ; ; Maximum Core Junction Temperature ; 85 ; ; ; Fit Attempts to Skip ; 0 ; 0.0 ; +; Device I/O Standard ; 3.3-V LVTTL ; ; ; Use smart compilation ; Off ; Off ; ; Use TimeQuest Timing Analyzer ; Off ; Off ; ; Router Timing Optimization Level ; Normal ; Normal ; @@ -137,7 +138,7 @@ applicable agreement for further details. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 6 ; +; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.00 ; @@ -146,7 +147,6 @@ applicable agreement for further details. ; Usage by Processor ; % Time Used ; ; 1 processor ; 100.0% ; ; 2-4 processors ; < 0.1% ; -; 5-6 processors ; 0.0% ; +----------------------------+-------------+ @@ -186,7 +186,7 @@ applicable agreement for further details. +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in D:/dev/quartus/shifter_8b/shifter_8b.pin. +The pin-out file can be found in D:/projects/quartus/shifter_8b/shifter_8b.pin. +--------------------------------------------------------------------+ @@ -217,7 +217,7 @@ The pin-out file can be found in D:/dev/quartus/shifter_8b/shifter_8b.pin. ; User inserted logic elements ; 0 ; ; Virtual pins ; 0 ; ; I/O pins ; 19 / 138 ( 14 % ) ; -; -- Clock pins ; 2 / 4 ( 50 % ) ; +; -- Clock pins ; 0 / 4 ( 0 % ) ; ; Global signals ; 0 ; ; M4Ks ; 0 / 36 ( 0 % ) ; ; Total block memory bits ; 0 / 165,888 ( 0 % ) ; @@ -246,17 +246,17 @@ The pin-out file can be found in D:/dev/quartus/shifter_8b/shifter_8b.pin. +------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; +------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ -; A0 ; 15 ; 1 ; 0 ; 14 ; 3 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; A1 ; 63 ; 4 ; 3 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; A2 ; 23 ; 1 ; 0 ; 9 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; A3 ; 24 ; 1 ; 0 ; 9 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; A4 ; 27 ; 1 ; 0 ; 9 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; A5 ; 28 ; 1 ; 0 ; 9 ; 3 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; A6 ; 67 ; 4 ; 9 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; A7 ; 13 ; 1 ; 0 ; 16 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; DM ; 205 ; 2 ; 1 ; 19 ; 0 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; LM ; 30 ; 1 ; 0 ; 8 ; 0 ; 7 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; RM ; 35 ; 1 ; 0 ; 7 ; 1 ; 7 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A0 ; 77 ; 4 ; 18 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; A1 ; 80 ; 4 ; 23 ; 0 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; A2 ; 81 ; 4 ; 23 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; A3 ; 82 ; 4 ; 23 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; A4 ; 84 ; 4 ; 25 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; A5 ; 86 ; 4 ; 25 ; 0 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; A6 ; 87 ; 4 ; 25 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; A7 ; 88 ; 4 ; 25 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; DM ; 68 ; 4 ; 12 ; 0 ; 1 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; LM ; 69 ; 4 ; 12 ; 0 ; 0 ; 7 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; RM ; 67 ; 4 ; 9 ; 0 ; 0 ; 7 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ @@ -265,14 +265,14 @@ The pin-out file can be found in D:/dev/quartus/shifter_8b/shifter_8b.pin. +------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; +------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ -; Y0 ; 48 ; 1 ; 0 ; 2 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y1 ; 40 ; 1 ; 0 ; 5 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y2 ; 33 ; 1 ; 0 ; 8 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y3 ; 208 ; 2 ; 1 ; 19 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y4 ; 34 ; 1 ; 0 ; 7 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y5 ; 31 ; 1 ; 0 ; 8 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y6 ; 39 ; 1 ; 0 ; 5 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y7 ; 60 ; 4 ; 3 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y0 ; 142 ; 3 ; 34 ; 12 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y1 ; 143 ; 3 ; 34 ; 13 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y2 ; 144 ; 3 ; 34 ; 13 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y3 ; 145 ; 3 ; 34 ; 14 ; 4 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y4 ; 146 ; 3 ; 34 ; 15 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y5 ; 147 ; 3 ; 34 ; 15 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y6 ; 149 ; 3 ; 34 ; 16 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y7 ; 150 ; 3 ; 34 ; 16 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ @@ -281,10 +281,10 @@ The pin-out file can be found in D:/dev/quartus/shifter_8b/shifter_8b.pin. +----------+------------------+---------------+--------------+ ; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; +----------+------------------+---------------+--------------+ -; 1 ; 16 / 32 ( 50 % ) ; 3.3V ; -- ; -; 2 ; 2 / 35 ( 6 % ) ; 3.3V ; -- ; -; 3 ; 1 / 35 ( 3 % ) ; 3.3V ; -- ; -; 4 ; 3 / 36 ( 8 % ) ; 3.3V ; -- ; +; 1 ; 2 / 32 ( 6 % ) ; 3.3V ; -- ; +; 2 ; 0 / 35 ( 0 % ) ; 3.3V ; -- ; +; 3 ; 9 / 35 ( 26 % ) ; 3.3V ; -- ; +; 4 ; 11 / 36 ( 31 % ) ; 3.3V ; -- ; +----------+------------------+---------------+--------------+ @@ -295,19 +295,19 @@ The pin-out file can be found in D:/dev/quartus/shifter_8b/shifter_8b.pin. +----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ ; 1 ; 0 ; 1 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; ; 2 ; 1 ; 1 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; -; 3 ; 2 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 4 ; 3 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 5 ; 4 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 6 ; 5 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 3 ; 2 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 4 ; 3 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 5 ; 4 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 6 ; 5 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 7 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 8 ; 6 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 8 ; 6 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 10 ; 7 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 11 ; 8 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 12 ; 9 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 13 ; 10 ; 1 ; A7 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 14 ; 18 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 15 ; 19 ; 1 ; A0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 10 ; 7 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 11 ; 8 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 12 ; 9 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 13 ; 10 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 14 ; 18 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 15 ; 19 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 16 ; 20 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; ; 17 ; 21 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; ; 18 ; 22 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; @@ -315,32 +315,32 @@ The pin-out file can be found in D:/dev/quartus/shifter_8b/shifter_8b.pin. ; 20 ; 24 ; 1 ; ^DATA0 ; input ; ; ; -- ; ; -- ; -- ; ; 21 ; 25 ; 1 ; ^DCLK ; ; ; ; -- ; ; -- ; -- ; ; 22 ; 26 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; -; 23 ; 27 ; 1 ; A2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 24 ; 28 ; 1 ; A3 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 23 ; 27 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 24 ; 28 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 25 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 26 ; 29 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; -; 27 ; 30 ; 1 ; A4 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 28 ; 31 ; 1 ; A5 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 27 ; 30 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 28 ; 31 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 29 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 30 ; 32 ; 1 ; LM ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 31 ; 33 ; 1 ; Y5 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 30 ; 32 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 31 ; 33 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 32 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 33 ; 35 ; 1 ; Y2 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 34 ; 36 ; 1 ; Y4 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 35 ; 37 ; 1 ; RM ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 33 ; 35 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 34 ; 36 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 35 ; 37 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 36 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 37 ; 39 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 37 ; 39 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 38 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 39 ; 43 ; 1 ; Y6 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 40 ; 44 ; 1 ; Y1 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 41 ; 45 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 39 ; 43 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 40 ; 44 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 41 ; 45 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 42 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 43 ; 48 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 44 ; 49 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 45 ; 50 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 46 ; 51 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 47 ; 52 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 48 ; 53 ; 1 ; Y0 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 43 ; 48 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 44 ; 49 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 45 ; 50 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 46 ; 51 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 47 ; 52 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 48 ; 53 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 49 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 50 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; ; 51 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; @@ -348,69 +348,69 @@ The pin-out file can be found in D:/dev/quartus/shifter_8b/shifter_8b.pin. ; 53 ; ; ; VCCA_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 54 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; ; 55 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 56 ; 54 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 57 ; 55 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 58 ; 56 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 59 ; 57 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 60 ; 58 ; 4 ; Y7 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 61 ; 59 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 56 ; 54 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 57 ; 55 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 58 ; 56 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 59 ; 57 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 60 ; 58 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 61 ; 59 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 62 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 63 ; 60 ; 4 ; A1 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 64 ; 61 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 63 ; 60 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 64 ; 61 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 65 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 66 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 67 ; 69 ; 4 ; A6 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 68 ; 70 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 69 ; 71 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 70 ; 74 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 67 ; 69 ; 4 ; RM ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 68 ; 70 ; 4 ; DM ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 69 ; 71 ; 4 ; LM ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 70 ; 74 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 71 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 72 ; 75 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 72 ; 75 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 73 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 74 ; 76 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 75 ; 77 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 76 ; 78 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 77 ; 79 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 74 ; 76 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 75 ; 77 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 76 ; 78 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 77 ; 79 ; 4 ; A0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 78 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 79 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 80 ; 82 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 81 ; 83 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 82 ; 84 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 80 ; 82 ; 4 ; A1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 81 ; 83 ; 4 ; A2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 82 ; 84 ; 4 ; A3 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 83 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 84 ; 85 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 84 ; 85 ; 4 ; A4 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 85 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 86 ; 86 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 87 ; 87 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 88 ; 88 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 89 ; 89 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 90 ; 90 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 86 ; 86 ; 4 ; A5 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 87 ; 87 ; 4 ; A6 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 88 ; 88 ; 4 ; A7 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 89 ; 89 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 90 ; 90 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 91 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 92 ; 91 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 92 ; 91 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 93 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 94 ; 92 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 95 ; 93 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 96 ; 94 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 97 ; 95 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 94 ; 92 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 95 ; 93 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 96 ; 94 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 97 ; 95 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 98 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 99 ; 96 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 99 ; 96 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 100 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 101 ; 97 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 102 ; 98 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 103 ; 99 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 104 ; 100 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 105 ; 101 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 106 ; 102 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 107 ; 105 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 101 ; 97 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 102 ; 98 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 103 ; 99 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 104 ; 100 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 105 ; 101 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 106 ; 102 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 107 ; 105 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 108 ; 106 ; 3 ; ~LVDS54p/nCEO~ ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; 109 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 110 ; 107 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 110 ; 107 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 111 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 112 ; 108 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 113 ; 109 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 114 ; 110 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 115 ; 112 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 116 ; 113 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 117 ; 114 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 118 ; 117 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 112 ; 108 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 113 ; 109 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 114 ; 110 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 115 ; 112 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 116 ; 113 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 117 ; 114 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 118 ; 117 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 119 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 120 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 121 ; 121 ; 3 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; @@ -419,32 +419,32 @@ The pin-out file can be found in D:/dev/quartus/shifter_8b/shifter_8b.pin. ; 124 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 125 ; 123 ; 3 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; ; 126 ; 124 ; 3 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; -; 127 ; 125 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 128 ; 126 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 127 ; 125 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 128 ; 126 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 129 ; 127 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 130 ; 128 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 131 ; 129 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 132 ; 130 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 133 ; 131 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 134 ; 132 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 135 ; 133 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 133 ; 131 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 134 ; 132 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 135 ; 133 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 136 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 137 ; 134 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 138 ; 135 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 139 ; 136 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 137 ; 134 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 138 ; 135 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 139 ; 136 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 141 ; 137 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 142 ; 138 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 143 ; 141 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 144 ; 142 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 145 ; 143 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 146 ; 149 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 147 ; 150 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 141 ; 137 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 142 ; 138 ; 3 ; Y0 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 143 ; 141 ; 3 ; Y1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 144 ; 142 ; 3 ; Y2 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 145 ; 143 ; 3 ; Y3 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 146 ; 149 ; 3 ; Y4 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 147 ; 150 ; 3 ; Y5 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; 148 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 149 ; 151 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 150 ; 152 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 151 ; 153 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 152 ; 154 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 149 ; 151 ; 3 ; Y6 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 150 ; 152 ; 3 ; Y7 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 151 ; 153 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 152 ; 154 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 153 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 154 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; ; 155 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; @@ -452,55 +452,55 @@ The pin-out file can be found in D:/dev/quartus/shifter_8b/shifter_8b.pin. ; 157 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 158 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; ; 159 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 160 ; 155 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 161 ; 156 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 162 ; 157 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 163 ; 158 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 164 ; 159 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 165 ; 160 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 160 ; 155 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 161 ; 156 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 162 ; 157 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 163 ; 158 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 164 ; 159 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 165 ; 160 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 166 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 167 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 168 ; 161 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 169 ; 162 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 170 ; 163 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 171 ; 164 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 168 ; 161 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 169 ; 162 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 170 ; 163 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 171 ; 164 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 172 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 173 ; 165 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 173 ; 165 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 174 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 175 ; 168 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 176 ; 169 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 175 ; 168 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 176 ; 169 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 177 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 178 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 179 ; 173 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 180 ; 174 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 181 ; 175 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 182 ; 176 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 179 ; 173 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 180 ; 174 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 181 ; 175 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 182 ; 176 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 183 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 184 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 185 ; 180 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 185 ; 180 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 186 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 187 ; 181 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 188 ; 182 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 189 ; 183 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 187 ; 181 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 188 ; 182 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 189 ; 183 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 190 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 191 ; 184 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 192 ; 185 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 193 ; 186 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 191 ; 184 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 192 ; 185 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 193 ; 186 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 194 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 195 ; 187 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 195 ; 187 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 196 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 197 ; 191 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 198 ; 192 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 199 ; 195 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 200 ; 196 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 201 ; 197 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 197 ; 191 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 198 ; 192 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 199 ; 195 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 200 ; 196 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 201 ; 197 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 202 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 203 ; 198 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 203 ; 198 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 204 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 205 ; 199 ; 2 ; DM ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 206 ; 200 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 207 ; 201 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 208 ; 202 ; 2 ; Y3 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 205 ; 199 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 206 ; 200 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 207 ; 201 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 208 ; 202 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ Note: Pin directions (input, output or bidir) are based on device operating in user mode. @@ -571,10 +571,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RM ; Input ; 6 ; 6 ; -- ; -- ; ; DM ; Input ; 6 ; 6 ; -- ; -- ; ; LM ; Input ; 6 ; 6 ; -- ; -- ; -; A2 ; Input ; 0 ; 0 ; -- ; -- ; -; A3 ; Input ; 0 ; 0 ; -- ; -- ; -; A4 ; Input ; 0 ; 0 ; -- ; -- ; -; A5 ; Input ; 0 ; 0 ; -- ; -- ; +; A2 ; Input ; 6 ; 6 ; -- ; -- ; +; A3 ; Input ; 6 ; 6 ; -- ; -- ; +; A4 ; Input ; 6 ; 6 ; -- ; -- ; +; A5 ; Input ; 6 ; 6 ; -- ; -- ; ; A6 ; Input ; 6 ; 6 ; -- ; -- ; ; A7 ; Input ; 6 ; 6 ; -- ; -- ; +------+----------+---------------+---------------+-----------------------+-----+ @@ -586,8 +586,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Source Pin / Fanout ; Pad To Core Index ; Setting ; +-----------------------------------------+-------------------+---------+ ; A0 ; ; ; -; - triple_selector_8b:inst|inst3 ; 1 ; 6 ; -; - triple_selector_8b:inst|inst7~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst3 ; 0 ; 6 ; +; - triple_selector_8b:inst|inst7~0 ; 0 ; 6 ; ; A1 ; ; ; ; - triple_selector_8b:inst|inst3 ; 0 ; 6 ; ; - triple_selector_8b:inst|inst7~0 ; 0 ; 6 ; @@ -610,17 +610,29 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - triple_selector_8b:inst|inst27~0 ; 0 ; 6 ; ; - triple_selector_8b:inst|inst31 ; 0 ; 6 ; ; LM ; ; ; -; - triple_selector_8b:inst|inst7~0 ; 0 ; 6 ; +; - triple_selector_8b:inst|inst7~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst11~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst15~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst19~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst23~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst27~0 ; 1 ; 6 ; +; - triple_selector_8b:inst|inst31 ; 1 ; 6 ; +; A2 ; ; ; +; - triple_selector_8b:inst|inst7 ; 0 ; 6 ; ; - triple_selector_8b:inst|inst11~0 ; 0 ; 6 ; ; - triple_selector_8b:inst|inst15~0 ; 0 ; 6 ; +; A3 ; ; ; +; - triple_selector_8b:inst|inst11 ; 0 ; 6 ; +; - triple_selector_8b:inst|inst15~0 ; 0 ; 6 ; +; - triple_selector_8b:inst|inst19~0 ; 0 ; 6 ; +; A4 ; ; ; +; - triple_selector_8b:inst|inst15 ; 0 ; 6 ; ; - triple_selector_8b:inst|inst19~0 ; 0 ; 6 ; ; - triple_selector_8b:inst|inst23~0 ; 0 ; 6 ; -; - triple_selector_8b:inst|inst27~0 ; 0 ; 6 ; -; - triple_selector_8b:inst|inst31 ; 0 ; 6 ; -; A2 ; ; ; -; A3 ; ; ; -; A4 ; ; ; ; A5 ; ; ; +; - triple_selector_8b:inst|inst19 ; 0 ; 6 ; +; - triple_selector_8b:inst|inst23~0 ; 0 ; 6 ; +; - triple_selector_8b:inst|inst27~0 ; 0 ; 6 ; ; A6 ; ; ; ; - triple_selector_8b:inst|inst23 ; 0 ; 6 ; ; - triple_selector_8b:inst|inst27~0 ; 0 ; 6 ; @@ -669,14 +681,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------+-----------------------+ ; Interconnect Resource Type ; Usage ; +----------------------------+-----------------------+ -; Block interconnects ; 19 / 26,052 ( < 1 % ) ; -; C16 interconnects ; 2 / 1,156 ( < 1 % ) ; -; C4 interconnects ; 24 / 17,952 ( < 1 % ) ; -; Direct links ; 2 / 26,052 ( < 1 % ) ; +; Block interconnects ; 20 / 26,052 ( < 1 % ) ; +; C16 interconnects ; 3 / 1,156 ( < 1 % ) ; +; C4 interconnects ; 38 / 17,952 ( < 1 % ) ; +; Direct links ; 0 / 26,052 ( 0 % ) ; ; Global clocks ; 0 / 8 ( 0 % ) ; ; Local interconnects ; 6 / 8,256 ( < 1 % ) ; -; R24 interconnects ; 0 / 1,020 ( 0 % ) ; -; R4 interconnects ; 4 / 22,440 ( < 1 % ) ; +; R24 interconnects ; 3 / 1,020 ( < 1 % ) ; +; R4 interconnects ; 23 / 22,440 ( < 1 % ) ; +----------------------------+-----------------------+ @@ -777,7 +789,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Error detection CRC ; Off ; ; nCEO ; As output driving ground ; ; ASDO,nCSO ; As input tri-stated ; -; Reserve all unused pins ; As output driving ground ; +; Reserve all unused pins ; As input tri-stated ; ; Base pin-out file on sameframe device ; Off ; +----------------------------------------------+--------------------------+ @@ -852,6 +864,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Name ; Value ; +------------------------------------+------------+ ; Auto Fit Point 2 - Fit Attempt 1 ; ff ; +; Early Wire Use - Fit Attempt 1 ; 0 ; +; Early Slack - Fit Attempt 1 ; 2147483639 ; ; Auto Fit Point 5 - Fit Attempt 1 ; ff ; ; Mid Wire Use - Fit Attempt 1 ; 0 ; ; Mid Slack - Fit Attempt 1 ; 2147483639 ; @@ -878,10 +892,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Name ; Value ; +------------------------------------+-------------+ ; Early Slack - Fit Attempt 1 ; 2147483639 ; +; Early Wire Use - Fit Attempt 1 ; 0 ; +; Peak Regional Wire - Fit Attempt 1 ; 1 ; ; Mid Slack - Fit Attempt 1 ; 2147483639 ; ; Late Slack - Fit Attempt 1 ; -2147483648 ; -; Early Wire Use - Fit Attempt 1 ; 0 ; -; Peak Regional Wire - Fit Attempt 1 ; 0 ; ; Late Wire Use - Fit Attempt 1 ; 0 ; ; Time - Fit Attempt 1 ; 0 ; +------------------------------------+-------------+ @@ -893,9 +907,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II Fitter Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Mon Mar 07 11:15:54 2022 + Info: Processing started: Tue Mar 08 15:17:16 2022 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b -Info: Parallel compilation is enabled and will use 4 of the 6 processors detected +Info: Parallel compilation is enabled and will use 4 of the 4 processors detected Info: Selected device EP2C8Q208C8 for design "shifter_8b" Info: Low junction temperature is 0 degrees C Info: High junction temperature is 85 degrees C @@ -908,40 +922,11 @@ Info: Fitter converted 3 user pins into dedicated programming pins Info: Pin ~ASDO~ is reserved at location 1 Info: Pin ~nCSO~ is reserved at location 2 Info: Pin ~LVDS54p/nCEO~ is reserved at location 108 -Warning: No exact pin location assignment(s) for 19 pins of 19 total pins - Info: Pin Y0 not assigned to an exact location on the device - Info: Pin Y1 not assigned to an exact location on the device - Info: Pin Y2 not assigned to an exact location on the device - Info: Pin Y3 not assigned to an exact location on the device - Info: Pin Y4 not assigned to an exact location on the device - Info: Pin Y5 not assigned to an exact location on the device - Info: Pin Y6 not assigned to an exact location on the device - Info: Pin Y7 not assigned to an exact location on the device - Info: Pin A0 not assigned to an exact location on the device - Info: Pin A1 not assigned to an exact location on the device - Info: Pin RM not assigned to an exact location on the device - Info: Pin DM not assigned to an exact location on the device - Info: Pin LM not assigned to an exact location on the device - Info: Pin A2 not assigned to an exact location on the device - Info: Pin A3 not assigned to an exact location on the device - Info: Pin A4 not assigned to an exact location on the device - Info: Pin A5 not assigned to an exact location on the device - Info: Pin A6 not assigned to an exact location on the device - Info: Pin A7 not assigned to an exact location on the device Info: Fitter is using the Classic Timing Analyzer Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time. Info: Starting register packing Info: Finished register packing Extra Info: No registers were packed into other blocks -Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement - Info: Number of I/O pins in group: 19 (unused VREF, 3.3V VCCIO, 11 input, 8 output, 0 bidirectional) - Info: I/O standards used: 3.3-V LVTTL. -Info: I/O bank details before I/O pin placement - Info: Statistics of I/O banks - Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available - Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available - Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available - Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available Info: Fitter preparation operations ending: elapsed time is 00:00:00 Info: Fitter placement preparation operations beginning Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 @@ -950,7 +935,7 @@ Info: Fitter placement was successful Info: Fitter placement operations ending: elapsed time is 00:00:00 Info: Fitter routing operations beginning Info: Average interconnect usage is 0% of the available device resources - Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y9 + Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y9 Info: Fitter routing operations ending: elapsed time is 00:00:00 Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info: Optimizations that may affect the design's routability were skipped @@ -966,11 +951,10 @@ Warning: Found 8 output pins without output pin load capacitance assignment Info: Pin "Y6" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis Info: Pin "Y7" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis Info: Delay annotation completed successfully -Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. -Info: Generated suppressed messages file D:/dev/quartus/shifter_8b/shifter_8b.fit.smsg -Info: Quartus II Fitter was successful. 0 errors, 3 warnings - Info: Peak virtual memory: 286 megabytes - Info: Processing ended: Mon Mar 07 11:15:55 2022 +Info: Generated suppressed messages file D:/projects/quartus/shifter_8b/shifter_8b.fit.smsg +Info: Quartus II Fitter was successful. 0 errors, 1 warning + Info: Peak virtual memory: 306 megabytes + Info: Processing ended: Tue Mar 08 15:17:17 2022 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 @@ -978,6 +962,6 @@ Info: Quartus II Fitter was successful. 0 errors, 3 warnings +----------------------------+ ; Fitter Suppressed Messages ; +----------------------------+ -The suppressed messages can be found in D:/dev/quartus/shifter_8b/shifter_8b.fit.smsg. +The suppressed messages can be found in D:/projects/quartus/shifter_8b/shifter_8b.fit.smsg. diff --git a/shifter_8b/shifter_8b.fit.summary b/shifter_8b/shifter_8b.fit.summary index 066e8ec..875a683 100644 --- a/shifter_8b/shifter_8b.fit.summary +++ b/shifter_8b/shifter_8b.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Mon Mar 07 11:15:55 2022 +Fitter Status : Successful - Tue Mar 08 15:17:17 2022 Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition Revision Name : shifter_8b Top-level Entity Name : shifter_8b diff --git a/shifter_8b/shifter_8b.flow.rpt b/shifter_8b/shifter_8b.flow.rpt index d09b958..a5831e7 100644 --- a/shifter_8b/shifter_8b.flow.rpt +++ b/shifter_8b/shifter_8b.flow.rpt @@ -1,5 +1,5 @@ Flow report for shifter_8b -Mon Mar 07 11:17:07 2022 +Tue Mar 08 15:17:19 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -38,23 +38,23 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Flow Summary ; +------------------------------------+----------------------------------------------+ -; Flow Status ; Successful - Mon Mar 07 11:17:07 2022 ; +; Flow Status ; Successful - Tue Mar 08 15:17:19 2022 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; shifter_8b ; ; Top-level Entity Name ; shifter_8b ; ; Family ; Cyclone II ; ; Device ; EP2C8Q208C8 ; ; Timing Models ; Final ; -; Met timing requirements ; N/A ; -; Total logic elements ; 14 ; -; Total combinational functions ; 14 ; -; Dedicated logic registers ; 0 ; +; Met timing requirements ; Yes ; +; Total logic elements ; 14 / 8,256 ( < 1 % ) ; +; Total combinational functions ; 14 / 8,256 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 8,256 ( 0 % ) ; ; Total registers ; 0 ; -; Total pins ; 19 ; +; Total pins ; 19 / 138 ( 14 % ) ; ; Total virtual pins ; 0 ; -; Total memory bits ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Total PLLs ; 0 ; +; Total memory bits ; 0 / 165,888 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % ) ; +; Total PLLs ; 0 / 2 ( 0 % ) ; +------------------------------------+----------------------------------------------+ @@ -63,50 +63,60 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 03/07/2022 11:17:07 ; +; Start date & time ; 03/08/2022 15:17:15 ; ; Main task ; Compilation ; ; Revision Name ; shifter_8b ; +-------------------+---------------------+ -+------------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+------------------------------------+------------------------------------------+---------------+-------------+----------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+------------------------------------+------------------------------------------+---------------+-------------+----------------+ -; COMPILER_SIGNATURE_ID ; 136411542855513.164662302732708 ; -- ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; MISC_FILE ; D:/dev/quartus/shifter_8b/shifter_8b.dpf ; -- ; -- ; -- ; -; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; -; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; -; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; -+------------------------------------+------------------------------------------+---------------+-------------+----------------+ ++-----------------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+-----------------------------------------------+---------------+-------------+----------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+-----------------------------------------------+---------------+-------------+----------------+ +; COMPILER_SIGNATURE_ID ; 220283517943889.164672383512820 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; MISC_FILE ; D:/dev/quartus/shifter_8b/shifter_8b.dpf ; -- ; -- ; -- ; +; MISC_FILE ; D:/projects/quartus/shifter_8b/shifter_8b.dpf ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+-----------------------------------------------+---------------+-------------+----------------+ -+--------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:00 ; 1.0 ; 226 MB ; 00:00:00 ; -; Total ; 00:00:00 ; -- ; -- ; 00:00:00 ; -+----------------------+--------------+-------------------------+---------------------+------------------------------------+ ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:00 ; 1.0 ; 246 MB ; 00:00:00 ; +; Fitter ; 00:00:01 ; 1.0 ; 306 MB ; 00:00:01 ; +; Assembler ; 00:00:00 ; 1.0 ; 241 MB ; 00:00:00 ; +; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; 198 MB ; 00:00:00 ; +; Total ; 00:00:01 ; -- ; -- ; 00:00:01 ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ -+---------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+----------------------+------------------+---------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+----------------------+------------------+---------------+------------+----------------+ -; Analysis & Synthesis ; DESKTOP-G0CBSMT ; Windows Vista ; 6.2 ; x86_64 ; -+----------------------+------------------+---------------+------------+----------------+ ++------------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++-------------------------+------------------+---------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++-------------------------+------------------+---------------+------------+----------------+ +; Analysis & Synthesis ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Fitter ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Assembler ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Classic Timing Analyzer ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; ++-------------------------+------------------+---------------+------------+----------------+ ------------ ; Flow Log ; ------------ quartus_map --read_settings_files=on --write_settings_files=off shifter_8b -c shifter_8b +quartus_fit --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b +quartus_asm --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b +quartus_tan --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b --timing_analysis_only diff --git a/shifter_8b/shifter_8b.map.rpt b/shifter_8b/shifter_8b.map.rpt index b68366d..529797a 100644 --- a/shifter_8b/shifter_8b.map.rpt +++ b/shifter_8b/shifter_8b.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for shifter_8b -Mon Mar 07 11:17:07 2022 +Tue Mar 08 15:17:15 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -39,7 +39,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +------------------------------------+----------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Mon Mar 07 11:17:07 2022 ; +; Analysis & Synthesis Status ; Successful - Tue Mar 08 15:17:15 2022 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; shifter_8b ; ; Top-level Entity Name ; shifter_8b ; @@ -131,14 +131,14 @@ applicable agreement for further details. +--------------------------------------------------------------+--------------------+--------------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------------------------+--------------------------------------------------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; -+----------------------------------+-----------------+------------------------------------------+--------------------------------------------------+ -; shifter_8b.bdf ; yes ; User Block Diagram/Schematic File ; D:/dev/quartus/shifter_8b/shifter_8b.bdf ; -; triple_selector_8b.bdf ; yes ; Auto-Found Block Diagram/Schematic File ; D:/dev/quartus/shifter_8b/triple_selector_8b.bdf ; -+----------------------------------+-----------------+------------------------------------------+--------------------------------------------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------------+-------------------------------------------------------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; ++----------------------------------+-----------------+------------------------------------------+-------------------------------------------------------+ +; shifter_8b.bdf ; yes ; User Block Diagram/Schematic File ; D:/projects/quartus/shifter_8b/shifter_8b.bdf ; +; triple_selector_8b.bdf ; yes ; Auto-Found Block Diagram/Schematic File ; D:/projects/quartus/shifter_8b/triple_selector_8b.bdf ; ++----------------------------------+-----------------+------------------------------------------+-------------------------------------------------------+ +-----------------------------------------------------+ @@ -202,7 +202,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II Analysis & Synthesis Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Mon Mar 07 11:17:07 2022 + Info: Processing started: Tue Mar 08 15:17:15 2022 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off shifter_8b -c shifter_8b Info: Found 1 design units, including 1 entities, in source file shifter_8b.bdf Info: Found entity 1: shifter_8b @@ -215,8 +215,8 @@ Info: Implemented 33 device resources after synthesis - the final resource count Info: Implemented 8 output pins Info: Implemented 14 logic cells Info: Quartus II Analysis & Synthesis was successful. 0 errors, 1 warning - Info: Peak virtual memory: 229 megabytes - Info: Processing ended: Mon Mar 07 11:17:07 2022 + Info: Peak virtual memory: 250 megabytes + Info: Processing ended: Tue Mar 08 15:17:15 2022 Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 diff --git a/shifter_8b/shifter_8b.map.summary b/shifter_8b/shifter_8b.map.summary index 81855af..0d1f33e 100644 --- a/shifter_8b/shifter_8b.map.summary +++ b/shifter_8b/shifter_8b.map.summary @@ -1,4 +1,4 @@ -Analysis & Synthesis Status : Successful - Mon Mar 07 11:17:07 2022 +Analysis & Synthesis Status : Successful - Tue Mar 08 15:17:15 2022 Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition Revision Name : shifter_8b Top-level Entity Name : shifter_8b diff --git a/shifter_8b/shifter_8b.pin b/shifter_8b/shifter_8b.pin index afef75c..bf29e52 100644 --- a/shifter_8b/shifter_8b.pin +++ b/shifter_8b/shifter_8b.pin @@ -70,19 +70,19 @@ Pin Name/Usage : Location : Dir. : I/O Standard : Voltage ------------------------------------------------------------------------------------------------------------- ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 1 : input : 3.3-V LVTTL : : 1 : N ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 2 : input : 3.3-V LVTTL : : 1 : N -GND* : 3 : : : : 1 : -GND* : 4 : : : : 1 : -GND* : 5 : : : : 1 : -GND* : 6 : : : : 1 : +RESERVED_INPUT : 3 : : : : 1 : +RESERVED_INPUT : 4 : : : : 1 : +RESERVED_INPUT : 5 : : : : 1 : +RESERVED_INPUT : 6 : : : : 1 : VCCIO1 : 7 : power : : 3.3V : 1 : -GND* : 8 : : : : 1 : +RESERVED_INPUT : 8 : : : : 1 : GND : 9 : gnd : : : : -GND* : 10 : : : : 1 : -GND* : 11 : : : : 1 : -GND* : 12 : : : : 1 : -A7 : 13 : input : 3.3-V LVTTL : : 1 : N -GND* : 14 : : : : 1 : -A0 : 15 : input : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 10 : : : : 1 : +RESERVED_INPUT : 11 : : : : 1 : +RESERVED_INPUT : 12 : : : : 1 : +RESERVED_INPUT : 13 : : : : 1 : +RESERVED_INPUT : 14 : : : : 1 : +RESERVED_INPUT : 15 : : : : 1 : TDO : 16 : output : : : 1 : TMS : 17 : input : : : 1 : TCK : 18 : input : : : 1 : @@ -90,32 +90,32 @@ TDI : 19 : input : : DATA0 : 20 : input : : : 1 : DCLK : 21 : : : : 1 : nCE : 22 : : : : 1 : -A2 : 23 : input : 3.3-V LVTTL : : 1 : N -A3 : 24 : input : 3.3-V LVTTL : : 1 : N +GND+ : 23 : : : : 1 : +GND+ : 24 : : : : 1 : GND : 25 : gnd : : : : nCONFIG : 26 : : : : 1 : -A4 : 27 : input : 3.3-V LVTTL : : 1 : N -A5 : 28 : input : 3.3-V LVTTL : : 1 : N +GND+ : 27 : : : : 1 : +GND+ : 28 : : : : 1 : VCCIO1 : 29 : power : : 3.3V : 1 : -LM : 30 : input : 3.3-V LVTTL : : 1 : N -Y5 : 31 : output : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 30 : : : : 1 : +RESERVED_INPUT : 31 : : : : 1 : VCCINT : 32 : power : : 1.2V : : -Y2 : 33 : output : 3.3-V LVTTL : : 1 : N -Y4 : 34 : output : 3.3-V LVTTL : : 1 : N -RM : 35 : input : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 33 : : : : 1 : +RESERVED_INPUT : 34 : : : : 1 : +RESERVED_INPUT : 35 : : : : 1 : GND : 36 : gnd : : : : -GND* : 37 : : : : 1 : +RESERVED_INPUT : 37 : : : : 1 : GND : 38 : gnd : : : : -Y6 : 39 : output : 3.3-V LVTTL : : 1 : N -Y1 : 40 : output : 3.3-V LVTTL : : 1 : N -GND* : 41 : : : : 1 : +RESERVED_INPUT : 39 : : : : 1 : +RESERVED_INPUT : 40 : : : : 1 : +RESERVED_INPUT : 41 : : : : 1 : VCCIO1 : 42 : power : : 3.3V : 1 : -GND* : 43 : : : : 1 : -GND* : 44 : : : : 1 : -GND* : 45 : : : : 1 : -GND* : 46 : : : : 1 : -GND* : 47 : : : : 1 : -Y0 : 48 : output : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 43 : : : : 1 : +RESERVED_INPUT : 44 : : : : 1 : +RESERVED_INPUT : 45 : : : : 1 : +RESERVED_INPUT : 46 : : : : 1 : +RESERVED_INPUT : 47 : : : : 1 : +RESERVED_INPUT : 48 : : : : 1 : GND : 49 : gnd : : : : GND_PLL1 : 50 : gnd : : : : VCCD_PLL1 : 51 : power : : 1.2V : : @@ -123,69 +123,69 @@ GND_PLL1 : 52 : gnd : : VCCA_PLL1 : 53 : power : : 1.2V : : GNDA_PLL1 : 54 : gnd : : : : GND : 55 : gnd : : : : -GND* : 56 : : : : 4 : -GND* : 57 : : : : 4 : -GND* : 58 : : : : 4 : -GND* : 59 : : : : 4 : -Y7 : 60 : output : 3.3-V LVTTL : : 4 : N -GND* : 61 : : : : 4 : +RESERVED_INPUT : 56 : : : : 4 : +RESERVED_INPUT : 57 : : : : 4 : +RESERVED_INPUT : 58 : : : : 4 : +RESERVED_INPUT : 59 : : : : 4 : +RESERVED_INPUT : 60 : : : : 4 : +RESERVED_INPUT : 61 : : : : 4 : VCCIO4 : 62 : power : : 3.3V : 4 : -A1 : 63 : input : 3.3-V LVTTL : : 4 : N -GND* : 64 : : : : 4 : +RESERVED_INPUT : 63 : : : : 4 : +RESERVED_INPUT : 64 : : : : 4 : GND : 65 : gnd : : : : VCCINT : 66 : power : : 1.2V : : -A6 : 67 : input : 3.3-V LVTTL : : 4 : N -GND* : 68 : : : : 4 : -GND* : 69 : : : : 4 : -GND* : 70 : : : : 4 : +RM : 67 : input : 3.3-V LVTTL : : 4 : Y +DM : 68 : input : 3.3-V LVTTL : : 4 : Y +LM : 69 : input : 3.3-V LVTTL : : 4 : Y +RESERVED_INPUT : 70 : : : : 4 : VCCIO4 : 71 : power : : 3.3V : 4 : -GND* : 72 : : : : 4 : +RESERVED_INPUT : 72 : : : : 4 : GND : 73 : gnd : : : : -GND* : 74 : : : : 4 : -GND* : 75 : : : : 4 : -GND* : 76 : : : : 4 : -GND* : 77 : : : : 4 : +RESERVED_INPUT : 74 : : : : 4 : +RESERVED_INPUT : 75 : : : : 4 : +RESERVED_INPUT : 76 : : : : 4 : +A0 : 77 : input : 3.3-V LVTTL : : 4 : Y GND : 78 : gnd : : : : VCCINT : 79 : power : : 1.2V : : -GND* : 80 : : : : 4 : -GND* : 81 : : : : 4 : -GND* : 82 : : : : 4 : +A1 : 80 : input : 3.3-V LVTTL : : 4 : Y +A2 : 81 : input : 3.3-V LVTTL : : 4 : Y +A3 : 82 : input : 3.3-V LVTTL : : 4 : Y VCCIO4 : 83 : power : : 3.3V : 4 : -GND* : 84 : : : : 4 : +A4 : 84 : input : 3.3-V LVTTL : : 4 : Y GND : 85 : gnd : : : : -GND* : 86 : : : : 4 : -GND* : 87 : : : : 4 : -GND* : 88 : : : : 4 : -GND* : 89 : : : : 4 : -GND* : 90 : : : : 4 : +A5 : 86 : input : 3.3-V LVTTL : : 4 : Y +A6 : 87 : input : 3.3-V LVTTL : : 4 : Y +A7 : 88 : input : 3.3-V LVTTL : : 4 : Y +RESERVED_INPUT : 89 : : : : 4 : +RESERVED_INPUT : 90 : : : : 4 : VCCIO4 : 91 : power : : 3.3V : 4 : -GND* : 92 : : : : 4 : +RESERVED_INPUT : 92 : : : : 4 : GND : 93 : gnd : : : : -GND* : 94 : : : : 4 : -GND* : 95 : : : : 4 : -GND* : 96 : : : : 4 : -GND* : 97 : : : : 4 : +RESERVED_INPUT : 94 : : : : 4 : +RESERVED_INPUT : 95 : : : : 4 : +RESERVED_INPUT : 96 : : : : 4 : +RESERVED_INPUT : 97 : : : : 4 : VCCIO4 : 98 : power : : 3.3V : 4 : -GND* : 99 : : : : 4 : +RESERVED_INPUT : 99 : : : : 4 : GND : 100 : gnd : : : : -GND* : 101 : : : : 4 : -GND* : 102 : : : : 4 : -GND* : 103 : : : : 4 : -GND* : 104 : : : : 4 : -GND* : 105 : : : : 3 : -GND* : 106 : : : : 3 : -GND* : 107 : : : : 3 : +RESERVED_INPUT : 101 : : : : 4 : +RESERVED_INPUT : 102 : : : : 4 : +RESERVED_INPUT : 103 : : : : 4 : +RESERVED_INPUT : 104 : : : : 4 : +RESERVED_INPUT : 105 : : : : 3 : +RESERVED_INPUT : 106 : : : : 3 : +RESERVED_INPUT : 107 : : : : 3 : ~LVDS54p/nCEO~ : 108 : output : 3.3-V LVTTL : : 3 : N VCCIO3 : 109 : power : : 3.3V : 3 : -GND* : 110 : : : : 3 : +RESERVED_INPUT : 110 : : : : 3 : GND : 111 : gnd : : : : -GND* : 112 : : : : 3 : -GND* : 113 : : : : 3 : -GND* : 114 : : : : 3 : -GND* : 115 : : : : 3 : -GND* : 116 : : : : 3 : -GND* : 117 : : : : 3 : -GND* : 118 : : : : 3 : +RESERVED_INPUT : 112 : : : : 3 : +RESERVED_INPUT : 113 : : : : 3 : +RESERVED_INPUT : 114 : : : : 3 : +RESERVED_INPUT : 115 : : : : 3 : +RESERVED_INPUT : 116 : : : : 3 : +RESERVED_INPUT : 117 : : : : 3 : +RESERVED_INPUT : 118 : : : : 3 : GND : 119 : gnd : : : : VCCINT : 120 : power : : 1.2V : : nSTATUS : 121 : : : : 3 : @@ -194,32 +194,32 @@ CONF_DONE : 123 : : : GND : 124 : gnd : : : : MSEL1 : 125 : : : : 3 : MSEL0 : 126 : : : : 3 : -GND* : 127 : : : : 3 : -GND* : 128 : : : : 3 : +RESERVED_INPUT : 127 : : : : 3 : +RESERVED_INPUT : 128 : : : : 3 : GND+ : 129 : : : : 3 : GND+ : 130 : : : : 3 : GND+ : 131 : : : : 3 : GND+ : 132 : : : : 3 : -GND* : 133 : : : : 3 : -GND* : 134 : : : : 3 : -GND* : 135 : : : : 3 : +RESERVED_INPUT : 133 : : : : 3 : +RESERVED_INPUT : 134 : : : : 3 : +RESERVED_INPUT : 135 : : : : 3 : VCCIO3 : 136 : power : : 3.3V : 3 : -GND* : 137 : : : : 3 : -GND* : 138 : : : : 3 : -GND* : 139 : : : : 3 : +RESERVED_INPUT : 137 : : : : 3 : +RESERVED_INPUT : 138 : : : : 3 : +RESERVED_INPUT : 139 : : : : 3 : GND : 140 : gnd : : : : -GND* : 141 : : : : 3 : -GND* : 142 : : : : 3 : -GND* : 143 : : : : 3 : -GND* : 144 : : : : 3 : -GND* : 145 : : : : 3 : -GND* : 146 : : : : 3 : -GND* : 147 : : : : 3 : +RESERVED_INPUT : 141 : : : : 3 : +Y0 : 142 : output : 3.3-V LVTTL : : 3 : Y +Y1 : 143 : output : 3.3-V LVTTL : : 3 : Y +Y2 : 144 : output : 3.3-V LVTTL : : 3 : Y +Y3 : 145 : output : 3.3-V LVTTL : : 3 : Y +Y4 : 146 : output : 3.3-V LVTTL : : 3 : Y +Y5 : 147 : output : 3.3-V LVTTL : : 3 : Y VCCIO3 : 148 : power : : 3.3V : 3 : -GND* : 149 : : : : 3 : -GND* : 150 : : : : 3 : -GND* : 151 : : : : 3 : -GND* : 152 : : : : 3 : +Y6 : 149 : output : 3.3-V LVTTL : : 3 : Y +Y7 : 150 : output : 3.3-V LVTTL : : 3 : Y +RESERVED_INPUT : 151 : : : : 3 : +RESERVED_INPUT : 152 : : : : 3 : GND : 153 : gnd : : : : GND_PLL2 : 154 : gnd : : : : VCCD_PLL2 : 155 : power : : 1.2V : : @@ -227,52 +227,52 @@ GND_PLL2 : 156 : gnd : : VCCA_PLL2 : 157 : power : : 1.2V : : GNDA_PLL2 : 158 : gnd : : : : GND : 159 : gnd : : : : -GND* : 160 : : : : 2 : -GND* : 161 : : : : 2 : -GND* : 162 : : : : 2 : -GND* : 163 : : : : 2 : -GND* : 164 : : : : 2 : -GND* : 165 : : : : 2 : +RESERVED_INPUT : 160 : : : : 2 : +RESERVED_INPUT : 161 : : : : 2 : +RESERVED_INPUT : 162 : : : : 2 : +RESERVED_INPUT : 163 : : : : 2 : +RESERVED_INPUT : 164 : : : : 2 : +RESERVED_INPUT : 165 : : : : 2 : VCCIO2 : 166 : power : : 3.3V : 2 : GND : 167 : gnd : : : : -GND* : 168 : : : : 2 : -GND* : 169 : : : : 2 : -GND* : 170 : : : : 2 : -GND* : 171 : : : : 2 : +RESERVED_INPUT : 168 : : : : 2 : +RESERVED_INPUT : 169 : : : : 2 : +RESERVED_INPUT : 170 : : : : 2 : +RESERVED_INPUT : 171 : : : : 2 : VCCIO2 : 172 : power : : 3.3V : 2 : -GND* : 173 : : : : 2 : +RESERVED_INPUT : 173 : : : : 2 : GND : 174 : gnd : : : : -GND* : 175 : : : : 2 : -GND* : 176 : : : : 2 : +RESERVED_INPUT : 175 : : : : 2 : +RESERVED_INPUT : 176 : : : : 2 : GND : 177 : gnd : : : : VCCINT : 178 : power : : 1.2V : : -GND* : 179 : : : : 2 : -GND* : 180 : : : : 2 : -GND* : 181 : : : : 2 : -GND* : 182 : : : : 2 : +RESERVED_INPUT : 179 : : : : 2 : +RESERVED_INPUT : 180 : : : : 2 : +RESERVED_INPUT : 181 : : : : 2 : +RESERVED_INPUT : 182 : : : : 2 : VCCIO2 : 183 : power : : 3.3V : 2 : GND : 184 : gnd : : : : -GND* : 185 : : : : 2 : +RESERVED_INPUT : 185 : : : : 2 : GND : 186 : gnd : : : : -GND* : 187 : : : : 2 : -GND* : 188 : : : : 2 : -GND* : 189 : : : : 2 : +RESERVED_INPUT : 187 : : : : 2 : +RESERVED_INPUT : 188 : : : : 2 : +RESERVED_INPUT : 189 : : : : 2 : VCCINT : 190 : power : : 1.2V : : -GND* : 191 : : : : 2 : -GND* : 192 : : : : 2 : -GND* : 193 : : : : 2 : +RESERVED_INPUT : 191 : : : : 2 : +RESERVED_INPUT : 192 : : : : 2 : +RESERVED_INPUT : 193 : : : : 2 : VCCIO2 : 194 : power : : 3.3V : 2 : -GND* : 195 : : : : 2 : +RESERVED_INPUT : 195 : : : : 2 : GND : 196 : gnd : : : : -GND* : 197 : : : : 2 : -GND* : 198 : : : : 2 : -GND* : 199 : : : : 2 : -GND* : 200 : : : : 2 : -GND* : 201 : : : : 2 : +RESERVED_INPUT : 197 : : : : 2 : +RESERVED_INPUT : 198 : : : : 2 : +RESERVED_INPUT : 199 : : : : 2 : +RESERVED_INPUT : 200 : : : : 2 : +RESERVED_INPUT : 201 : : : : 2 : VCCIO2 : 202 : power : : 3.3V : 2 : -GND* : 203 : : : : 2 : +RESERVED_INPUT : 203 : : : : 2 : GND : 204 : gnd : : : : -DM : 205 : input : 3.3-V LVTTL : : 2 : N -GND* : 206 : : : : 2 : -GND* : 207 : : : : 2 : -Y3 : 208 : output : 3.3-V LVTTL : : 2 : N +RESERVED_INPUT : 205 : : : : 2 : +RESERVED_INPUT : 206 : : : : 2 : +RESERVED_INPUT : 207 : : : : 2 : +RESERVED_INPUT : 208 : : : : 2 : diff --git a/shifter_8b/shifter_8b.pof b/shifter_8b/shifter_8b.pof index eccf01903d681c0fc8854dd7a3289417dc5d3c23..a8fc6b62c198b250cce249ab11900f5202b3544f 100644 GIT binary patch delta 5821 zcmeGgYjjk_dFI}`$%O#fS6<65+1)JUCfU4sgoKi8vU)Zo7*Z@np$eiXf`Sd@SP!xf zf?i@o$Y_^Zg(y^01t~0Qsr0x(Y~u@q_E>xic#8FiWE0BE5c+-h?hT1j>pA}IBs2TX zH}ie-J!j@lSJ2)Sv~T=F+8=*1g53Ver7AKEAmL3Cl{S6R#=fFIV<)L$q%~$RWE!9` zu}vAN-|Dgfnv}Hc%;Lq%|JpZg+ABOzxJNgyJJJ!eSavyLMq?HbrLYT}-sGFH-8;i2 z5TXDI%wk+d&K2-PD{uC{`R3bS^&dKP!ad%|9LXukDrVs8uTK^9I-OaFW4Q?{l9DW*EJJva)+_>c3cp^Ls}5-hY3=XodT9TpZ@ziCGQOT~#^)2yHZ@gFQTx*r zSZhiXzn8;eRb*gcKC^IPW@@j)Vd98Yfwjhz@VzWl4qdN*WxW)OWoGsj7n^vZ!U_T! z6LaXSj{0-W%?)$EdH(qu$EuU&BRo%QW+yR6!dO4pV`Xo4w!fq|E31?vs}cUC)--_u zNb|b6^135`I$c-yvKQ=9gE&&(fAC<#>Pu(O`ZcrEpZ0niR-U=_R{!KUD}+~RO%rOR zuu2z)(EEolP*@I}IN_fjXMpe;t!Wx_MFvCYQD5ITd-v8=l!IsM0cxnznwlhq`iR^s zNe`%=RaEc~*8y4FcHya~mgi#Baby|7w`M0h6u6n zyN1-_RsB^$_kU>q9g1T&VMOUSW#O>4Gc)N4F2ODe!o zLxgMvn>`fLnze&5G0c&gAK2q`9Av7IZKYO|n#Gp}22K?!>1Iwbz&>G`uHfIi)ZhO` zCAbQ(RBq{zTO^1K1&G5a){rvo+}gEMHb0WVVxAnacUz7^z*r#?0A8Y|;go9`ca!m#z>1}?LT9H0xDtgFI5`A@ z?13>1Lp7um;xtiWrzYfB6!efR3Vb)-R9eePB)y80%*00|b0FyDs%W~;Ma%)}3UJs| z(?IhR2AE*E#X+nc1|y8>tv&&WEK58DX5F|{V8zdl8v{F+UfI0}aaYVnStHRKuGfV# zlj%+>GlM0a?V6G%*rv`-2va@%fbFzT<(E}1W-)UiOLr`0FaOOw`nQfek5p(73JtDa zBa1m~Z2#nOtV^;^Iqz|~V;#$0VzL#=Lb=A3c0)wyQ2UE^{e^&b18>^jz2l+NzVO5=M@ zo_ype%|~tW+3J*%$dY1?ry)?NK&XmMy(N59q!KH7HGy?s*crKdQX|I}hTR%Ak={?B$ea7bjU*u_cW)+^geG{hM{p&kI+k(j39u@Iq~5 zi{zjzn14Mhx88W!D^)jq$b}Cj)6%wqT(;uo9g+4KrxO&GnR`D~s3Ths&!Q0DyAS5_ zj~%yr6{w2FNoIIGv3i zuJARs`+$|%f11vX2(iNlrt+VCsYD1{Vao-oyWWkoc(0;dKnypg)3IztMG9YEoH{hJ zbdjysda_{uV6hole9x};P$-D4yhy?JeU$m@as)J*g6*;9vri9Q$7ao(Aec|>Q;{od z`K;h-Nk`meO(4T-R@Obs3~ToeXcFsSJ&ErLH35?nwjj0Pze%qH=?Ot&2+{u80!+^y zrUdJJ&q94{cWo*+p0UpJ`EkDqDE{FN3!C+7Di=PD%S752yu*bzQd+_S?b(rC6~EvG z+g+uj73W8$Ro)7Y_urW`%<)6KbT184k-FKqTz6!RzgEZ3FP|6LQTJ;K1a8n@5=dcL zBHR7M*cZD^bTh{a)0;snRr?ga@;LtkII}hW1(7+AWedFR#3E+Mkl2U$d)UQIAG4xs zav~3`nn8i3;fRG5MN;_B?nq{pqf*$snI;H~%6VuU$od*qJ1Pl~X1bLfuFj2gm+_nu zYQrQN?hlNhHJ3fRrsi%M3Kx&0<(&UEOcQpe%{|QS-y1xWxybsR9=#@qE}FrTL#%w1 z1*95nF@H8+AW{`vm`OlruA(701L3G30dUxBR^B=dgF=dIEZ#-gOXKsf1ELkV3&Rump8l&8=`Xsk zXUUbY*FeBSrbBu{)(N)W)^Gm#K4J$YWWlR|@!^K}MfCCF> z@(;btD;8d18xxN<8cG1J$`a65|!>U!2* zn|}1g8C+F zw5AWj8zm!lkOvjRg5)yVhudHUkK{op>&!T&Oi-BHMKlM_DJ^_oB$Crx!+A)kMe67} z&|21IW*xE#)&zv?sNG}ikaKWlIV3sj%eKqR^U}Dy=(bnXt)m@9^2x2@h9Cf>MHb;2 z+AfD$KzM0^4}Mwf=V?=FK9Pw%Wb_IF2{^ZOw6;u>Tg!qQf&incvJ~7D+7OakWaz>S zXGfj9p*h6pDvKp*P`*piL-s*WDw-<6ShCcKdV`8eoG2Q?9sxSWLmfvim+qsJy>ME< z!3!pUe4W&=uoI`E;efqXs)QDB5+N=Pq5NXcc5HT1h$W9txkVduT%Kd@`B&b?P=NEI zw92N6l7UH}^#-_l5g=C_?@%^dpp{%OJ^Dt|2$c^yODh2hHvJU7XK^F=vV^rSc*Y3B zc8DM#(FDeD@b`2Ms65GA!Jgr@T^7EgYTdA2i)%4gH1&0eWCCIgSL&U{RE{Qv%8XSQSTI@53N_?oGU$S?uM`~xFA#ww9`^rD^Xgf|V2%&8_t>mj*|f~st=BMAsXg@k})An-;Z z%|E Lhy+7v*75%U-EWyF delta 4375 zcmd5=e^3!$nlwd6EY?n= z)lOMaQr-hMY%iV3L{888sS&UG-wn;2xzq7wQqy=D(YRRG zA(|m7x|j%DN>;(SdvIuqDd9UAsUn~&a$woP_Xbkg`nij#0#>J_0g~}iGyPl)%SqG% zyF4NM@_T8pkqiM1R&sx5R2J<@DO={g7QIDbiEEw9+U7V?LgIGbu7 z6?7{DX-bB{FOYDZV+=zDGqK0}F>Ckl2}izV7_Kb)*I0;nYkyZPK(voDI+(0CWJ1y~md^c4)Cvm3!qY1n>H-)CxkLiQELKH;zaaizDBi4-fJwvvCrTUw4gGO`NPvx$1$GnxPQ!sUfw*fp zJcxRh33wv#$o!dqipHhsX2KyBJm!m4dM#W?QQNVB6V}#Jo`4A>&k8;i!57)$kR{hH31O67p!*Y|)`NdkZ% zX0)5{+9SCR+b@|Q_)w9U>+{q*gU&sx>fZO(gNwD+Xp&fe4T9_}#0;K({f@0N!i;?p z<5^NPjwl$)hSikfg_KXzO6W-PfZ$IYJS+Qa0TVYRv1D@@ML-=%04TXwz(e6LQy-?! zM{ZUFAXS`#RkiXX_mTNAGu-WA|12-zBR6ia!KNZ+`)Z$%yS^foJ!i>f-^zbOFpu2D zXNn6Xr}2@um#DUa@6rUryC=?KSqfO`MuELDeF<;An86M`Rdjep6UPp`TFClyL#)Jo z2#gjaMXp`}U;?bcW`$|v-Ic#)>uoz&M~W<*G0r#(SxJ-zSZzrbTV9mKM+UY+tc9GY z1NHpm8rtx@5K_1RJ7hG2fQ9$g@!&j`Og7&==NR1L%gD%yn4d)m7rurfr5YX*pEGk$ zOu`IkW$D9>Y8pU<#}MdteWY!Vb_)v|IBVy(R4s|gKg*84QwTb8`*I5v*oK-Qd)emZ z2R=<>ZJ};<@c1+~w?C1YDy=XVat}!hg^>sA`G3n5SuY zq}ipDTHV!Ta|QoU75A(4mPW4qa6XtzI9Yy#ExRVmL3Hx#mi-tC-*kjO_4PlJ@owdm~p&OB_2R;{#9`5Pn^i1gkW^)ci_;F;(ooqW7(*~CIW@1l))37wT8vhXiTWOPwHNH_ zi;kux(;$Zx0qLV0VCJUy3J$Ppe>tOFHdd-n23*dnfN-~ZaaL&yS zfDNUV9$rZy9Ji{kTRhM&Dhn*;DPsH&L9)jV?wz6iIBUj<`DhOY}I{e``9tSyov(TSxH4 Hv)A=+PEzJW diff --git a/shifter_8b/shifter_8b.qsf b/shifter_8b/shifter_8b.qsf index 5550511..5d8c71a 100644 --- a/shifter_8b/shifter_8b.qsf +++ b/shifter_8b/shifter_8b.qsf @@ -51,4 +51,27 @@ set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" -set_global_assignment -name MISC_FILE "D:/dev/quartus/shifter_8b/shifter_8b.dpf" \ No newline at end of file +set_global_assignment -name MISC_FILE "D:/dev/quartus/shifter_8b/shifter_8b.dpf" +set_global_assignment -name USE_CONFIGURATION_DEVICE ON +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_location_assignment PIN_77 -to A0 +set_location_assignment PIN_80 -to A1 +set_location_assignment PIN_81 -to A2 +set_location_assignment PIN_82 -to A3 +set_location_assignment PIN_84 -to A4 +set_location_assignment PIN_86 -to A5 +set_location_assignment PIN_87 -to A6 +set_location_assignment PIN_88 -to A7 +set_location_assignment PIN_68 -to DM +set_location_assignment PIN_69 -to LM +set_location_assignment PIN_67 -to RM +set_location_assignment PIN_142 -to Y0 +set_location_assignment PIN_143 -to Y1 +set_location_assignment PIN_144 -to Y2 +set_location_assignment PIN_145 -to Y3 +set_location_assignment PIN_146 -to Y4 +set_location_assignment PIN_147 -to Y5 +set_location_assignment PIN_149 -to Y6 +set_location_assignment PIN_150 -to Y7 +set_global_assignment -name MISC_FILE "D:/projects/quartus/shifter_8b/shifter_8b.dpf" \ No newline at end of file diff --git a/shifter_8b/shifter_8b.qws b/shifter_8b/shifter_8b.qws index fc216dc..9571b85 100644 --- a/shifter_8b/shifter_8b.qws +++ b/shifter_8b/shifter_8b.qws @@ -2,3 +2,13 @@ ptn_Child1=Frames [ProjectWorkspace.Frames] ptn_Child1=ChildFrames +[ProjectWorkspace.Frames.ChildFrames] +ptn_Child1=Document-0 +[ProjectWorkspace.Frames.ChildFrames.Document-0] +ptn_Child1=ViewFrame-0 +[ProjectWorkspace.Frames.ChildFrames.Document-0.ViewFrame-0] +DocPathName=shifter_8b.bdf +DocumentCLSID={7b19e8f2-2bbe-11d1-a082-0020affa5bde} +IsChildFrameDetached=False +IsActiveChildFrame=True +ptn_Child1=StateMap diff --git a/shifter_8b/shifter_8b.sof b/shifter_8b/shifter_8b.sof index a8c45e5d4e1833da9b1b813f66160429f47c429c..d28ea9d2f7a6d5a0c8e301ce21b0b4a64616f93c 100644 GIT binary patch delta 5509 zcmds5eQ;FO6@Ta4x0?;buvtVPK-jFIViQOLQ9w~Q2`KonY=9sr6T{bF!N$ePP<3iH zA|!M)hCa*@8*H#su(sB~Cb^(E(y?Rfl&MK=i?&l-2F-}JG)!mcsI~T-xBE7`M39;O z*PS=XefQmaK7Z%q-lyNoefquJwj<-B9zPoM48!nai(%yPpv4FnL6iE=z}UG_kAX(e z`Re6C)bR7)#Lo-!412#}8jzT>XLvZz$g_4{mH*A4=>?|8KL{FzC-KEFFv`4wW|EgI ziPEMQ)UT{k+)sbluL@%E5JK#nItD!YJy^T4R>bO$KZN!lD;|2(o{5KE04uuXuobB? zsqZHBKGiRTI_jLGX6WcX_$ydt<3q8s6V=-~;M8MGyZC4l1@W z)dZka*Zm!)m~;Yh#*bNh?RDWE(f$^o;4Y}8Gv`<})r_-v?sG_dW?C)e-;8c*dkd%V z+b&s4y6j(Y6lm~4n9XwqX)7XCmk|@L)g50#pID__Ot#GOm$>wqc2(n!#X}1rZ9_a% zOkRbvwSNTO2dq;$wPmXn`e=1uj~&R#h;w z4~2iOnBz|=$|mhPjRzIumj;)z{l5D#HYob212yeAgU4(x>%j3MAd_ijMQiEFtFex5 z-iNR7Ood~mCi1RQ;+$)o9c-US!|RNPI~flOTVsPAuXto6tJVla0&qDi-(x%9ip(#z zaTr~I?^ECJpp;jY3Uwbu>Ex@B?uMjQuZ5u1)LD|SXryW^b&XNQ)VBeyu%f*|%Uf;I zq&<+&DE5)R8fLQeCUtMZ#c4Rq%W&4+7#uAo6zQ@^M^!hiZ&2%5lv-QA7{dVseYxP! z;HgJI)N<=%KDAq6A6j`|^kk4N6Mzr{WF}J@a8%W->IP*6t6g+MGqh9i5KhqFT8w*@ z-sV?Pw{_<`%b5CKYyjJ!Y-MPfu$GCeOab`t!MAVCg|M!C0N0w($wi3q#}tJ(p^zwK_gs?_)35zK&<(M+0aUc;kLb1riVhA%`_^n)x73auJH+o7 z-}YvN`BIPSGr>AAYgw%Ch8h?3jleo~Xue}7<~S!K+}RB6)cFX8G>3IHKsRIIF#Eb` zJ7~^RW$4(620wxIvN9|Tr#j$R?bza^_`O{ihI#ve=WzvF?I$c@5>d|f$#93TkZsFT zsU)?xjyIhhzNtXcp-X>R2v29*aNQ=DG<87qvWswl--;4~nHE=CkY+5SC2!dun4i%Cj>U)#q#6A!p>x>b-k5T@q`O3J>aBrXp!yCwx6Vn=Pg594)vy!b4muf! zmAs>#7w=X)SfM!`lbA5tX1xhYcR6Ol}PcP-Rq zb4oO=wg0ZAFOI<)+bgkn=(wGBs1a2qb(N}jHLpKC%HegTgMj+s$}D5@mt7JlFrpb^6^y#7d>a%x+yo!FSU#7v^tF6Cx(z+lSpnHpH&Jb*&RQ^Oa5Cod zdOL@h`m<)%N9vbJ54NgW+o|H4TZN`6NT{xKvLxrfM7ms+A#Bg^Qh9#Z#3J)g2tl`` zAuhGaD%B(|FNwoxvF-2}xl*cyRI}~G+XgNaC)+g_TT<+T@1;UPbNo!p=B=|C+8jM; z<+5JNWv%AY+KK}I(=a^|1@~HAkx9VS=;t$e(>6N`G10(KD*O8SIuXRrC27$xBaJy5 zAR{iOS!E~Ox)^blKC*^`0ybyyu%yiz43J-(lDGI63_>F8+nAyQYbt>415 zMDT`#`Y1hGqq6AcLTJ}rA>>BxbB zbUjqkQB+)*m`u|s$V8F0yUYnFz zH=4N;4mLvvwQ0P<>f-ZC!6EeMtC!$Ol&0_X{NX`U4f=x{)mu`D?1K?IsBkm>Na-Dq z;%~UaAJ(h=)*~?Eb~}0gNd?(`Bhe$rjUMQv@{O>ZtzT!CVvH6_iyf9?vPO!PDl3gz zn|il$b6R0m8-{7+Z7593CDO^c%$!ODI-ASgf$jQ86&J$>6Q*(p9p=bfo23jR{Ldl} z^>2kxY;b;^UALsTPRD2k9XJk+6#N;?QQ68xd2gb|oJy*1Pz@~DLM~#`%JHzwsnSYl zot=x15huABrpK7-rT#roj zlU2<67Gd4vilV`{0eN2>%1CifGQXrqr3o$%`HF`03Zu%>W4Ym*d^0rbv#%s z<(#!g)0QiWSl7N0XNZMNwgu}PX3F#I6J-G73mkpw*b*rZsAt9oth03*s(C8LznqdgNkDzAV-4L%)w(#ohJw2k^+@V|_Er6>o}3u?To-@BYqF~N1k2r&Fs zF;0;CBe`nwQ(gq`Vj(t;OI$GV9ZKplim#sdGRrEzYwX-$o43e#>~TMMi_Gk(pTQ9{ zz2?b;eQy?&Z-z0( z*pmWd%jJCOWf(aZdQKTR{3O06#;I3l%c7ypU%BGE$;7L(d1!BH#wz?^(u#40G0vzT zH;{UaaRcekdGq|r>o4V@|GcQiHuBO0xzwJF{SLXbHD4iUO5)_8%rJ&Ow*5}=ykkWf z`0N+4L}~-&v0T24RrVsW#_eCBTAFC`HSwBIBJnq&S^C&^{y3}h`h1s7kouV=oq18^ TOh}o*9s~t~a;a(H?`Ag%O*TA2f{-kaU?>q12-c{@gvS5_rb#h@5E?c@)scrwrxmIl za>Iy-3U0h12Wiq-bx;ddkt_RWLE5Eu2AKg#YR3mHbQx<3z8cyoR$EBVxyvrQ;ZX;> zvq>)ZKmUJT-}%n@+4@1o)(z*dHj@ z?A@BKLE;;Y&U0hqAH)q~dBwwPQCWK>rb)LomHRa?;nhRtMDe04EJ#>499DNI2ds1Q z2w1*Vs{!4OvexVxly0^<>(KKPk%IoiVv-dJ{S(kT6%;m1g%JJo9k5!RAWUOTh{Sd5 zxp-e17&iOGG2faU>4_ATE!=)gBb}a>(wD=GF9pZ;Yq{4{@OlcEm)qS0)g(O z5H@?;YgFbExgwnoUj^t-mC=KDzXy+E(MnlLY6_m7s$+Sn_&zexczBYm;rO~Re6w7{I4Vg9R@b0BEZ!lPDspI@D~hsA zDV$cl^^8;>&lQIq)`2%Rd+3#ASe|S2uFf@3>w;b3*q5)r1%i3qQy~`SQiDLJkx1FHrm<~$Pm$bQdmIxsh1Ao@muo8$*Fap|hQ z1{zY?7TsL-pBi=k2pvfwdeANvY!b2pcTbe>D-u4FX?C>LabBNFuqYA#V}R3JdF4Qm z$=g)b8X$C&F^WuZCZR?VYV1G_vw@~mc zw|q12xwdIPm+nC(-5N!_zD3~7K3IT}W1_*F zH7~@gdl_O}f;C(zY3A{IOZg9p=Or0h#69z|cs~0PdQgZbQ(?W?eOqxHn8XYl=qD97 zO#?K&Q-+y?PfTY0{b`02J|VoI&VD3*A6x#D^HrpNR~!5IA6S%rrb}#q&V{*1M}_t#iV($DEFwwdnNU&NN69! zh)MpT6fDSsPwlo&s-OFxbpJ#=GLtA+mzaKqgoXH;Ar-js)PAU@!%o2^RFKX%0nqcC zjCjlJovDL|ckLrmknK`rr;(?1@p4iA#Ud+-N|&?XM}m93Lv>b*Pn_UU2Wv%%z^1X% zqkLoKX`NGS<1@|Lc_iSzdZRh{ZL-B66Pg#xeGG*uoYeKi{@tgcQyy@Oe&vv&X#*ff z$CBkRnNq%9uhLZfhkPe%`w2$iVEFqnWdbM z)4WE*oq1~h9vFg+?Xpu0SdZN=Q|qoGbZz7E^6-HrGz@@Yu6E~(BXe26%ayc{!n}F{ z&32K{-C~v-&u*5@l#iR`7Hu|CRqguLY)z7a9Qp}&=ypm-_Fmbl1t`ROb)T*c<_8(k z4f9}aQ2)~$m&e(;TpEX5750t(19)o zA3#>pA3E<%HzjgPa{DU~h6fLp!n3A(c(y!~mm1XotYHch#Z{W3$LxAC9rrhg@zfaC z%bqcha0Y0H6wMbE3S%_+qjaO3P(bkzkxF)~Qx4DaGos>g;TbYkJL9N9*=VO+7bkcZ zYoAE0@cRF0zPu8fMCVBBI>yAwjHVkox>uSNx$M@^^fr`HHXgg~hiuabmYeSBI*#ao zVRZG-K{u;+%~0+K;d6j0_0Tkt8*Q9;`E>bS8uqrzn+d7^wwu2_xzFOE!^y+_k1}xc zty1yE{&ySom#OlwgAuRDo)rAsL*g~?Tiv0_G?S-^y=v1sK@FSdQ*_sW6SwYzHfwip zrxc!L(cDmYP+IP%Hwvrw+!OYs6C1O?_I2p8rhAglNx4Q5E=OXOU-@QDk_+iR9v1XqU%oNRCl;BJ(2AkaySOWM7w20)tHPF1kWb@;+bvGe;$~-#o#8x1FW1rQDK(Sv>{r@#kZRF*kH0jD<1Hn zW`B!mIrjX`AIh%#2%@W8Oy4Eb3VXDiul2P*2am`Km+DAJ1^ zIvcMM$>>sXMslFZ<(ypa)ttpwcFLR#O(TJ*4E@Ga_rr}u>U#NAc6lkAS6L#!(U(E4 IX-9Yb7jAf>`2YX_ diff --git a/shifter_8b/shifter_8b.tan.rpt b/shifter_8b/shifter_8b.tan.rpt index 0be7927..e94c46b 100644 --- a/shifter_8b/shifter_8b.tan.rpt +++ b/shifter_8b/shifter_8b.tan.rpt @@ -1,5 +1,5 @@ Classic Timing Analyzer report for shifter_8b -Mon Mar 07 11:15:57 2022 +Tue Mar 08 15:17:19 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -39,7 +39,7 @@ applicable agreement for further details. +------------------------------+-------+---------------+-------------+------+----+------------+----------+--------------+ ; Type ; Slack ; Required Time ; Actual Time ; From ; To ; From Clock ; To Clock ; Failed Paths ; +------------------------------+-------+---------------+-------------+------+----+------------+----------+--------------+ -; Worst-case tpd ; N/A ; None ; 13.413 ns ; A6 ; Y7 ; -- ; -- ; 0 ; +; Worst-case tpd ; N/A ; None ; 15.661 ns ; LM ; Y5 ; -- ; -- ; 0 ; ; Total number of failed paths ; ; ; ; ; ; ; ; 0 ; +------------------------------+-------+---------------+-------------+------+----+------------+----------+--------------+ @@ -81,7 +81,7 @@ applicable agreement for further details. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 6 ; +; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.00 ; @@ -89,7 +89,7 @@ applicable agreement for further details. ; ; ; ; Usage by Processor ; % Time Used ; ; 1 processor ; 100.0% ; -; 2-6 processors ; 0.0% ; +; 2-4 processors ; 0.0% ; +----------------------------+-------------+ @@ -98,50 +98,50 @@ applicable agreement for further details. +-------+-------------------+-----------------+------+----+ ; Slack ; Required P2P Time ; Actual P2P Time ; From ; To ; +-------+-------------------+-----------------+------+----+ -; N/A ; None ; 13.413 ns ; A6 ; Y7 ; -; N/A ; None ; 13.008 ns ; A7 ; Y7 ; -; N/A ; None ; 12.993 ns ; DM ; Y3 ; -; N/A ; None ; 12.852 ns ; A1 ; Y2 ; -; N/A ; None ; 12.792 ns ; A6 ; Y6 ; -; N/A ; None ; 12.781 ns ; DM ; Y4 ; -; N/A ; None ; 12.737 ns ; DM ; Y5 ; -; N/A ; None ; 12.544 ns ; LM ; Y3 ; -; N/A ; None ; 12.476 ns ; DM ; Y7 ; -; N/A ; None ; 12.455 ns ; A1 ; Y0 ; -; N/A ; None ; 12.428 ns ; A1 ; Y1 ; -; N/A ; None ; 12.419 ns ; A0 ; Y0 ; -; N/A ; None ; 12.394 ns ; A0 ; Y1 ; -; N/A ; None ; 12.311 ns ; DM ; Y2 ; -; N/A ; None ; 12.292 ns ; LM ; Y5 ; -; N/A ; None ; 12.248 ns ; A6 ; Y5 ; -; N/A ; None ; 12.029 ns ; LM ; Y7 ; -; N/A ; None ; 11.943 ns ; RM ; Y3 ; -; N/A ; None ; 11.911 ns ; DM ; Y0 ; -; N/A ; None ; 11.884 ns ; DM ; Y1 ; -; N/A ; None ; 11.864 ns ; LM ; Y2 ; -; N/A ; None ; 11.859 ns ; LM ; Y4 ; -; N/A ; None ; 11.855 ns ; DM ; Y6 ; -; N/A ; None ; 11.827 ns ; A7 ; Y6 ; -; N/A ; None ; 11.433 ns ; LM ; Y1 ; -; N/A ; None ; 11.432 ns ; RM ; Y0 ; -; N/A ; None ; 11.404 ns ; LM ; Y6 ; -; N/A ; None ; 11.258 ns ; RM ; Y2 ; -; N/A ; None ; 11.254 ns ; RM ; Y5 ; -; N/A ; None ; 11.249 ns ; RM ; Y4 ; -; N/A ; None ; 10.823 ns ; RM ; Y1 ; -; N/A ; None ; 10.804 ns ; RM ; Y6 ; -; N/A ; None ; 8.265 ns ; A2 ; Y3 ; -; N/A ; None ; 8.237 ns ; A3 ; Y3 ; -; N/A ; None ; 8.014 ns ; A5 ; Y5 ; -; N/A ; None ; 7.942 ns ; A4 ; Y5 ; -; N/A ; None ; 7.635 ns ; A4 ; Y3 ; -; N/A ; None ; 7.583 ns ; A2 ; Y2 ; -; N/A ; None ; 7.551 ns ; A3 ; Y4 ; -; N/A ; None ; 7.136 ns ; A5 ; Y6 ; -; N/A ; None ; 7.085 ns ; A4 ; Y4 ; -; N/A ; None ; 7.014 ns ; A5 ; Y4 ; -; N/A ; None ; 6.993 ns ; A3 ; Y2 ; -; N/A ; None ; 6.585 ns ; A2 ; Y1 ; +; N/A ; None ; 15.661 ns ; LM ; Y5 ; +; N/A ; None ; 15.651 ns ; DM ; Y5 ; +; N/A ; None ; 15.610 ns ; LM ; Y3 ; +; N/A ; None ; 15.606 ns ; DM ; Y3 ; +; N/A ; None ; 15.297 ns ; DM ; Y1 ; +; N/A ; None ; 15.251 ns ; DM ; Y6 ; +; N/A ; None ; 15.189 ns ; LM ; Y4 ; +; N/A ; None ; 15.186 ns ; DM ; Y4 ; +; N/A ; None ; 14.807 ns ; LM ; Y1 ; +; N/A ; None ; 14.796 ns ; DM ; Y2 ; +; N/A ; None ; 14.768 ns ; LM ; Y6 ; +; N/A ; None ; 14.737 ns ; RM ; Y6 ; +; N/A ; None ; 14.714 ns ; RM ; Y5 ; +; N/A ; None ; 14.662 ns ; RM ; Y3 ; +; N/A ; None ; 14.654 ns ; RM ; Y4 ; +; N/A ; None ; 14.633 ns ; DM ; Y7 ; +; N/A ; None ; 14.630 ns ; LM ; Y7 ; +; N/A ; None ; 14.582 ns ; A0 ; Y1 ; +; N/A ; None ; 14.517 ns ; A3 ; Y3 ; +; N/A ; None ; 14.509 ns ; A1 ; Y1 ; +; N/A ; None ; 14.410 ns ; A2 ; Y3 ; +; N/A ; None ; 14.345 ns ; RM ; Y1 ; +; N/A ; None ; 14.328 ns ; LM ; Y2 ; +; N/A ; None ; 14.284 ns ; RM ; Y2 ; +; N/A ; None ; 14.272 ns ; RM ; Y0 ; +; N/A ; None ; 14.232 ns ; A5 ; Y5 ; +; N/A ; None ; 14.231 ns ; DM ; Y0 ; +; N/A ; None ; 14.156 ns ; A6 ; Y6 ; +; N/A ; None ; 14.096 ns ; A3 ; Y4 ; +; N/A ; None ; 14.080 ns ; A2 ; Y2 ; +; N/A ; None ; 14.078 ns ; A4 ; Y5 ; +; N/A ; None ; 13.824 ns ; A5 ; Y6 ; +; N/A ; None ; 13.706 ns ; A1 ; Y2 ; +; N/A ; None ; 13.606 ns ; A4 ; Y4 ; +; N/A ; None ; 13.309 ns ; A0 ; Y0 ; +; N/A ; None ; 13.231 ns ; A7 ; Y6 ; +; N/A ; None ; 13.196 ns ; A5 ; Y4 ; +; N/A ; None ; 13.181 ns ; A7 ; Y7 ; +; N/A ; None ; 13.141 ns ; A1 ; Y0 ; +; N/A ; None ; 13.137 ns ; A3 ; Y2 ; +; N/A ; None ; 13.099 ns ; A2 ; Y1 ; +; N/A ; None ; 13.098 ns ; A6 ; Y5 ; +; N/A ; None ; 13.064 ns ; A6 ; Y7 ; +; N/A ; None ; 13.036 ns ; A4 ; Y3 ; +-------+-------------------+-----------------+------+----+ @@ -151,19 +151,20 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II Classic Timing Analyzer Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Mon Mar 07 11:15:57 2022 + Info: Processing started: Tue Mar 08 15:17:19 2022 Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off shifter_8b -c shifter_8b --timing_analysis_only -Info: Parallel compilation is enabled and will use 4 of the 6 processors detected -Info: Longest tpd from source pin "A6" to destination pin "Y7" is 13.413 ns - Info: 1: + IC(0.000 ns) + CELL(0.994 ns) = 0.994 ns; Loc. = PIN_67; Fanout = 3; PIN Node = 'A6' - Info: 2: + IC(6.895 ns) + CELL(0.624 ns) = 8.513 ns; Loc. = LCCOMB_X1_Y5_N10; Fanout = 1; COMB Node = 'triple_selector_8b:inst|inst31' - Info: 3: + IC(1.604 ns) + CELL(3.296 ns) = 13.413 ns; Loc. = PIN_60; Fanout = 0; PIN Node = 'Y7' - Info: Total cell delay = 4.914 ns ( 36.64 % ) - Info: Total interconnect delay = 8.499 ns ( 63.36 % ) +Info: Parallel compilation is enabled and will use 4 of the 4 processors detected +Info: Longest tpd from source pin "LM" to destination pin "Y5" is 15.661 ns + Info: 1: + IC(0.000 ns) + CELL(0.994 ns) = 0.994 ns; Loc. = PIN_69; Fanout = 7; PIN Node = 'LM' + Info: 2: + IC(6.879 ns) + CELL(0.650 ns) = 8.523 ns; Loc. = LCCOMB_X26_Y1_N18; Fanout = 1; COMB Node = 'triple_selector_8b:inst|inst23~0' + Info: 3: + IC(0.370 ns) + CELL(0.624 ns) = 9.517 ns; Loc. = LCCOMB_X26_Y1_N20; Fanout = 1; COMB Node = 'triple_selector_8b:inst|inst23' + Info: 4: + IC(3.028 ns) + CELL(3.116 ns) = 15.661 ns; Loc. = PIN_147; Fanout = 0; PIN Node = 'Y5' + Info: Total cell delay = 5.384 ns ( 34.38 % ) + Info: Total interconnect delay = 10.277 ns ( 65.62 % ) Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 191 megabytes - Info: Processing ended: Mon Mar 07 11:15:58 2022 - Info: Elapsed time: 00:00:01 + Info: Peak virtual memory: 212 megabytes + Info: Processing ended: Tue Mar 08 15:17:19 2022 + Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 diff --git a/shifter_8b/shifter_8b.tan.summary b/shifter_8b/shifter_8b.tan.summary index e1cbc56..3eb3609 100644 --- a/shifter_8b/shifter_8b.tan.summary +++ b/shifter_8b/shifter_8b.tan.summary @@ -5,9 +5,9 @@ Timing Analyzer Summary Type : Worst-case tpd Slack : N/A Required Time : None -Actual Time : 13.413 ns -From : A6 -To : Y7 +Actual Time : 15.661 ns +From : LM +To : Y5 From Clock : -- To Clock : -- Failed Paths : 0