From 76434b6ca1fbf3a40ddb9fa09ce2ec489c2c4284 Mon Sep 17 00:00:00 2001 From: wzhqwq Date: Mon, 7 Mar 2022 10:47:31 +0800 Subject: [PATCH] =?UTF-8?q?=E9=98=BF=E6=96=AF=E8=92=82=E8=8A=AC?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- data_selector/data_selector.asm.rpt | 70 +++++++++--------- data_selector/data_selector.bdf | 48 ++++-------- data_selector/data_selector.done | 2 +- data_selector/data_selector.eda.rpt | 59 +++++++++++++++ data_selector/data_selector.fit.rpt | 23 +++--- data_selector/data_selector.fit.summary | 2 +- data_selector/data_selector.flow.rpt | 50 +++++++------ data_selector/data_selector.map.rpt | 28 +++---- data_selector/data_selector.map.summary | 2 +- data_selector/data_selector.qsf | 3 +- data_selector/data_selector.qws | 10 +++ data_selector/data_selector.tan.rpt | 14 ++-- data_selector/db/data_selector.(0).cnf.hdb | Bin 1188 -> 1172 bytes data_selector/db/data_selector.asm.qmsg | 4 +- data_selector/db/data_selector.cmp.bpm | Bin 630 -> 631 bytes data_selector/db/data_selector.cmp.cdb | Bin 3926 -> 3926 bytes data_selector/db/data_selector.cmp.hdb | Bin 7054 -> 7114 bytes data_selector/db/data_selector.cmp.rdb | Bin 15586 -> 15764 bytes data_selector/db/data_selector.cmp0.ddb | Bin 54621 -> 54661 bytes data_selector/db/data_selector.eda.qmsg | 5 ++ data_selector/db/data_selector.fit.qmsg | 12 +-- data_selector/db/data_selector.hif | 2 +- data_selector/db/data_selector.map.bpm | Bin 618 -> 615 bytes data_selector/db/data_selector.map.cdb | Bin 1387 -> 1386 bytes data_selector/db/data_selector.map.hdb | Bin 6730 -> 6768 bytes data_selector/db/data_selector.map.qmsg | 6 +- data_selector/db/data_selector.map_bb.cdb | Bin 726 -> 725 bytes data_selector/db/data_selector.map_bb.hdb | Bin 6166 -> 6218 bytes data_selector/db/data_selector.pre_map.cdb | Bin 1430 -> 1430 bytes data_selector/db/data_selector.pre_map.hdb | Bin 7084 -> 7153 bytes data_selector/db/data_selector.rtlv.hdb | Bin 7082 -> 7151 bytes data_selector/db/data_selector.sgdiff.cdb | Bin 1226 -> 1226 bytes data_selector/db/data_selector.sgdiff.hdb | Bin 7103 -> 7173 bytes data_selector/db/data_selector.tan.qmsg | 8 +- data_selector/db/data_selector.tmw_info | 9 ++- .../db/prev_cmp_data_selector.asm.qmsg | 7 ++ .../db/prev_cmp_data_selector.fit.qmsg | 38 ++++++++++ .../db/prev_cmp_data_selector.map.qmsg | 7 ++ data_selector/db/prev_cmp_data_selector.qmsg | 59 +++++++++++++++ .../db/prev_cmp_data_selector.tan.qmsg | 6 ++ .../data_selector.root_partition.cmp.atm | Bin 6863 -> 6860 bytes .../data_selector.root_partition.cmp.hdbx | Bin 1864 -> 1862 bytes .../data_selector.root_partition.cmp.rcf | Bin 1018 -> 1017 bytes .../data_selector.root_partition.map.atm | Bin 4224 -> 4223 bytes .../data_selector.root_partition.map.dpi | Bin 747 -> 742 bytes .../data_selector.root_partition.map.hdbx | Bin 1771 -> 1767 bytes 46 files changed, 326 insertions(+), 148 deletions(-) create mode 100644 data_selector/data_selector.eda.rpt create mode 100644 data_selector/db/data_selector.eda.qmsg create mode 100644 data_selector/db/prev_cmp_data_selector.asm.qmsg create mode 100644 data_selector/db/prev_cmp_data_selector.fit.qmsg create mode 100644 data_selector/db/prev_cmp_data_selector.map.qmsg create mode 100644 data_selector/db/prev_cmp_data_selector.qmsg create mode 100644 data_selector/db/prev_cmp_data_selector.tan.qmsg diff --git a/data_selector/data_selector.asm.rpt b/data_selector/data_selector.asm.rpt index 546d846..3723dbd 100644 --- a/data_selector/data_selector.asm.rpt +++ b/data_selector/data_selector.asm.rpt @@ -1,5 +1,5 @@ Assembler report for data_selector -Sat Mar 05 20:41:36 2022 +Sun Mar 06 21:29:31 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -10,8 +10,8 @@ Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition 2. Assembler Summary 3. Assembler Settings 4. Assembler Generated Files - 5. Assembler Device Options: D:/projects/quartus/data_selector/data_selector.sof - 6. Assembler Device Options: D:/projects/quartus/data_selector/data_selector.pof + 5. Assembler Device Options: D:/dev/quartus/data_selector/data_selector.sof + 6. Assembler Device Options: D:/dev/quartus/data_selector/data_selector.pof 7. Assembler Messages @@ -38,7 +38,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sat Mar 05 20:41:36 2022 ; +; Assembler Status ; Successful - Sun Mar 06 21:29:31 2022 ; ; Revision Name ; data_selector ; ; Top-level Entity Name ; data_selector ; ; Family ; Cyclone II ; @@ -76,37 +76,37 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+----------+---------------+ -+-----------------------------------------------------+ -; Assembler Generated Files ; -+-----------------------------------------------------+ -; File Name ; -+-----------------------------------------------------+ -; D:/projects/quartus/data_selector/data_selector.sof ; -; D:/projects/quartus/data_selector/data_selector.pof ; -+-----------------------------------------------------+ ++------------------------------------------------+ +; Assembler Generated Files ; ++------------------------------------------------+ +; File Name ; ++------------------------------------------------+ +; D:/dev/quartus/data_selector/data_selector.sof ; +; D:/dev/quartus/data_selector/data_selector.pof ; ++------------------------------------------------+ -+-------------------------------------------------------------------------------+ -; Assembler Device Options: D:/projects/quartus/data_selector/data_selector.sof ; -+----------------+--------------------------------------------------------------+ -; Option ; Setting ; -+----------------+--------------------------------------------------------------+ -; Device ; EP2C8Q208C8 ; -; JTAG usercode ; 0xFFFFFFFF ; -; Checksum ; 0x000C1D58 ; -+----------------+--------------------------------------------------------------+ ++--------------------------------------------------------------------------+ +; Assembler Device Options: D:/dev/quartus/data_selector/data_selector.sof ; ++----------------+---------------------------------------------------------+ +; Option ; Setting ; ++----------------+---------------------------------------------------------+ +; Device ; EP2C8Q208C8 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x000C1D58 ; ++----------------+---------------------------------------------------------+ -+-------------------------------------------------------------------------------+ -; Assembler Device Options: D:/projects/quartus/data_selector/data_selector.pof ; -+--------------------+----------------------------------------------------------+ -; Option ; Setting ; -+--------------------+----------------------------------------------------------+ -; Device ; EPCS4 ; -; JTAG usercode ; 0x00000000 ; -; Checksum ; 0x06EFE46F ; -; Compression Ratio ; 3 ; -+--------------------+----------------------------------------------------------+ ++--------------------------------------------------------------------------+ +; Assembler Device Options: D:/dev/quartus/data_selector/data_selector.pof ; ++--------------------+-----------------------------------------------------+ +; Option ; Setting ; ++--------------------+-----------------------------------------------------+ +; Device ; EPCS4 ; +; JTAG usercode ; 0x00000000 ; +; Checksum ; 0x06EFE46F ; +; Compression Ratio ; 3 ; ++--------------------+-----------------------------------------------------+ +--------------------+ @@ -115,15 +115,15 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II Assembler Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Sat Mar 05 20:41:35 2022 + Info: Processing started: Sun Mar 06 21:29:30 2022 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off data_selector -c data_selector Info: Writing out detailed assembly data for power analysis Info: Assembler is generating device programming files Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled Info: Quartus II Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 241 megabytes - Info: Processing ended: Sat Mar 05 20:41:36 2022 + Info: Peak virtual memory: 221 megabytes + Info: Processing ended: Sun Mar 06 21:29:31 2022 Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:00 + Info: Total CPU time (on all processors): 00:00:01 diff --git a/data_selector/data_selector.bdf b/data_selector/data_selector.bdf index 93f0c83..e8c03eb 100644 --- a/data_selector/data_selector.bdf +++ b/data_selector/data_selector.bdf @@ -422,7 +422,7 @@ applicable agreement for further details. ) (pin (output) - (rect 928 240 1104 256) + (rect 928 256 1104 272) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "Y7" (rect 90 0 104 12)(font "Arial" )) (pt 0 8) @@ -1316,18 +1316,10 @@ applicable agreement for further details. (pt 480 -160) (pt 328 -160) ) -(connector - (pt 328 -160) - (pt 328 -192) -) (connector (pt 480 -104) (pt 328 -104) ) -(connector - (pt 328 -104) - (pt 328 -160) -) (connector (pt 480 -48) (pt 328 -48) @@ -1608,14 +1600,6 @@ applicable agreement for further details. (pt 560 0) (pt 560 32) ) -(connector - (pt 560 32) - (pt 776 32) -) -(connector - (pt 776 32) - (pt 784 32) -) (connector (pt 544 56) (pt 560 56) @@ -1752,18 +1736,6 @@ applicable agreement for further details. (pt 544 712) (pt 744 712) ) -(connector - (pt 744 368) - (pt 744 712) -) -(connector - (pt 776 368) - (pt 744 368) -) -(connector - (pt 776 368) - (pt 776 272) -) (connector (pt 840 -128) (pt 928 -128) @@ -1792,15 +1764,26 @@ applicable agreement for further details. (pt 840 208) (pt 928 208) ) +(connector + (pt 328 -160) + (pt 328 -104) +) +(connector + (pt 560 32) + (pt 776 32) +) (connector (pt 840 264) (pt 928 264) ) (connector - (pt 928 264) - (pt 928 248) + (pt 744 272) + (pt 744 712) +) +(connector + (pt 744 272) + (pt 776 272) ) -(junction (pt 328 -160)) (junction (pt 328 -104)) (junction (pt 328 -48)) (junction (pt 328 8)) @@ -1816,4 +1799,3 @@ applicable agreement for further details. (junction (pt 400 552)) (junction (pt 400 664)) (junction (pt 400 720)) -(junction (pt 776 32)) diff --git a/data_selector/data_selector.done b/data_selector/data_selector.done index 64e702a..a8b8ebc 100644 --- a/data_selector/data_selector.done +++ b/data_selector/data_selector.done @@ -1 +1 @@ -Sat Mar 05 20:41:37 2022 +Sun Mar 06 21:30:44 2022 diff --git a/data_selector/data_selector.eda.rpt b/data_selector/data_selector.eda.rpt new file mode 100644 index 0000000..e9f55eb --- /dev/null +++ b/data_selector/data_selector.eda.rpt @@ -0,0 +1,59 @@ +EDA Netlist Writer report for data_selector +Sun Mar 06 21:30:44 2022 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+------------------------------------------------------+ +; EDA Netlist Writer Status ; No Output Files Generated - Sun Mar 06 21:30:44 2022 ; +; Revision Name ; data_selector ; +; Top-level Entity Name ; data_selector ; +; Family ; Cyclone II ; ++---------------------------+------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus II EDA Netlist Writer + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Sun Mar 06 21:30:43 2022 +Info: Command: quartus_eda --read_settings_files=on --write_settings_files=off data_selector -c data_selector +Warning: Can't generate output files. Specify command-line options to generate output files, or update EDA tool settings using GUI or Tcl script. +Info: Quartus II EDA Netlist Writer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 167 megabytes + Info: Processing ended: Sun Mar 06 21:30:44 2022 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/data_selector/data_selector.fit.rpt b/data_selector/data_selector.fit.rpt index d3b0058..4aa9d68 100644 --- a/data_selector/data_selector.fit.rpt +++ b/data_selector/data_selector.fit.rpt @@ -1,5 +1,5 @@ Fitter report for data_selector -Sat Mar 05 20:41:34 2022 +Sun Mar 06 21:29:28 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -63,7 +63,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Fitter Summary ; +------------------------------------+----------------------------------------------+ -; Fitter Status ; Successful - Sat Mar 05 20:41:34 2022 ; +; Fitter Status ; Successful - Sun Mar 06 21:29:28 2022 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; data_selector ; ; Top-level Entity Name ; data_selector ; @@ -137,7 +137,7 @@ applicable agreement for further details. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 4 ; +; Number detected on machine ; 6 ; ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.00 ; @@ -146,6 +146,7 @@ applicable agreement for further details. ; Usage by Processor ; % Time Used ; ; 1 processor ; 100.0% ; ; 2-4 processors ; < 0.1% ; +; 5-6 processors ; 0.0% ; +----------------------------+-------------+ @@ -185,7 +186,7 @@ applicable agreement for further details. +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in D:/projects/quartus/data_selector/data_selector.pin. +The pin-out file can be found in D:/dev/quartus/data_selector/data_selector.pin. +-------------------------------------------------------------------+ @@ -910,9 +911,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II Fitter Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Sat Mar 05 20:41:33 2022 + Info: Processing started: Sun Mar 06 21:29:26 2022 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off data_selector -c data_selector -Info: Parallel compilation is enabled and will use 4 of the 4 processors detected +Info: Parallel compilation is enabled and will use 4 of the 6 processors detected Info: Selected device EP2C8Q208C8 for design "data_selector" Info: Low junction temperature is 0 degrees C Info: High junction temperature is 85 degrees C @@ -990,17 +991,17 @@ Warning: Found 8 output pins without output pin load capacitance assignment Info: Pin "Y6" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis Info: Pin "Y7" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis Info: Delay annotation completed successfully -Info: Generated suppressed messages file D:/projects/quartus/data_selector/data_selector.fit.smsg +Info: Generated suppressed messages file D:/dev/quartus/data_selector/data_selector.fit.smsg Info: Quartus II Fitter was successful. 0 errors, 2 warnings - Info: Peak virtual memory: 306 megabytes - Info: Processing ended: Sat Mar 05 20:41:34 2022 - Info: Elapsed time: 00:00:01 + Info: Peak virtual memory: 286 megabytes + Info: Processing ended: Sun Mar 06 21:29:29 2022 + Info: Elapsed time: 00:00:03 Info: Total CPU time (on all processors): 00:00:01 +----------------------------+ ; Fitter Suppressed Messages ; +----------------------------+ -The suppressed messages can be found in D:/projects/quartus/data_selector/data_selector.fit.smsg. +The suppressed messages can be found in D:/dev/quartus/data_selector/data_selector.fit.smsg. diff --git a/data_selector/data_selector.fit.summary b/data_selector/data_selector.fit.summary index 38c08c6..812a951 100644 --- a/data_selector/data_selector.fit.summary +++ b/data_selector/data_selector.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Sat Mar 05 20:41:34 2022 +Fitter Status : Successful - Sun Mar 06 21:29:28 2022 Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition Revision Name : data_selector Top-level Entity Name : data_selector diff --git a/data_selector/data_selector.flow.rpt b/data_selector/data_selector.flow.rpt index 174039c..403e9a8 100644 --- a/data_selector/data_selector.flow.rpt +++ b/data_selector/data_selector.flow.rpt @@ -1,5 +1,5 @@ Flow report for data_selector -Sat Mar 05 20:41:36 2022 +Sun Mar 06 21:30:44 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -38,7 +38,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Flow Summary ; +------------------------------------+----------------------------------------------+ -; Flow Status ; Successful - Sat Mar 05 20:41:36 2022 ; +; Flow Status ; Successful - Sun Mar 06 21:30:44 2022 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; data_selector ; ; Top-level Entity Name ; data_selector ; @@ -63,24 +63,25 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 03/05/2022 20:41:32 ; +; Start date & time ; 03/06/2022 21:29:24 ; ; Main task ; Compilation ; ; Revision Name ; data_selector ; +-------------------+---------------------+ -+---------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+------------------------------------+---------------------------------+---------------+-------------+----------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+------------------------------------+---------------------------------+---------------+-------------+----------------+ -; COMPILER_SIGNATURE_ID ; 220283517943889.164648409201276 ; -- ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; -; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; -; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; -+------------------------------------+---------------------------------+---------------+-------------+----------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+-----------------------------------------------------+---------------+-------------+----------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+-----------------------------------------------------+---------------+-------------+----------------+ +; COMPILER_SIGNATURE_ID ; 136411542855513.164657336336460 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; MISC_FILE ; D:/projects/quartus/data_selector/data_selector.dpf ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+-----------------------------------------------------+---------------+-------------+----------------+ +-----------------------------------------------------------------------------------------------------------------------------+ @@ -88,11 +89,11 @@ applicable agreement for further details. +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:00 ; 1.0 ; 245 MB ; 00:00:00 ; -; Fitter ; 00:00:01 ; 1.0 ; 306 MB ; 00:00:01 ; -; Assembler ; 00:00:01 ; 1.0 ; 241 MB ; 00:00:00 ; -; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; 198 MB ; 00:00:00 ; -; Total ; 00:00:02 ; -- ; -- ; 00:00:01 ; +; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 226 MB ; 00:00:01 ; +; Fitter ; 00:00:02 ; 1.0 ; 286 MB ; 00:00:01 ; +; Assembler ; 00:00:01 ; 1.0 ; 221 MB ; 00:00:01 ; +; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; 178 MB ; 00:00:00 ; +; Total ; 00:00:04 ; -- ; -- ; 00:00:03 ; +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ @@ -101,10 +102,10 @@ applicable agreement for further details. +-------------------------+------------------+---------------+------------+----------------+ ; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; +-------------------------+------------------+---------------+------------+----------------+ -; Analysis & Synthesis ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; -; Fitter ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; -; Assembler ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; -; Classic Timing Analyzer ; Polyphony ; Windows Vista ; 6.2 ; x86_64 ; +; Analysis & Synthesis ; DESKTOP-G0CBSMT ; Windows Vista ; 6.2 ; x86_64 ; +; Fitter ; DESKTOP-G0CBSMT ; Windows Vista ; 6.2 ; x86_64 ; +; Assembler ; DESKTOP-G0CBSMT ; Windows Vista ; 6.2 ; x86_64 ; +; Classic Timing Analyzer ; DESKTOP-G0CBSMT ; Windows Vista ; 6.2 ; x86_64 ; +-------------------------+------------------+---------------+------------+----------------+ @@ -115,6 +116,7 @@ quartus_map --read_settings_files=on --write_settings_files=off data_selector -c quartus_fit --read_settings_files=off --write_settings_files=off data_selector -c data_selector quartus_asm --read_settings_files=off --write_settings_files=off data_selector -c data_selector quartus_tan --read_settings_files=off --write_settings_files=off data_selector -c data_selector --timing_analysis_only +quartus_eda --read_settings_files=on --write_settings_files=off data_selector -c data_selector diff --git a/data_selector/data_selector.map.rpt b/data_selector/data_selector.map.rpt index d8583a4..3d23707 100644 --- a/data_selector/data_selector.map.rpt +++ b/data_selector/data_selector.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for data_selector -Sat Mar 05 20:41:32 2022 +Sun Mar 06 21:29:25 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -39,7 +39,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +------------------------------------+----------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sat Mar 05 20:41:32 2022 ; +; Analysis & Synthesis Status ; Successful - Sun Mar 06 21:29:25 2022 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; data_selector ; ; Top-level Entity Name ; data_selector ; @@ -131,13 +131,13 @@ applicable agreement for further details. +--------------------------------------------------------------+--------------------+--------------------+ -+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------------------+-----------------------------------------------------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; -+----------------------------------+-----------------+------------------------------------+-----------------------------------------------------+ -; data_selector.bdf ; yes ; User Block Diagram/Schematic File ; D:/projects/quartus/data_selector/data_selector.bdf ; -+----------------------------------+-----------------+------------------------------------+-----------------------------------------------------+ ++------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+------------------------------------------------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; ++----------------------------------+-----------------+------------------------------------+------------------------------------------------+ +; data_selector.bdf ; yes ; User Block Diagram/Schematic File ; D:/dev/quartus/data_selector/data_selector.bdf ; ++----------------------------------+-----------------+------------------------------------+------------------------------------------------+ +-----------------------------------------------------+ @@ -200,7 +200,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II Analysis & Synthesis Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Sat Mar 05 20:41:32 2022 + Info: Processing started: Sun Mar 06 21:29:23 2022 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off data_selector -c data_selector Info: Found 1 design units, including 1 entities, in source file data_selector.bdf Info: Found entity 1: data_selector @@ -210,9 +210,9 @@ Info: Implemented 34 device resources after synthesis - the final resource count Info: Implemented 8 output pins Info: Implemented 8 logic cells Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 248 megabytes - Info: Processing ended: Sat Mar 05 20:41:32 2022 - Info: Elapsed time: 00:00:00 - Info: Total CPU time (on all processors): 00:00:00 + Info: Peak virtual memory: 230 megabytes + Info: Processing ended: Sun Mar 06 21:29:25 2022 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:01 diff --git a/data_selector/data_selector.map.summary b/data_selector/data_selector.map.summary index d0a286d..49cc5f2 100644 --- a/data_selector/data_selector.map.summary +++ b/data_selector/data_selector.map.summary @@ -1,4 +1,4 @@ -Analysis & Synthesis Status : Successful - Sat Mar 05 20:41:32 2022 +Analysis & Synthesis Status : Successful - Sun Mar 06 21:29:25 2022 Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition Revision Name : data_selector Top-level Entity Name : data_selector diff --git a/data_selector/data_selector.qsf b/data_selector/data_selector.qsf index acc75cb..a1faa02 100644 --- a/data_selector/data_selector.qsf +++ b/data_selector/data_selector.qsf @@ -53,4 +53,5 @@ set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" -set_global_assignment -name MISC_FILE "D:/projects/quartus/data_selector/data_selector.dpf" \ No newline at end of file +set_global_assignment -name MISC_FILE "D:/projects/quartus/data_selector/data_selector.dpf" +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED" \ No newline at end of file diff --git a/data_selector/data_selector.qws b/data_selector/data_selector.qws index fc216dc..42962c6 100644 --- a/data_selector/data_selector.qws +++ b/data_selector/data_selector.qws @@ -2,3 +2,13 @@ ptn_Child1=Frames [ProjectWorkspace.Frames] ptn_Child1=ChildFrames +[ProjectWorkspace.Frames.ChildFrames] +ptn_Child1=Document-0 +[ProjectWorkspace.Frames.ChildFrames.Document-0] +ptn_Child1=ViewFrame-0 +[ProjectWorkspace.Frames.ChildFrames.Document-0.ViewFrame-0] +DocPathName=data_selector.bdf +DocumentCLSID={7b19e8f2-2bbe-11d1-a082-0020affa5bde} +IsChildFrameDetached=False +IsActiveChildFrame=True +ptn_Child1=StateMap diff --git a/data_selector/data_selector.tan.rpt b/data_selector/data_selector.tan.rpt index 43b0ebd..2a38069 100644 --- a/data_selector/data_selector.tan.rpt +++ b/data_selector/data_selector.tan.rpt @@ -1,5 +1,5 @@ Classic Timing Analyzer report for data_selector -Sat Mar 05 20:41:36 2022 +Sun Mar 06 21:29:32 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -81,7 +81,7 @@ applicable agreement for further details. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 4 ; +; Number detected on machine ; 6 ; ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.00 ; @@ -89,7 +89,7 @@ applicable agreement for further details. ; ; ; ; Usage by Processor ; % Time Used ; ; 1 processor ; 100.0% ; -; 2-4 processors ; 0.0% ; +; 2-6 processors ; 0.0% ; +----------------------------+-------------+ @@ -139,9 +139,9 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II Classic Timing Analyzer Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Sat Mar 05 20:41:36 2022 + Info: Processing started: Sun Mar 06 21:29:32 2022 Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off data_selector -c data_selector --timing_analysis_only -Info: Parallel compilation is enabled and will use 4 of the 4 processors detected +Info: Parallel compilation is enabled and will use 4 of the 6 processors detected Info: Longest tpd from source pin "b5" to destination pin "Y5" is 12.694 ns Info: 1: + IC(0.000 ns) + CELL(0.995 ns) = 0.995 ns; Loc. = PIN_45; Fanout = 1; PIN Node = 'b5' Info: 2: + IC(6.147 ns) + CELL(0.624 ns) = 7.766 ns; Loc. = LCCOMB_X1_Y9_N26; Fanout = 1; COMB Node = 'inst6' @@ -149,8 +149,8 @@ Info: Longest tpd from source pin "b5" to destination pin "Y5" is 12.694 ns Info: Total cell delay = 4.905 ns ( 38.64 % ) Info: Total interconnect delay = 7.789 ns ( 61.36 % ) Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 212 megabytes - Info: Processing ended: Sat Mar 05 20:41:36 2022 + Info: Peak virtual memory: 192 megabytes + Info: Processing ended: Sun Mar 06 21:29:32 2022 Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 diff --git a/data_selector/db/data_selector.(0).cnf.hdb b/data_selector/db/data_selector.(0).cnf.hdb index 141b3cf736cd988940f0fd766ee37380eb2f4bc6..0bbc5cb429ac553bcf7d40319fe556e984e2b9f4 100644 GIT binary patch delta 906 zcmV;519kkQ36u$tR)4tz00000002q>00000005K(00000004La+?G2}96=C-&u>EH zUqDD??c-i#2N5BmCo;1J3rm)b3<2SVgboQWbm*UOAt9kdLPCd@U+3y#t8ZJn`vZ)$ zd%d$Y)&2GCE-V&{MGvFG@elDsIE=@JG5rP8Lpc7l`(#{CaDSxYInM7to}HI3+MpWP zji#{kUh>(#U1!ejCN3?tv~tmqOP5wP7nPJ(lGiRDQNCPWQO5mqFnfaICqB`exK?XQQ(#cEkKhW&23Bn2mo`Chfjbje+~BKtg;>>B1ITUA z#}eE?HAX^9Y(7mWXUl48hsCDYOYHWPdt@`)f@9fY%hUT4R3zY_Oa;Zhi-n9Ts~z!+X)P%PQwa;Gu!rSTC%7#O_mf zeNJ&m3O<U2QwS@x$54cI*To8ZK0%@Kv7(6;d~8-&6U4#_-I=fX z4%Xo%wL+C_Q$+`OD^x{qK;hrPu%}j%d=TCjO`n&zI?Cty&DrsX-R)5I@00000002q>00000005)}00000004La+?Fd-98nO3H*X{r z6^TTp=CLo_fG7`BGnV+x{iHt-dkyKur(}z91(^b2FfLk?7 z&z*Bm_t$skCbQXW*1@Q7{X_g1F5|IbOn<@j7_L9_eKM|RxPMad62G6moSc@g=0Vl7 z2TftWJIQCecAq)Bow&5r(#l1BE?rpFTvSqCNnX2rK>1>MMLB;{EqT}FL&_J+>6@7& zbtUh)e2en#i#Zp)Ns)RI_a&|g*7)L*xiVXk`jQV^&eV-BFPSS7KMf=wx|~RjFRz#_ z6F(Kdu_szm+JB8zni$ET>S?~A+!Q;>=3LR0BD-Rn3Rzb{-j}?IiE7O!>e)0ZWU~-3 z^85oWY+|B?4I|ljxrve64BaN$dt)-^W#fHGjNE3+ZD_A|K(m>%GVxv{Mlz|rkxei! zy~fOW*?0#MBe(I=n_yphjhXYZp}Q~k_BFw@^4c=ze}Casc!HPW41Xusw7ut@&NOpRU zK9=Absv#0uV)JQ2IU82fd{}IXoiv}I$1TpR0#B|mxrS_>soPd{pirh8JTRXeGI46Y z#9~uyhks1_aDRvCJ9v!|t2OoyfDM*2#lxRKvddyGr~e>YbXnzG3p_M%6YGVwi`aeY zw$CwcEK7m1_7GdqDb!<%brfr@vDg$jWEvyCuqKFw6%sAo@t|0_;{#;0!S)!ez<&rl z6l|~pKWc*q)f9&EnII-sP#B7^rY)@SS}es1ihq?@Lu9ohS6qipnX3720Dc!n$o^g*_i3zkD_j z6D#=GtguFig%!FpMWM^i!8&}TR;ZG#tLOl4g{tT^DEu4@duk=g2jN4}^m&cDgM6Cb gpB#QZ{BZj9+uP>g<9`4E0RR7Z0TN(i0F%E1)d54Rs{jB1 diff --git a/data_selector/db/data_selector.asm.qmsg b/data_selector/db/data_selector.asm.qmsg index 6a3f1f6..ff61c95 100644 --- a/data_selector/db/data_selector.asm.qmsg +++ b/data_selector/db/data_selector.asm.qmsg @@ -1,7 +1,7 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Sat Mar 05 20:41:35 2022 " "Info: Processing started: Sat Mar 05 20:41:35 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Sun Mar 06 21:29:30 2022 " "Info: Processing started: Sun Mar 06 21:29:30 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off data_selector -c data_selector " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off data_selector -c data_selector" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} { "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Info: Writing out detailed assembly data for power analysis" { } { } 0 0 "Writing out detailed assembly data for power analysis" 0 0 "" 0 -1} { "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1} { "Info" "IPGMIO_CONDONE_ERROR_CHECKS_DISABLED" "" "Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled" { } { } 0 0 "The Active Serial/Parallel mode CONF_DONE pin error check is disabled" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "241 " "Info: Peak virtual memory: 241 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Sat Mar 05 20:41:36 2022 " "Info: Processing ended: Sat Mar 05 20:41:36 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "221 " "Info: Peak virtual memory: 221 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Sun Mar 06 21:29:31 2022 " "Info: Processing ended: Sun Mar 06 21:29:31 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/data_selector/db/data_selector.cmp.bpm b/data_selector/db/data_selector.cmp.bpm index 588123f9d9d8e26b22c2da6d12045b50ae14dea3..27276f813c349f405f756cb684f9c4ed6f87b7f1 100644 GIT binary patch delta 441 zcmV;q0Y?7z1os4xPJaXm00000008{~00000005%^00000004Ia00000004La>{Po- z#8428PgD>=u(1;D&1&wh53mrnvC_g)2yv4lkWJQX*1r@>JHJ9?5jD;UGvg&f76if9 zg~{BUoOzvlNm-WVmg6|DF?k+t{y zv*Yf`soz>-#Iyx@AgwCSz97rOQRGoL9pNl6r?Vr-93yQ-G-_8k(6OrJeLzedFtUrj8DD($Jj*B=;YXRGNmKE<`(IlFX1+m2hgI^~D7yDT1R)v~@}YrQJ_&(c%@Yh(Y(NUS#illTFC&;iY? delta 440 zcmV;p0Z0D#1oi}wPJamr00000008^}00000005%^00000004FZ00000004La>{Po- z#6T2XpQs>$U}GiPo7GI#2UrN(SZQG&Vv-_~&BP@8nPO?@SBQnLcu$yn9U^2w5PS_x z?#!7p_i^r!x~}W3Ua$8`_Pfj}%IAgh*(7^J6XS;Vurv73_e_4{iEQ63}rq*cS&XJnZRMOMgiQf{1GNdp_5BK!JvbPG0ECIIK$NY8({RxOAeR3j8uo3Dkv?WML7H z;;af%Ke}*2J<5|bj{Ka+NtNiVbz!^Ex_UoFrpSZ`ddZV9*mauVVvVkV(;7AeY3;qC z+R*&3pxhZvP~fdu+j^S9*>HhbmmL>{V3;Rz-V!Z*A`2l{G`_C`{ diff --git a/data_selector/db/data_selector.cmp.cdb b/data_selector/db/data_selector.cmp.cdb index a89e904cd70e4a045ca080db4c198e7f41b4d731..e4cd8a4b85eb9b67263359f412e2c8fd90d73446 100644 GIT binary patch delta 3278 zcmV;<3^DW89@ZX^hJQkZw%8gv)XKWFNc%^XziNGy1Q1P7BPp0wgU^`Ih=8e@T#O0zb>96~1PL&k<_$(XKVWvw=V=gEi+Gk*~Wn2|s@v22)yj9WfM z%C8Xwws-5>Y5yOxE1{kCr`pCy+iCwqhy5??u>Vf=*AD+DYMxJWI8T!8f4ODpdDnh? z+2TLc-o1X~2}=*3ID6S88xAb1eFJF!g^~^gBI}CkLvYgRMqM+yt@h{3Qx=0%I+!&!F2 z2~uGCdN0zc*)i##CFz?aB`)D}CAIYye!9SsB=<`v>sUb0!&E;^*?UqfcDr!#MT-|L zx$wdz@3OeBpoRkMN9slr;4HllaFd^w3_(+)GA!RoV1JS*{lw!lX*$gEnzNHH5cVxZ zG$l+2&{D{#Uk;fv`7f$p_(4<8XHorC!p}i)RaAe3-5yNG(lemjyAT-$b?cn3&x&sM{OE|TxdS*w zvOoP@-G4j@>E^}DO?^l==X~1KcT+bPx2c;)1G=$(H~sGBx>@r*BO9MZy1PKCL%Q1$ z(cL{a7y{kY-IZ3)S>R4iRf-i1-e_+rtW?c(cS*Yn7pb(-K~wxXUi+l z-ACKh-Mtar^+o({u%zxnV|sIBOfR{@AcW?_ZGRQ46(4C+xAlN-?OJgt;+Ox3tQGwc zznf9PTCu22-E0l$#;z5$h~GUYx(WH!Z_HjIxXxY|neU#hKzA32?ws{VMngQ|KF8hH zu!UbHY&stZ2e2z~*VG$jzp@qwd)7ba)!V(`!-J;YUvt;WUU8F*&u+>9zBP~Bgu60q z5`P)CT}uhVGW<3m!)lS?#tLLO->{hYGTbaO%(>1Wj0Wtm=bJ_chXeKZJyL9^Dx&zVipGs;z}2hhV_gMyl%v%+JAO%I{G-VD zOu$a-_nAF#=xp_QIa~STZKe3?>I&>QLx1$lcXh5EzAQ5S?iz!z*OSrmICzKg#cukV zJsbNxenohED8S?UM33txkjJkIk3S9Y_+D%43FPr>!s7!09tVZTp$d59OBM!y?)+w0;0RUdi+`BU^t?G&WDNP@>i3)W)DuXtjShCtIU@84EUL#j zO84*2o7PuXS77I-g$LixcZm*0Bfk1lz*iSnIH%Y(zKT7g?L)pd7=uTivF{4D`9@^s zK<~?q?gTs&Uw?iN=&}YV_ppxwIs_=s)AMyLp(_F9ZW`3ooD8}GP}C|QAb*~OFByq) zeKJXSst^q17>@7@zq-t{GN9yDcr3O&!{VdKhjcCby&lj@QTi|gGYv zl5>JU*~7ztUIg5$Gkij?27eT1d-aJ1X#3nWYJuEP&y-bILBf820qA)GH4+fY9WmaN zDq1wR->rb6RsjLAj~`7->IM@yTS*`{4}jL11}OWzAJ7GWUXQpN`P@=x1AO_V^%&3%AkF4XE{qc{~j`w0DlnH(D+?C-*Vyl+6lKzTRL$Ukz0F12xA?ii??;u zpmJNOu#Phj(ytjXby&z)Y@JQV(t}zZEVp9AB*ChXPDd-=pMS761ZN5$#$+T--;CS{ zvaW5=O53N1xe(sO+VFHp0IpMBqNpQJ8*+dn%hd4cEoJ5gjOa@vVhin89ONAme`3>72hnzcUL*6%O!G?BD zEn<$aKCz*TH-E7y!=4SA`gj{GFDAy-Y>koR6%u;KAH4!MlchP>P|$!y4P^|T@H z-%M&7$_KiXmuRdFA-ICj5IOJuYI`WffPs3~H9EZG*RKkW_ z-?-MH)iCp+^^p4+A8%sU!x>^jUOKWiJf`+E;4ce2VtOOs`a zq$hBGsDC5U8!%-mWEpknkwHOkm{NnD7pBype=g3?^>xIS2F2vsK5=f~3DBycM;A=a z4Lcn*xMvDzs8<$Ddc!QlI%1FS8}M;G8x%cyrl2?Qz&+&+>A8*?de|HA)+HMhJ-kxz zs-hhflVYWScPD&*=)Z09%fvJH8#p((+oxuOf`7ZsEbwU6hf=$*T^fjGRKuB8-ae5^ zc{Pv|8|%n(!9-ah%cw)sqgB;v;5$akSS+O){7~!-Rs|b@UPtuRz|UXqb&qi@ST)$| z9>6dCPLf^}3s2$=_n#1fr-)0}l>~E`8^n4U$ov>Z9~Gdw^c`6R`BUx$NEr~A@ZHO< zTz}IMn&+^Y2~B9y8k}?-Ov&oR<8vUdi_m5bwr+l38$#WsZ9Z5L&Qen%?jws zq1h3i&RplxB%f{T6M8GM5&2}W(n5+n8`Ebu`0R#0VO&b3qhq6E&hEzxpUy7)sDJq< z9Pz08r{l?qXLru^c{M#F3*J1R!Sy~#IYpNy=j6tq++ul}PAk$}l$SYk%gU7jvEQzl zJ$Fs-;%?woQh2&(Gs7dPj74O&8S#}^GWnO$zQ)P$(1zC=5HfmbMk_VqL6MscOuZ=e z#9`pHYNpmSp}t44w*X1SpFE(L1%Lh&0zcQm)5uXfRaYq-frohq4KGF%A!NtpZ=Uc6 z>ndyqit&JRjmRq_jHGnP>487=K6ljc_k2(e({3jk;!nLHD2Kt@1?G`cZYL7=mj)90her|TjDkjGc8VIyFE}O~m zR*h!t+e{AYSG2EbVxGQV{eMix`TU3+e}AoRAC==Nk%Qxsa$swz*fRG&O~?N0B5e8ZL9)BRTSLE>LjRrZu-(!Y= zrpNvZ=>hmS{FL=>pTza$m?!qIbZiPd-r>o@0uXZh%PI;oQ+NeR0YYd>FV*NpPKXVi z9l}2&$DRQrC=Yx|!TFDNIa-lN+owR2^KU3(iBp_cxo->M5YC^QHmg5cJiByq?EngW MX@&hCvo8%N0uRS${Qv*} delta 3278 zcmV;<3^DW89@ZX^hJVr~Wi73tL#?b!i?n}K`K#7PNdVCVHIk}XHTaAPjR=~m$;BuV z(SQ$70h9U%+NyyN6RVbzB}jlRNNr1%?c@0S&3tpt+}SgC&b_zyY`b?SJ7;FT`R1GN zHLr8#ZkncPElHANk{)GBz!7AUK4ff|k&Np)R@Q0*c%F{PFn=3yfEfvd6U&A<$hhS* zr2HyDV0*W|o%a7ByAs-If2M7Ww4L^kci8`u4*Ty^f9>#ps^f9BVZ924~_I05B7fL!5h^#BB55Y;N8+Fa-w%VUBk6*TZ`8tW= zx%Fl8mcEN?VSiY?m(j>lrA9=nA3|p&{mJu3l4KLsIF`%m_Ha6$o&(+9iO4XdTjzXzN_4yLN5^!{9l$A) z{i*Nj=6^{@H!oai>O;D@;1j04o4Q%nrfwby=*Ifp%)6TFX5IIUYA8N!^9U^w!9je#cb?Av7QEsDEIs_+Xp5tp{{#*NP(%zx+pJt>};V z-K+}Mic8wm&Gvw9>{?Na_}#Ojn~-1q#_T16>+B7Y`R|8?Jl-GRaY%R^u7F3rWMS~<&aVlN zr$^?_zeeWHyB{o`J1-X*Z>eBj;d_W88NvDp;A(GVUgEEAsR)2{O z&Z}VF*&WcqR?)%k$olcaHrJ2KM8=gB*m(|(jNtq7Z6f2}A~L=d*vlx9;o=Hp;JjWW z!|fu2T?0dV%5S%6r+12sS5+XRT?2hPeMe+`EMliU0Xtb=yP^UaE~JqVd>OWh4ChAX z&Ha&i^NGNobGg`QZUu7&j!=cZh=2J^&zti_#*iSM@}M`@0P zxW5LJw2?*ZW8WQdQ``eJb|oUuccx#SwCI`dMZ`Bj^i6Iyd)(lASxIh49Rws$HxGc8 zoD&4f9v%X8DR8gN@Cm&ZP=B25)khnk?Q_$p1#&|@Q&wRG3H$v8pce_$NI)oe#CTJx zXwlq$w*rb<1q8%Cel#tq8%*GAC4t=B4_fOQpzQZPKo>V!{pIwBWO?+Jczt<}-l0x_>F}#rUkNq*El+ z%{`!XE}%TWy;awe8}?Al<5|F=y>nc?(Q>&dZz;D`fgG5yE-@3ei4f6~uAxsO?=Y*vu+^#&9AYM{a|@0zl%hQtYPZMl&Z#Mmdh z8I~E+ly|b&g7+E@fPe5y!1y#q3KEQM9R|ShXj0P)Ag;6ND!L6(Al`&P80#2ayzOHK zmD@^%b)12ae$9ZX!(y(PH>LQqXzSn$X{ccGAXh0bC)APuH}Yt$kY!8;l4NvrC38&z zMZ+6kD8mrbmS}=+tBTZQ>ufrn9@gq$xfL5G308%4CR*|SgnzXmI8y*ICZlQkM&w43 zb!~%I+CD|hh43cVhG#7Z`l4g=QE{k$PGBhAus#Xk)J|)8eTi+IOKh#5;o-e z#%EcVW9=J)TAC zh;(Hd3t2{e`rH>fV)a8|47554F}4zlyro(D?y3PEuSazqDb)b(6tavu1l3>>riL15 zMBdJ-BlfTwusck7fy=7FJ+neHNso8~=LO#Jsw4W#96-II2CQxR$4D)!VSJognkrKy zJ%RH>9eNohFs%mtb8&vIuOqfJD5lo-iE{%_fL0AXx?pl{ z*zKsnJySqKy|Q4^8|EO^5qo^!fRF3hpy<&v1-*d>?rCpGFLcz^y;gy0{ z744vy5-SC~JK_67|80|BCZ4(9z`4QQJ~bN@+<$Fmfk&%8l-hmm(m*Vu8qT!x_K8%= ztAU)@SVx`Qa%7DOx?_T!g zntzVaynxM2XhM_L;H2YVN>(Qxp96Vagyu7)X}-QR&F4$gHoK zBp8Tp?>Qi&^+U+EK+}0`=IWD2N9r^WD$mAbhh2!RBk~MPI7Ky1Z3++@A~q6lRzOz{ z&5rnV<~o-q`D|OC&|8s>$R~r97EU#`(3y@U&$pea6;D1jc@N*qJjU2Tzb(O*qc!YP*@M1&}LUvsK76^Z^ zuEKVp7!NqtiM%qxNJ@vC9{5A=^T!N-&jsZ$?RKLf{?zM&au~clU>-R|{tU!C^L|Zv zwMo?f(C^_wIiMFbdJezrLeTc0yx7<%0vhO{$J-4|;Se#xdK-Lu+y#SC4uAgi#o{pa z?Qs@Yh@bkf@_IOz27>L;8=Vq6zVsh-Ffk2DnvY8xj z)@a7Q&E&9tMf;j2=IQ&@&wpf`FO10X_gCxoQ8}IzIXEsU2ey`qEpz|VbnL$_()Qq3 zDx5dqSKl&i^>{Na&C{1-BYuN`KGdR!9P@Z}7&x3q$)BO-@drZtMGk-7XpjT^J!%MO zdhEZD9)OR*Pg(EwNnBr!1!50N$ELvJ9iA*K0wK4*tfC+@jaQ%)fI_DAQjK2ZgxJv8 z5&Sc9>=`hE^1zoAod0N-;}vXOkP+S*xx8m+D3$O3q zyuAF$Kgr}w&YADa8A(PUf`ftys^GbsSN|3tlK(In{XZNe{|~*8j<5{rp-B02A+D=RPz#HL5}i6K|Alm&)%yCYbd=~81V z|D4E6{ANEv9`Y|er2d`P!Io;Yo(P8?o$6{kd4jdwTTeClJrjK-G!bprEo(ljyZ)=U z6`K{74Nhxq{^TkCcu_sk8(*uPto|AK)3G=H;7{vCO=_wC(`Mrz4sAWTpDh5f$Vq34 zN7@s>dl1F2v}H?7^#Ix@yB3`zj8!QnfQu};)xH>>E8cWo*HU29?%9!I+5nbdD| z55&FWXzPmGkbav4%TjXI^V4~GJ5G*DPmSpYRUI3rd4%GyDnoDXznc^o2B=K;>tk&lwgfDQ4VwO2vh^80B~|1*(t zGQgy)xt)zCW6a0Qn=MpBqR|g}BizT2CdLn7Lsw*Ove@UvY@2w$jErvQ9Z`LTL}C>Nx`&n@q-Z zhHn5NVb#iqABE{i_(>LRL<+Ar$L2;$b4PcT!q_yE1-mNQReNoHVdyBdU`E|)AJC`m zrSnH-`$uc4=a9#SB`$XTQ_hLjA95hyM}KPVAS>Ei&6RZ)tJ+*2yKGmj`IZBw8#3cMpB4Dm z3Sx~P$%6a0CF;FNQH-sLU`SpuJ^jHRzd>oKCUg4asivlWR-VEYnUZ?pbWGvhG-?_h zUTdF55-aNjLC&{VnlgEFdeOW;r1*M^m^uicd;BSR$~FWl=OwSXWf^?pez0hMlhO3E zMOJllN%W$f#^@lb$^`jkGHK2rB+h2QJ7{3@KN_$YtMmt6S;PnAETKShC9AeiVp` zmG9?nSVBJ6;ZTO{RWL%#%2l&Q=7DA3OKbJD`}4tHa6~ukp=RIE2*ps}aVQmXJEU*0 z%I+@sG_rD-r1O?5pBKO8>;1UA!y9HZM4-khtf}Fssn^2$Ln(bj9sg@|gx9k5o2eAm zYz?a3%S2(nn?{tdDQ|37I{G5uc&{8v-?Pm9Sf zT+3$~C&2AQDpEFItBxQQ&@L3M{Lcs-4(jPW$0zrf=;Yz`YcKYc7fCzo zw`)-!LP*w1=L3W{9^YwTv=wqt8*B{iCUO^`byoZXjma+if8K#|Pt`dE)iI-C*?3dno3jCo& z(J1(IVqRI_*0;hh&UCbzUuNBT8I!}4;|4XE{19{qp3ja#Se}eYJugfrk?gefl8=`G zgZnUDgSV2@+XpR`J=~7}85Ee zEK0LZf_)&^svYuKvbeu^moZ$ZXaJ!hie=^EB#`L@P-bhXaXo}j(wWsVV6>b_`@UYENY8qAWFr#pl z!V=y>^y&H#x+h3C;Ut&0hD_-u>3aP`Vi3N>djW}UVW&SGf(wwYUs)8;4vHxiV3+1O za$}Oqh`KIv+LG4hr&jw;^NIsoW5vLEDLepjnKkp1kc!akfL95Y?v4?{{aFoCoJD#?EAf#Mc9mP)dpBjKhT0H*Z5Nr1}ajnE^D+1&{ zYV;nX{%$E55lSK63nF=;a5BivrPbC~nw@3_YsUUT_$SHoZq~|+MQ4lISoBMy@zO8W zel7%F;$}~b(@*V&(Bx-cmoRhZ^jM>J^ty1kuGN+z=>iA6DT;;T+@Uq&S~8US)%qv0 zs#TbhYuKApkzg=#zq%>RNH7m<8Gs=tp58VxVfBscml*0LJ-2k@9fBG+|drKa*F5$bETWkTAdCU=qw1z2rKk$Nl0QKdlTt+v!jy_Myt02WkP zTtkW`P^f2MW?&luw>gOV??ikVRrW9!w+K*6tsFat1TD;W%Whym)4%{}5=cMFI%U$2 z`y+k-l5aP&u5L_KsmXDXL~(go8nGo1wskj#bC&vbQdPs0LSt&T z<8|c&Iq7{%tRGUO8@TJS7dZTbHjOLHtc@bLi38Qu8)GLLIIP{C{(pNiZw6~^uR#i*VtlnzsAu<|d}ciV;#JOsfJc1>a< z1tYeB;hIOJ4`;PD!t3x3x$S2&Oa{@iA3hYvx}mIZGSl1XTvaTNQ|sY{qM7*>Omsfnon=FeIa43d zNEfg|Z_DitOUa*=b*Ht>zn3AUXKa%J-J}6kh{c-b$x5_viK&Whr)XA(SR>p} zB4VH49{{rlScBt#yjNkkHQXQhOoG}=b4tQdQE{KB*uAn|P%%!{>21Xn1?-}rqM-)9o zAaHN!=U$h+#cckN(-q~u3Nf6WZ9=`3_+1-@TqyCIl96!Yi^2zqg)Ey!$MyQ#I`hfg zMtzCUDr5(biy1oSI0YkiJX18tSmk7PlF)PMfIJ}kDrJYKB>Lea1_tdVw=tF!= z&sdfP_`tSKG5a52cj$$a)z&9cZ$-HP0K>1}tVK^IIv252>IT^;H6lb)RvSt_{P5~7 zou`Y3=V_dyxjSxqv$U{QvN5!j%|2Jd-5T+_Fpo(e0Xcju=mfFq%5eA_l>uD z3p}Ad^HWw*d!Jo7yt6d(>Z(7++Pw%4FX46h7MqC^S`_)=67I%#=v0yYHc=^JiOlpgev&G5}A8ON{NO((1RHV)J&vS1^nCMf7 z;9p3a6y+*Ypmr1mI$*k62bvxK5o{MB!2$RPuS-nqQ-18zD~vJtQq54U!=hN<@prxB z1GHw!uK4Y|0Av|5`j>-Z9=^`@0XSt1&&%tc(@nLQKz5r5k0W!yfn{b>lz zeB$v!ic$OxmR*_sXpU6@c|WnaymkUK9*JkJL|4ZJ5}V0Rzl#JlxWK1PYXc77*9i3j zZ67+*S_NtF*kvq$q2rV6)?3J=`i%5t)>hcSTFu!(#n~vuXJdu{HaySB9$y;NH76Lf z`wy~()n%Ps!9R=g0c3oOu99(e3&nB9KoIui(mB_B+$&1h6$yP7 z_j!Yg4t=(@!F)A};%0R&@VO!X?Y$lY$pN=oTTxeM`pa5K zK<7;vaDY)LvKuteG@5oTM-e!xl2oN+gKFjq0hU zbEd%P1c59%&Tj7V4#--V)>{XiS8}#LU9Rid5_76@8X2{7r`wNhWf;Qvv6-0V;Wo-QXK}JtTsaoE2+qYC{Hm6petp#nYHZ@e2@Muqg&HRsKx0^OW9on;cS`&|J}a7)YM`caOyvtpxT7w* zG-TXDC6FEL#8G~$9(!gdub-7bdH!a%c0}2gTgO@9z8ea(m#x27E4sTw?U#6AXA!jc zcHHgvT+2juPGrHRxm0cIYl%?lIZ9O=t&%zoxfTTGrz^Pxq^6HSS|B7MEs2?Ho$F~n z*gT-qWU2sR7jXIIkRnC8;%IywW#F~$+bPw>NH`cD(oN7d?EHvC}B!}$Ah#Y99VHwnFrS zm=Jbd9Gq#~8(f^}LJe$pm5>yj4cx}(2VtFb6)zH^rzIXIS`-9%nP8=HNPpt|1}!o_ zA_u(Pv3W;(95c;iEuR8?iD=$ ztoA1l!l^N+@u+%7T`564%ZP3F(|mug5Jt+u;PGB9P5UQdclW|LsRx!u#Gn@42sJuP z6#nHxcx`^0O0C}JnS0NxB!H=X??O*!x)}sGuXEtAM&0LKD7duL@}73dZzvn3G6qkc zzgMZeTyjF`E3Kqd@$XG4A}7XYottqgtnY@H#oK`SQv_<4!VU2MCQQ59Tb!gCVs^dc z1CtcX3--BRRM#V^UAAn0yFTOlKvA{S2ph#?0z0hzu>%>Zs`)ILGB+M-4=io#47&Si zA%w-$w}nOW2lG=7H>a*Vz1v;vW}L?3vjl!_wRv!y-^iM4({Y?0<}ivih}Cu2-Xqk6Es+&ZxC;*@do4KqkTFo-l1=6^2Y z16yy#dC&Y>b>A)~Fpxb)WiOXsEXBfyG*0_$QN$yUz0odAUbPuGClIb?$2V+BXxPWZ zE-@qSy$YDxJrb^r_W!el?eop#l+qs}-+I7T z*{tGyc3EZmY-5s9!sqm{n9qslZzV4V^Eom8Z8#M36)kFin|fp$NegNePwR8QJamh; z;h1g08+Gt%unFU$&2cyTKs;oHC=1rB@(-teH1~P>b8@-2V*}9+*VlV;*Z>Koka}GX zj6pnCOo?s@vCNNZcDy?-CZf(4c{CetA447$!d3LXM8{QAPQ4GeY(F&!xIG19dO>AaNTdqGZJsx(u%A!;KCcqcCIn;=EKNICChwSg@0d7(| z347a~`Fo)gZOPrl1hi%fWdI8=opO%rxo_TBj(sWfyuJ|EnTEJ=UDn2i8#ux>XE|Jp zBS%l-ZYO*H%h1?+mA10_-=pA{2I}%%`6(Ss@5LCkEbso*Q72)<-=#;~h?NaGSHR>s zb^8ym)KZN=Agz11h4%a;@|hgi$l+5VITyZNygPRG&S+pz{y!Gix{$;4LepK)Z)1;q zwaF`N6uW#oF2m=%@ZFY=2~>}RA?aAwFF6G#hL2n8i2G1}a-o3L8?f3BgolWM8gUUP zJ>{drvrhEnllh<7q$O|AWz-hmHr8-{<@bQMyZeZ)=P$QSzI%OtxAR`DOLik7{g$C& zGf^RPW8ZRBbjl;yTjb+o^5d@He_c{tRtKZo3`aMoo>z|x7rC|@D(vYsSM`oL=2~l7 zst=Y)8@1k+XkKX)#uHScuT6e?SI-)%No#qL=+aD4Nvx4ED~QRup6Tew|&(0ll` z?OOHz#6B)pPO*<{z3GN}i1WSvWc*IdwIRJL)4jPz?_JF<fUp@uRG{9)5TH_Mc4xSOXVNax42z?h1bC zs-KzMk00}`loCnOt1GEY^ds@f%HgYeyIYMbUjD;sn4cp`Oy&05pAkM+y6A<+N+Yvz9d*k_JH0(iKI(GgAkn-a+j1yK%vl}ik@8O=YmC4N=T&KHNesx1KuE&C3FX)2%3t6ac<7pIC{KfzZCnA z5bUSfOr5cDiBc^09UgGcTq!M_kelCY2vqG9G0*W7qnd;8M-nxaqNft%`di78ih##Y zSe8AiVI%`IHeNO=f?rhMU7vzfWu4;!a;K$+)QQ7dul#ab!Z|g>0=cvbIYzKE`98@R zhogQJKvH1QCkayq>jyBwg_`?%HxBi?C);q1PWDmROYc}wpF%7HF(HVy$@KZoP(0aW z+WtW-Fu{r;LI3jOey_0HMrcW8J>{6eh_!EFp{=)}*HaEVY6LsEw?Tc>aUe?#dev3= z{*EQH+&8c7cL?I-F7}k)eGbzie45%sHCj%k;h!m8uBIZuXw}(s5E%L>R#xop){69U zu6LyfcVqg5Ntc%DxWEsqBlmWDpnRmXd2ujHt3-h~&*zcG5!pN~Aw`(uubdIQ4UO$-7nQG!XK9_)9l9bR#V(jYxNwG()F!3=IRv z_j%5{);asbzV5yE`dw>(xYxDz+JnL|!r#<@x0h)D8B!Gg)kN(7Y7EtX)f4?7(<=kq z&l6*peD}t>g4&&a5@=*MfG9Q=wxbFl^(d>tdkOZ=&9}a&#LV|@ zx>SF9dTF}PX{FWoPKXo6{49KHYvQc^HfLIHNFj7q^Mr;kmursmGewd~Wm(@Dmm8gO z-{*tSU$ny+DDxMT8`KBs#@|$?EQ&!-mKAKwu~*NX!bS1yHP;~RFsmN^D4uwb6KUy7 ztbkYSBSg5sr~6N`X)f%m)dF=?es{me{vL^zrnl4FD^0cRlF8aMGAlDJe?4&LG`3EQ zvv`;wA_Him1g$YFvyN;7+?9$ z+G(_9$!!SQia%&$ogEh|?6FU+?%nLsjp(BZdrb<9pFt-DV04$#2mU-XBHR-(UTA77 zoV3Q3_NeMaIfp^_#CiYZ%=xdWq>~|@;l89-{=Z1Ned&%c#pP&mU4vp$=P;{qEJ?cm zV@7w`uH$X~ZmGpReBNgUvj%hPV&`X%q4|x^YTz2PaXx=J4Y%h+sLTtNGoZJ)7H8r45Ws`QJ+!X&_?=Y{?~GHy>fh z`%L6tGE)eDXQ47}&&OCB48r_FnDDU|MjYjDa4fEIgg3kx`i#Yo#PLcIzOJmgTI%JL zBZ#Gy?77UA1r;QR7N^{gINQWkCsEM)3o(evpR<{5w z`#&nZrd1CFe}9->xX5GU&Q~sV;`^(e7g79-;EtKvS`$!In3`~|)zK}I;jHUeTXPuK zaL;_{vCKqoq&I!G6fn8&i@p>gFiq$^#Z)|mrxI^4cZ^#Wkkxe=VV)*GpO@!Sp+Gp# z#79HTIOjyrZB)ghnk)4N++mQ3onA$+C!=dyQxc;%0`>&D=NUQ#2-sn`#s+#S77Khm z+7Y8Q(N5Y1&3xAKsq320KSYH7nzqKDOk&H@aw`;QRJAxAOfa>MP*nZSMzgM&%%);g z=&3xB`sY9>xQ9b~FOiK#(7(_V_eK8g|3bCD{sZ~`@6nO|AXy=dJ0n11`Rfqq*MGKL7N{O597sYE1=k8dFLkt8D|;JLRGms9Ns=3aVvF&aJa@h{PcTG zQ6;joLj=0vrsxUqegScD-c@TO*p$ZQ>v><%)bKNbliURvQJ}(x*zH~1RsX&K{_I`y zyz$5}pF~zD))Gi<)r#dVt@h@j=&0ZDPa(JsE8Dcx91xb4ck5`_bn$vhH+78Qb7_h4 z!NGkX9H}B~L@yk6Iiwj1geyDH^D;5M?C-ayvvE*-&zzSVSM;7)B8T7TtfcHcu?Owt z`5RxatoA1%zMCy4bfBC3D8l}JFX`dLR%1PvyeJ=i&K=;6ZVr7qST}m#4{a6gZ4(UN zB-N)nuc%Zwc`U)@)?#O;+JvOPKTuj^-!|FEDv8mvUo#=i?57+}5?t}?IG!POo0}Vj z!pX`&|D^PA`2!)YDa$!|jS?6if4;}}Muk3gl6YmzGElCB>imWGiCxpu;a*K!-8c7=K%2Y{iuM?k$<7 zx!-7_T$rYR%I7x7^KMSREisFfZ=(tKV|<2U`TG?!t%(CAqp%zYZ?N8^26?F~)DPem zy<|Mh1w$D=YP@vE%#x9pa9v=w2i4>yS2;}aNdlxTQA@c=yf{?yv^-axda~*(B>kb| z7p|`dmR0POc*Ao9!~I2Or)Lv6FFY2su39_JCr5jFA6(FJ(0FNI6F;HPJf_{`p-z>Z zagH*Sq9EJ}eQ`l1_6a<=6JKB~X{3^s$N^E}8r(dSXijjs)a)&BC}wSBYVcI5o=cxL zs|3Ipb#A$92EMOIU8zYhpB}nxhV>hPcPZ>e7RD0cd0$iZ;}R0V5JpzCD&nGFgZrJ~ zP#nr(SDDhZ#M5xiRj;q7$r{Kn z6MYmF{BVOeF2w4Z)zlo`DXmC5w&XJO#nicM+%$KEfPq8 z199-|{{Bp9kv7wQo3loSOXAGPqd0d|QPd)Y@v6VSh0i5B>fTu4C>f6Pep3eI^;Y43 zy5jw&Cf#-mk{LQM#CIn2ZDTwcgXQ30V-q?*3>q*URvg~npS}=X=H;P+rMv~eT+du( zaGN%&q&;!0HR)m7(%QbWaW(ENKjRb2UqUDo6OD0$^2{ol5+H8-+$>U9F^onfl6IB1 zli$b9ZyD#Y(XRQ2mwmD4171GL>YOFhm3fuCxh-BD3$PgRH$Wd~KhdXejHVZTsKLBv zHmr-EN|&|21&eg(0uaXRWH`W$23PZJqX=kZdV(w<9Zr_xNe(gRBIq!t3An*e;W62b z__nzHZ84F)v9ukJddr^fn*ShWwqLoGoe|(*oE_|6L0=Qe%Y1uJiFVI?-WRmLsnxps zYC^qZnU2G2Qu9w)-327{!_+gQH-T0FVcRc6|t$wDH5WBi0dxsf9aR1U;7iOWAwsTCK4XyX~Jk;Pz?ot+9 z4Hv3&L3=y;!iz>;J5_IZBl-ELjN?&ZHX1ro#ZOXSVNhD6oe=m)0WuX9t2AyJoi2Aw3q?ea2)LA31H_F{=PCsF?*PY~G4UL5JO- z4JW^E&r;m}9*-Y)>IVpk->}1f88THo4gVQHdVgvHYBb^8v8|44vfiWtHLAz{u)F#f zTvu#|Y@>M$rvO1wN{DxPTpzfbC+D6DlGCz&mmpWNV90lqgqBNV4@mi0BbW zz0Nfyaw)4MZ)AmTk*a2gO1LbCUgLg&m}i%I39k(SB(}n#c{LR+Bj6O89-^Buf$mdWiph{)fLJg zkva2SfH56Pi%72Cq>Q!_OPHiW+={8kX|tJ1#i0snaJaVhrU0G9o9cX6ze#}AM&IXrP7*b_%t&b0F!!9(A$rc<9R2`WBZl&*v*7t;*eBz zFZ#Lld*-9hVZ9*{{mFmH!})u(@QEi%UT3pK$m2cXW~vRj6lK@igHW5j`Q+oFBQU)w z5>NvszqPB3{TJ15Ga%1)!n)bv*ZlBb(oA}Rz&B!I2YE;rT~K`5Q_X?wA?2BY{+B&2 zT<}C=cE;p^|A{ayg^DoZg$R*WTufah1xey=<03!kz&xKzOjHJey#0WBh&i?_ z&(6Shg4_?#6RXQ*KDYtbGda4TBvX3EouPhZ5R{p*)ITM&T0yT`Pv^{;;Zz&`%Y5b= zDgKL_MRH#wrsb#MCgxZx{JVo|ke96i0^^hAz>K^4c&!pZyK5dgZYBd}c*ZIgYA_nJ&r0QSz1mTtu3Qm@GU{e%%}{BF{uj9^NI5TMqm6V-puHqQ$g57y@QySBmHk zeY5@nE`lAjTEk!2b#m!>ckkWIveq*Ac`18K329-Vb;v4MmpL_;q~c+XPyH_LVuY22 zYLBWJ;jis~g>c^^5A-Ymd`wYvJj4nM^Vy-_24o6VVSt~YkES?bPgx#x3`}QU!gYpTTtg_6GhTB_|1aHFJ}pS9-5{h6EF-Rw8a8uZRnZ zlV*|%Z*BYlW*!NmihANCTkr#jv{~G^|8kq38Y)3P-tsED>s>Uk6p1M)d+m6xnnh6G zrQ3;QIK{x-FRVqj?npZqY7C|>uc64!S*rxTkJSkZ6&WJZDG>_`J4J?RhG`3FdkCsM zZKCq5#-=`#l|~on%aqOJ$fXLxAaG7k8k+vHfx)rs^R?NSS}}U@^{r9iq*R;GGVw|4 zIAt3DZatm!M|vP)Oze~GR@=#@f=Z2V%GhI>Jma#winYDC-beMaHvbfq*1*l~c1z`h zn5BU0o6*=;NSWAKQY*KT{+kKPBki9I+d55ux{f7|BHjJq;jqFuWTgF_1&X}8HeQI~ zEXb--!3bW5s`~0f0=5X=;;r<1z|s37kV5V%nXal9EC4*uetnlB)9Z%Mv8vgw&OM?! z)q#G;7#WGL#FU0T1lgL>fR$tz&Ir`z78Ez<7POS-xK~z{TR?s78!fq8PpkF~Z0px@ z3{KQLn+rIp7I}cKv}w<zobd2g2f?COL2r$qRbMZX{h zG|LaH5CB!zY;t7cCL`TgRQ1JsQ}Y@+m-5hnXg9hvK>lEUo2|x`h_sVoWaEUEg5CACLnsub&&D^{=Iqa7LJr{(M z_yXKg9sk_$mPpt)Y9e%PV=JU~uTxoOZw^ntD){Qo#uT<%4o)7ve9ksI?Oa&b6=NQ2 z*G%~?eg30ddH6p=6>qnXcE>}kF35k2ABqjJ`kcMJroiZA+vo&yT^OK&RTx2e5V!vXg=V3 zXs~uz+WA}FV0l)G!`l(|!GHRQys^SK)3`{H^=h}uwEmU39y^pmY$Y}BH(9a+ZGi>u zfyTqn39V7|QUQrhCfVC3_oQ1sWP1sKf;@K&$F}Z<*lc2+7VeVnq4-IJF42%5;M*IQ zcQtBIt9l^hjp)tfhlK1AP=E3Du*dRl+jaG@5A|e!dDkvbw%?LBcKYj!vYw~M4*2TB z%xIkp7I82C4;P*uF#>ViDrMRuWn|XZIHaV%GIBwsz>8M4z$;d!z-vXiz>6FBS2)WR zh|X60$ym|1TSl5wUU8I7zACIT*m)~bv<59CZw>NU82vTHUfj3#I}IS*EfH z^?Im`NrLZCsI4!L2JNnl*g)1!R0H?5JJLAPg1y%>Yl!z#mVNVu2I6kN%T*qmritvi z(B;0?nCpoIZ&}RlwgJv%a?aM4f5-IJMII)H!YH>~`!_xH&XY0&=-yAkvF|AV=GR4y z_6Y31nm4+l+NO;_MLb%ufn=56$%x?ONK&?K+C6IKNbC{eS4l&m3K&Ii*>OwSyl|5G zvm47kTNmjYTjJ3+Gmw{5y5W9X^sb_Yzr^SF!HVW!^xoLOw!7ctA@_5KSog!sZS!_> zu~9Y0#=5&Zx82#Bd8cHPGU#iBcs<~DXgy>$ev;vQ64M~$Z1(xr1;S56W~r#Yy7v!P zEVSm^8mesLB>9>6-)E?8wGZi)d=qSf zL~rn>xU3tN=NwcJXnEW%8Fq*Mn)E7g4o6QotgqYCEZ*oP4S*^#47RH>wnj#`*KeaN&#GsPAK*@|d(|ihc_$l3Pz&&ja#V>XK?+H#G3+QdMo8>osS8 zmH^GASwE>|K7Sk)95<;^0^{|o~O#5n$eF0-}^$}cZ zuBk(eEs`%mR?y{ODu!bM_;H?oWaVGFC&SRA55>Z9YV`phZ0H(3)J`4v4oZBeqDT)iaRc~;J7`p z=Z%y3bE1{zG@N0)w=$Lyu}+DSEe=fbdKuhu?vv9T0?~T?m0PEfbA%{^Oi95c_gY)Kt{Ecn zFh(BGl^88qzR>L_$%F*KlxN#2PgCJQ*D@+T)ws-E!VT71B@vyjXJc12#cLEhQwdLXwW%k^UhVo zO@aUHGtA<43o$K&z#cssM*J&&DPk+yn!X7A=WFHZOF-U>DcH9a*m&Fhz{ zyLWd8fh6ERg8ur4{@aFs8~!2vF%17T;D=-bwrm+T1o#0+7Jp<*mSnxW{=SIJdUbVA z&rH|ek?h6YR997IL}X+{WMpJi=8G3EUi?4&UnmrQ4*wT!6h4W+)o#1jY)9vIP(M3@16{vd4X^E$QI|% z=AdsXJNx^l=LLff3M!17A&}cr!|R-z?r_i>4$Mij<$w3hNziTM4W6DDATTH0z(4Ia zJE!L6t!Agu8XoiavHuiYFukCA8hGtCA9X=XvpuxEAi&;=aNP9z{cfY_4g6zs+-(fo zerKTlg?h~nu(b7-P4S=i0>9sHotysf=<9xCU~Ue&LJb(%?hE0KZs)kE+_02dHt#D< zW*Y={z<=SJwc$~}5j1-u9hK9-_lf+reOy;if~9kqOe zt6=aVm?4L5o14#?gEO!e#oA46>ut2b8<=y~`Ax7JfXU{57XW)hNQer>%{Xhd>y+nx z)Al;U6R$BC2H@q<@zG(QwcW`isWww|ox> z1OEgPVtITs*2J)BLg>jb5Scsn2VS$)U%W~2|2fr;2d(ZiQyaG1UU2TT!d*XsA2*;N zh5ri$Fb=_Px3jR}pLoO8z`WDy9$_G>jluZ?#Q8D&IM zeuuq4E5tz2012ZvTVAgZGgxo7N5=4vqtHjQeQX8o?2q9h&Dg7rGqvov548~_m9$cf zgx2lusXUAQx$#Fg3WZ-7!Z8{458GbPEPpHnzIS}sw<38c-ouyS%q=WD3z`Feu`tZGeJ6HGvk6g%P&vobr%mMt8&gr4o@mlBo zX8*9;X`P2`^N+o8Xs^>N6aomxr*NrQyygq0cHV(s0UE?>uRYm~$x7kIU&S3gc7J;3 ze-!(l_wdeN{GNE|)ZPcp0USgD@?fi;073_zKpX&9c^psz{%rxwk-gII`)#;<3cFI< zNx&xRIBbW5Fn&v5P;p^$=oCn^zhNQOE6Ju(d;c&-C4UpjzY{2&>1=LP%&tFZ!HqMI zsTu+6e06uTzK3i0PX-Or#M=K!YJdL}US{!poF$=><#zHxm|Co{kcEoZ{ zQKlinpjDu5t4@CbciH-c=^f_A4`e!hfN?Dg7-vH__#*CI$fZcoCkhi zu|Dj3r@m9^EX1Dyh!0;yKgtx4*BqplaJhilgJ!Gw4UJo1BEH!fGzaH#rhjihWDqd& z@0kaj=S$Q8v4^0EKnueIX7H?QI>YvnAM_C?=p#D97=a%?YjzmFK@|*-sMAEuqk$Mo ztPJBc2$o3i_g&EDwE5IW@aVkfGsq+%0YdHf{6_Nxkt6^FrN(P@JN}}n#}FzJ3<>p{ z#}b|zi+|7^U>b2{+z3~At$!9NW{3iTo&}+btU;?u?pYIIv!HQyzQ`UCjrk$`NNdcb z`x}?7KuqlXw{Xeq{5`~x+OE@~c9>CRyDhX9^e+CRjJ|(;9K0O_x}dU|FsQ2uH+K=v z^5QSF`={dO3!xmQ)$egyj|Yi=ui);ZtZ!GLZ9c>&x_Evw1qYzjGJk=VNkSO@5ObZH zEpV8<@mKICZd#IJI`Ve@Ajz#cKR%ND5Iun=a0b5b1sH+-7FMRhDv~`_0b69u{rry;Fo! zmVutg#=SdOin(J0On-M019b9kvDfS{dVznSm*a5gr(4Q0P>9PCCvMVjIvD>_i2pR8 zTW)OV;v78{EEZ;<_y~9EYiPz^4}PDlpt$LntoT*K6~Bxo(Trb3PEk!d=M7vov224? zNchVee#^tc+VL@dbA|3*uB&MPM=Her(9IheE9AY^vdZyOuYc13AlEyHTgK|kPnij( z`}vqND#6J}SPZ8P8_Q6h3eJ5NB}ckv{vhSrP@xjOP96`W`yhrtk?6d4d?Y7`(n-LC zh*Mq2M>nWuqJLoh(qY9>i-_A^s%=^fqJead{l~`-Us{2M`Sw;`M*q|KpXyg7Jsx z-~S603xyvCZv6Nb?V5Btu43esrf=a=0FmEDBGI?-{(swuvdc3*hlTq#>b{_L{FCT8 zm_Vo_Ot5w34!cIFkVEWHhe6HEnaa2=_2EF49WqOTt z(m=+0)BTngG#l=JXFldH<}3-vlJ8w%C=~uDl!Hpf0g;0n2Sa4fhJzm8ao|H*?zh~) z$Y=bAvVR;96J_yu%z&8iG*W)SsHcIk(YJc$iF8=crB=3KOm&hGI#T6|t&&LH)q=khUE zk4u{inK8kDy^5L#{wWu<15;!2J3?!X%r#D#(0?=Zr8!QwQFB~0cOPrbe()5~kQq28 z)m-9I38Tm1N`yJ_G;xCxu?R!rRs4pgoUq{vU9XQFqUCXV+qAC0IUA|x`ZDisNgUUK#~v+Dm&(=)opx${D#16)b{P2et#f~ zb@6VR?et;}?Yr@F4M-w{mlN2n4!?cEvQO4R3GLVo`n_2yaM@>bK#^xLj(2k&&3QCV zHZJpgE=S*qa#WzN2D{AlX)MA|FwBhm-+!QWzKl>@RCW&VVxgJyaCBW@)^h07fT^8Z zclOMEuk(Qsr#j|L(#wj0_ER9HBC}{$lOA&&jWXOG7Pt7<;J8>{UG2TsIvDek`e_h4o%^9sgjOpw^Ie= zr(SD_ub7y{Xg5%`TbM?4>8UmMneHg*lDZpgU(y-*z3=>6+++&tcQrrIf8QdyZ{RZW z^<37b!ZEp>?T%w0*qn{f$`wp-|AEF`ry_psSvFFeLU<*O&}QN&Mjk z1UGp6z&Lv6FS*UZoI~F`OmH7h{4}&;A09xx%Y*lPyQQNl)B~^69S$<|=??XY&*Su=e-fT5W=|7)7w29Y=#^}qTZ1R7oVnp>m$+2qGM+2Re|Nh57lJKC&e@-99vmrQ&Z3o^Oe+g1lV4B;r? z4i5<)x9^POseCk^AN$5z9w7h}b6?%<%6+3|d9x*(^JEQ^+;Suv9QUXV-+brZpm}T} z{s&uV5y%$nHkg_me`X{*d8T$bAHU=1%Vb4@c?QU?6Z`lWZJj1_VqLslvcDEM6Nf7$( zm!JRT3tBEQ2Kw%469=K<*s8s)@tL5v*Qc04{^G zPbFSWXob(qU;K%1?r(1^&i_nU{5PItY~HCwqUs?zta%a1EOS|sSx5dwI75=%t5|bF zdVU!%FQO-8;rJ$py>uBaqxZ&<9CEo(;7KBSurv0)8=@0RltEODKf45Y;x4dl1#d5hI*6^!(Hm=#)GLLXH9QL~_6czwteX(fn51TlQqP(<% zx_3%<@05$hb%Q<8%BJ?7dF&sV&12bst{`WTAK)wy);GHzPdvuRnLJUfP~e87^=@yW zvifTn59 z+%apn%PXtqmidw?nK#T^1<@dbe_E~4Z65(4HxPuroeW{_@Dq^7)@K-r^*4MydGFXL z>Tr`flT!~Fe+n3-r8Q7rT2~OSh9HtA!a`v!g_1BpYzyfrt*+c&TO&M6(d&3IjWyws zBLuKSPTIQMl1Fi=ic*kRrW_Sv_v@K61v&lMZdR)dg8LX?uRoq$_yzVdWKrl`x&tYu z;8P9C>-WmbYQc6G_#Sxu4^)(5hx$w_*W^FWgRqNwe^&k}a*w!Jr%>Elp?yTPO(+0c zZD-78>sWQAy0^2xwY_<8SlfDMw^Dz2uz9$(0U<%r($ea3skE|OURzmNDJ?CQR+m>- z?k+8@;=kop^gBI*1t@a*N+)8c^8R6U576FwxLd7n?d=}cH+S~6?App`9S1||ZtZ5) zwSwY`f2OaryE|L8>fr-4s89gwdALz8=zbmUbbqQ7j*fdL<4I!|>{kxzThg5B-uB*s z9^6-2y}PKde952ceX-+TO#1Dt@Qi6asi1g&x*6 z58v6`-8`t&H#ZLV-+fZss#dnas@+=spt7}Ff3N8o4|^vWt)LoUZ|1|Jme(H~p7c&V zl|`7ZVavAy^&=>hJXD@1JyfuEEc@aFU7GwZPWQwjGX#h-zwdh=m`8TZ-x1fLP$0Fc zU)BAG28Pl%w_vq-LMHmd0)aiF3Cuc|Qu$ge-s68IZB=OzB1c}8VOw_Yr;G57EU;YO?-Z-|YddwdM2~T_e{1(yA9B&>@lb5@>LR*=o%DQd^>7u9iFeqw z0Hr$bTCgT|ns_%ke7EXW>T z3iD$Bg=$t_Ro_+XT*hIs2x$VRaZhaVv`1nezXm8gNlMgJ4_Rh@&jQAEKR~L^f4(<3 z)5g&v^Qhrnf54<2^*f|$9S0{DWEcJvq{>mh+Zw`$Q7E4TuEg2DwS*CX z#pwk&xx4MN|DqxwD~^wbZ=CYH7J-^DtFYos^|yGr72v2U#<5Z+SV|`qe@-b0V#j;l zY!BP!1S@n{3BrEcY&lC*o~SmYO6YmpkP$li+7eq=t1Vj#;XIx2<;PIIv{*t-c2mr6 zH}AURyv?p)r}k`Dd0uBUCxf)mqr&3@w+px(rZvQJUqUP_!fn7^1Xbr7e6s$0UG>D}^VBoBlsg0C6f7T98s6!3qd|N9~ zo}hxJG8h0ba)Sq5Q~RLV3t@AxFLI*AI2s0f({D4<0Y9wQY)Pa^9RitRvatN%Xv@N! z=zSHb!-LUDP*^tn!GVLzgm5VAl!@V%cJmv8#%wcyr?Z4z8Nrgj=}w1>vS#xH=h_ZT z6jP4Pvxrcpe;kc1f5NHCi^tx2=AHKuNA!;1gz4`3_lGAZ2xDe3g8a3u;FK$N%r5^BL-)?LjQWUKm5zuWlK*XIbr~@p#O*&_4QT;b9N%PakuVE`lLp zKZHNH_F?tw{;TfD2){@W<<@Kvo%>tffIGcwU`B&~3SO*Q}bPOKNhb zXH1u3UK!mKzETHEf6=9r;<5B)@H8zER0b)w;|JV5e_Gb5(uHWQw@%@UO96wZn{OVU z`B*kVC5n$$3mX~!_?xJ>?*|acw%I>BM}!HzQ#zbEPR~ch^bOz;{I*!gl@Y~B%Pwoy2Hl>ioX|v|{3*tGF2kWSY}y=e zvlv0SuX!+1lH1b8x)T>oY{$_qU>P{mPA{S2e}it&(853q5vV-vHWBmigJ$o{$5bXV zQjfiWU6Bcip_MSEi4t_hc86GphB@M_PJiQ*r~%dqU=`4=wnk0W-XRi16?aog7-x*7 z$NFyBc~hU5Wzg+Okp+{u_FXkXWF+upAY!t^blKE|y|tRu)!A${3U(9CC|e6}y*aKU@c+ zqFcL-<^&78*{;M~BtzgHF5#)!8D8*MR%TV>Ntrf6t`m-e$bsk4N>kcDL$>8+*H(cEA1@J#^b3 z)hEr2BFP!FmHFsNbkK86yV>L!Lpx;Pb_ z^QB}S2hFFnKBobW3PT@C;JUW4ce_)qp)diXu*8|nAZRXtk&s7SPKKu7usC0qe^7=U z%8!kUjIH$rfV=O(D~Gw1D9H)qh#IcevBh{49NW#JF%I(eN5$i?Kq%!{pa4X-)J&OeT!Y)TEMuEdC*(TI;45G@X!3` zFr;sZ=v+uE1uhOb4oU_n;~gJUz_qh6KC`oNxiz7pJ>t=w0Hf;guoqn=rh#J`Vws{_tSK?{3l30E3lT`wix8a~s(OiG7;$>GBnn3C=Ff5UiNX-J-{K48TMLAR}- z>agBK%_r)g=(twL=bJh^<80u82MergxKKs5?lE#}1`7?Ek3sKP4ygYY2~ooEff`1h zUnv#7t+NeUjY8osCD)Y=i05KiYsP4mu6IR?nJ0=CcH#_Z71T6;iEKizjdFlYN+h81 zft4vu$&?cDf4s_3I>>ymn0_;ZCaFxz(em{8ofmY*2yB~)#tkHPf_JatWsUmg^)*;* zpO1p!grkbFN4NwdK6vIMSTpPdKEmMWH1!&e5wDZAIH%pCfY?a{)IB-D1P7n++BoGi zth>T7lWz+ZOJv$dq0l#TP)-n#JAz=E6G~Tdg_IG>f6>~np|?{!S6OLh{wK$a8G$;eEVM>M2ci+T=DN)Yrcn*?!JNG;A z8{Ej`{LTRuP_a!3J_ht!h>1<6gT9sppww@p3*kCWB>pmM8lz4B98m6Daz!T&Me1L6 zbteu0e?bcu*nopA@iq_Ff@Py*v^!FDMK+`p2kX4kkfpFln zAs0}l`UNLeNzyJs5_lGR8Z#@#j|!IgvQpE~g&I)_k=gMwWe+5@DyTJ;&8yLtj@w|KlsSA9 zBQ^v)R0!hG=(gLKxv4=D;61J@w441lVmHT@_0Z)=FiL^9wvDlFuj^}1=J zY)@@=j(c4=t2!R;!bLWCl-1iDKf?$Z6rAqDM<#5CrI2`wIj+n)Y&Sk67jsP*@i@@W ze+%G9l=sPIjB~Hxv2{hjf60HL@V7Ebw!@NtA|=&b`@0z>x5AQtASM4y z{{C7<$sY6No|Y%-O4Dr z5tdXgY0v(XjFQV?$+%PdFEUDgEG((A#2_kqDx>80hb8}5I7ug_Q22|Ck}norf7^dY zN>Y8G;J-22_TLXn{-Knl;v>MAQSx`glG=9V|EDub{+F<%a-LIzLgBTHlK(I)Ntedy z=Fex8EQTfjhtR3L`Rf@ae|5pNUA0nmo6Efks= zCI7>1hn?2RY22Itb`<;H|4CO;e>tx$`rH3)wB+9lOUC8%YZ)b_SfS^(dhZ&CuQNPGDT8(Z%6u#1Fb#1_9n&A4)UxhWNlrBJScMq`L(KaF-z6LQ&m|X#0+boG#hefGybb?o zcq;4s%of#U`>lP<#t`s}kwxW`j4DdjKHkZi&xw!R9Z7N(o}hwr9Nm?(RebR*NlJS? zzawij`z@?ipLxOYGY{nYf0!-}(_UMMj}{N#W;T&p?V^LaVrd^9!X?LuOw*L{kz7O$4YE*hB4=jdyE@a>wB zsFcAorgw#P*n*2H(B9ceu)~2Fo@C1)T&scsJRwiRA8)fF41;hVe_LuYd5ED?spWMd zl3U$w4-m+U6~wdRzwV5I(ZQxgjr~ zVeM07Es1(NBHWeZuM3D&4i28+JLa)Tft-1UWoy;S#^$8bv`Mz~JKKBrE8CL_(@faH z2YZjr#T8<7Z&x?BCjuwKE%4gjgL*`VV}iR<`!pr4LOtRdf6ghm#*B@nG8T!l6FkV4 zcoLkc`;D_s6RUbUH&n6V`Zw1AqdSTrIv(pzy8Yd@-#O(+Fr?-#gxy^^HKIkPl(@nf zQcaFXG1|?A4GtHwqwT0%G5X=Mk{;vR%g0aNL5c>oS(5O4#I-ajD@(vaGzWV-5Ud`i z((*z0D4M8bf8~Ob#*tn-Yn}{3aZQ5HGOUbkDQhG{BMh!hpo+bPVYdkb3+s?X5o%HR zgQgPeP6#f-PK23L7>|<~dQO1cmA*fgnHrMh!iC*LZLX(H%I*`S>~Io@OQiA`XFE?J zk;#xnNG(-P*n#0)SEp+jHj^>Yu<+|)(<+^FK~-yhe*_ zxtPG2>g{QPECUFg9bwBhGjqU|&_A+K>5v04qwRXfUCRJu?E*&J4x&N>|B&Hr?#vb{ zfjq(X&{#Z#dSn`1hUzse1ieEc>ZkwH@#IyiTBwe1m8zfTJ==)F>_sT=t-Ui7^CA_B3T$ub!B5mA!hd2I>1D+TM5F` zU2x;1WjP*Yb_8_@>1oPY`Ef4+Z;MLG#g(fAf28r$82`@0_nAP)oIcS6JOtA~e3GGSvgQ+y3{M#=Y)B~h# zivRjDOO7@9m{_Xmtw0we+Z(f<*(%|}(%8`=BEt$p{A-LILy2MZ0Ekb(xzv~6x3 z^AJ=kckfgtz0p8gvZ31e9{{x1M@-9et?n&P;ZwohS8#0 z6Ov6V8Q_Mo#HYlx4Z0TIN_;!3nU|R#)6w8t>CuH#VLH|wC9|f(RVy{6O4phueJdMSu#X?&gGHY%%I^U?lTnXI13g?OVV?}Hyz>48p7bB!*FU}Umbd*eN z$k)GTuv7|rgV{Gy9vM4m_ge6Qe{eSxlR^SYFlj5L#EwyR89Ts;4DIJgmlS>z3Z}$% z5_`8mY}D9|rWgdZ+>kX`G@XywfArXHS0BeC zCS1kdmLeIYEw9vewv4bPyNm=<52}-jkWm%*V00)EHI4Qn)h!Wj4Y)txopyI)s)e*q*j$QI?A*yOxs=y>>?PMQjrDwKY~>Po7l=?9?*s*x#bnC!QG zSeoa&3eEzl$JcrCO{>xxe&1)908440jX(?)@wULa7j-{CYLTdye~yfpcB-;*tE5w= zAu-#ULpwW$*OgW606Wr`Lc>7&uoQNVwHB<=>1xeMS9%c=S0_)G7MB(tne9jQ`gUxR zM^5P%TMF*JC1`mPC6+w&dHw`>@CDf3rFF%YWX($AVe~FX4tc;L1l>*G2AO1r?MHj} zatJCbLELqZBt%Ggf0txi^e#^hL0}2KYy~7j8Y9RGb@VPzg1{MqFM(4@4#`v7XO@(g zLlFd*xR*wdlD;J0UJgNEf)JD`L{`*as#IH?nR3D%VaTY={+whH*+jm-f@M6ihKM6! zQiynG4be*_2!g@(lt)-Zf~?+;5bHQ_(e)}Ea)v0=GFpOOe=3KpAzHDxGiCdwa>yE@ zby zT{OK1mP|{+Ql_d#CfA|8>~&UT+CiW+!bTG0p4vhmCOhreP#R%poK9Ql!=w{@6pAv3 zg_7;}j{u$EBSi>1#ixBUA>R(c9|1z0r#r$>jyINge`^vx%zn4y&a$P*dJL9mI|6>V zYLtxaFz6#61E`gMRITF6k#NHV39}w-K8T)>+i27$C^Jh4s3B+OmbqKjh%ikb0v3-{ z_W6Y$i=(*=k22nilM`;4rAdV$Y10>mlDz`R%Y@9pYssEGFiHm-?czC_mdOJu-4_~3 zmJmPVe_)J?-LbqO#EoXKm=!$YYEsBC2TE7eNF5ilOte;i@z7~m%!`#9F#IjteY1l7 zH@=C+9&FY&4<2D#^{w6ghxNn9TlIGjA8%H^a=8C+d;8(OE+om;9J)T12qJ0No@YUNIj-Lc>2S1Anjio3g znVnqX%HX9wB5`D80=ylwq9Jy+;9()zh-hS-EqJh6+h*l~K986S@QHoUW6FptGpd0R zU(#RxT2pDspE=htgeh+Q%N}`WcSF18H2pOf`;GKvQ!7KF)k!;qgIqp+f=(qvu(FR$ ze;}@;b)l#T7QiK+qhVxrohk6`P-Mq<+X@e@n2|aO66-eb(@HBeGj0Xw6+{u6c>4s6 zn`vi2r36iqTTjrunWC{SPB~&1)#&y5#$FOpT%1YQ1p;eblCl*kTomk1Ez#STgbJ1u zH;+xSeXCHbU%3uca9E&5CfKrI>l#qWe^7x6afunPuWeN76}*Z`{N;E+jtbn!Aiv3d%F*|-bo@;#4J8p+sJ5^T8fmIw?{K(h1I^;e?kPY zc*8uOS^SN zGvXMP7R!&6u*tH-;H8is*%#g(LBwWBzE$3($mR%>a63yZXoIvRX^5Vnhi2O2pgP_c z*R>H#PMsqgAdr-N!5D-ytA}(%joP`S`2n;93CAZ%#sh02#Btr6}`e#f0?})2g)3K z42%vc<>ty`BRen-do~24)8ara!4=Df>k$k!O~VF<9qg;J82T57dfoAk8Ev9~pq2_~ zZ3`3LE>?3c8&+{hSG$uLRwQkb*Cq&@B3iR<5gkqCbzBOOQ%FFLwTR1yQz+!6FhM6> z(_)y8AXKyEojcAnw)8oje-TT!MkR+dCt{U+uxf|hSQ5tUafCW-+2uUvJxD{QvLzQ6 zBJ$}+lWKQewSz7>Wns^rdMmQzRBkwCtlq0og1EVG48QhNW!0+lDs&(>W>`(;chkAy zusVD<$4(8u`-TAJ)eh3H9c?kVp1!tf-G$Op)$T@yd*-TjH#H7le|J>7YgP4bGzQF` zX7EG!0!_%RS3$qvuyYACYxeE1ntV4$O}-2I<7VjE{R>*-@SLz;+pMj@)R5t8cDj%U z!`JLcy9S}pOK?cPv?E88L;CYEIcrvZc{E&Z9GdY+yJl6D*W75fs=Ah=$yu|5F0Z+@ z!w$M@IhvextI53Pf7Ool+y>ostG~pM8}*_7suo|@D6daoXn>p zf%J29XFud2p^60JuRn6Kpb8ucpg*joL(`^naTANxDk$VhlgTa{f6eVj8?}|?-W{Il z_06ebTnWw*R4f&cC?sa5xFBGWi-$&qCIr8)9Iz44%n?!?Rvl;0vQjuaUw?p8njt_+ zv(@e#Xa-0{VRbtP6i%^SnpO@doL0L}Ideeaw7LY?F@2a#_NI2X(`xrQL=LTRS{?gB zV8-UJ6zcGdw1C-Fe+o+fI-78<$+s|^`BmW$+ozhLgA47@6D_iFnKxeEI{N!D^e_}n@98f^*6h$M*RtkJ zl2V!@>oQ{-f4LkgZe(a?jl$`$`_O*&oy%k?VHBH_kBIW4d_|F)4llcLmT5pawZiGL zOZdv6FCa)cSt9a9`oJZU&7OLXqC~Hi@69u_LK*fU(ps z+nP(}s;Q(vZR1em$!Y+bIWL$dkz0X>gRY{O5y{Zee+-f(rR@47huWzq$PbsVb{#fD zGvhRqJ=YrgnBo(5AZ;Otn{Hg1G8;;OGs!3H$^5Wb#+KYZD*3bp3aM?eBY8ep+(_#( z$nwGB23wcul@FFseQno=5d)yhv<%ZEs;OY5beIpXrw`~?-lZc&)LKiBd{-o?|0Zf29-)8gSpr>rc1>oXLe?Y zsZ7eHJPibsj}%Lu27>8FiX~42!33n73wnQZxBar*3X!1BN%XVojma+K6EPv2B5If_%c2oB|=59Oj$XeQ0&r4Qv|sLbF6NQFy)8E>b3}z#lrQ~C*UN>iu0!WILH%+kopHHJ#h) zt-7;U)0Ne+#TmFLFRqwJQ~bTBVb&e zklaaNN^94l<`a&Z2+Wnpc@=OJ2!HhCsnLoF8piaXqFlQwf68>l zX;6L&ZP;k?oLe63jT2T>9O7a?t-Mw9ab&Yd%3CYs7;TA+ql%^cqo&u zmN7<*sKxf9{mtt3v@POsL^xcoharb&7UC2h9KaMb&d%l7JT=vNJeobdnvxiuM5eFY zX61d|bCv^R>=E0ufY3&uuz|AQhc)h9PTsOf6bfH+H)Q? z!Ft%o6{T43kv%Oedb0hnzOaYW?#y--WoWq^6|u)Ox+r+Zz0$(u;)5YhJBqa*yTvM| z?dB190*=TNjzqtB>Oy1@s^E2Z}bfANgF-|7aolpyYu%af_Qfp0)f`VoA6nn7DCeP$CK#d>v5 zKTvR+F6gH9`pkW@KkoVj@ac(Ns!sU|b(XGB2M+wDX|tZ?rP_mjzBC^A&zG&^wr-M6 zRMD~$hKox(j9+=o6D4oz3T`8jsVlf`jHa%@gPtx$lG_V0h9T0qe|Te^gt~1Fr>@{O z|C_pk+sAL}3T}^)ScMBZ4w8F0E?u^Q+hlKQl8&GlmmF6Ccd}&Fcv?|<;41M zD3b4K8fcyP)P$E*t44Yt_1Y>zo%ayV3Emy|Aw_F+f-g0H^d&`xv?=4rN_v8vq z@Iu$0YwO0zi%fwnREG{xf)$r8Nwe`$m_Rcl1vgsv%TrTArG zjm0%0yMk-VS}9x>)>vF4dLX!_td+uLVU5L=sZ+F}6Z>nV7Ts8NUO62Ij}s-~PC-$J zx6X>$TZal{^DWIiG22@X7qMFoH?dm|SFu|Tcd^@}NHGO+^45u@!y9Qi3)5YQfug$+ zyLD>f>f#!Ef9s69Yl1a*xaCO6F~M>#_9SYxD-*TUJ&9W9o&=8 z{sw9(f9Vj^z+=z689O6cPMkJxoBnyHfs?_#<2OfX;C!=q4-JIGPK4F%41#W}KM4c` zdJ>4Yjn`-elK}i|1^|2BGn=LD;jfDTK^{jav49#=G^CPI{TS!5^T1=QH8dLrT(9js ze7|xBKive-n{PFWqMafHi* zVCb7Zh3&B4X!%|s^h_cmvpFQ+gE(Ib(!C6N&O9g}jGvqZKV`eJC^`+g!=5<{!*>%I z%we^7uk^E}d*JX-ld8==CK#rA1|98>DxtNAuSX@fyPeaEiqkGb{QBlub8vQ1;V&i% zfA0)igJ!SgUsUVUs8w&a#~bl!2aNJ)qd9bQz+f{{!^_I}(s>Op8C0x}r{X1-{+{NC z=#Mw>FZL)HY~Ni^4rZYT{r1%LG-<)|b75k&3LUj< ze3R)+R7@azb0WfOtK0a%=&`q9({ zp^Iye1pE|!-WP=MQ~khJKv#SQFUqTk=apA)7gu;b;+HVNc*9_c=aYC5kmI1%6^MU=mLdp(JOGa;N)NXlEy&Ih38RXi!ii76^6T*k2; zx;R@X%111UbtGd;kusi}*hzcOe~e7ZQ@xu3GaHV?p0&toiuLj0#D9SzIH+sQc2*!0h!pP0_x1SxreA z$_*Oz4s_JcjpL$Qx{U0CLocfxDxX%6W5OCrg^1vc1zBK*DkXPTr~W?x00960cmZUR zJ4*vW6op3PG9DegCC?me^DRS3QyC=7B8&2z{^#-WkL(|83dp&Gs- zR0(?rh%re#nIx`fV2V9r5{uaM<02ob<7mG;z~Cl%fMU%g3jO@~f4O3Xl6SPVbbm%m z6P@nwvE;)*A&o!|=?qk1C zihK1u#Y^B+lA?Qqf0#I@;@P|z+-yK|0LA`+DH~gVIp#{=0@_tc*b zqi(2_6DCPwQwF@e@^ThH><8Nhu{n9`WQt1Q^{DT@`VUaEBC%Ey8Pgz+#NKmn-<2HO W1rPP$KEx|7J%o5d4EY5B0RR8V&NzGk delta 15385 zcmV+!Jm$lcd*XSJPJg#m0RR91001vL00000008g;000000081V00000004La?0xHV zB*}5#tSrgm>UHGtA<42-$K&z#cne@=@L1qP+L|3;?{LJ6nAyEsg-t|b&;Uj^FZImq zE*J`u5&ojkS4UXiZHGTQ{6YHSaQF{U*p&T63fWfJ;YWmITYt7>Nfssf{QG5A^=ocbB|{P6$b|3abg^Z37TtMCc@t#;eJX3HBiyB%}r z_qxI0`|3*};Q!&F)WKk!Vo8-OYy@b=^GFfhZu zZ@Q-$uV3$*6Tja)>zF~e+v?vnt?pT~VNQpg2AkJ6x4q7Z=?>2Q!1TMPgQs5L8$Pnd z`Lj9bo67FNf$4d{po4-6<8}z-uGH{47p6NL^o9d-+J9{MeRCRg+jxVgrv?bjX*clC zy3Nj+xqYYEX|#qX{C(m-0T)a!=$-{$yUj;k(9&!VZ4U^rHzFLjy?(#jXnF(x#GG^+ z!?xcUXkVdTvjZ$`y=7DUXT8Ah_gfdHKRo_LzcDbk2VJ2CjBNLX@J6?D(o}9(N-diY zlqRzS0)IQ;@a@|0xZenxJ&}ycS>XFbe%JAR7u|}k@y!Fv-l%4*FsM|1d%a$(+3=2A zzQI*6coEEyLwC*Xr_I4RSc_uqrndDi+Tab$h3ot#*bTsBbH59Ky&)t-h2nOcwc2&c z^S)_&o#Cn17z_jO^7z8FgPjg2^60kZ1$hfO@PCG&Bxrt(yl?ggLGySx=mz~oV-8xr z2ZVut3JI}1z8z~~*fb&ZbQp-to%jQ<+3GLeruhH7YR7|C_o=B3+ifqna9ZK6AIFbd zP>{m^g>v!#@3xyl;bYR)TZJFPweI%^)QGpe;hEo;7qLILzKNSZ1ryT$$I8rqe)n?# zdVlkyXr=0nKcK{n$O=cW>*$Jb4+xAyu-EM@Z2G6(ur)Amx4Op|$ZBJ7{&nK~0sL{w z8oz!tUw~M#L$ou(&#ji%>%-dBo9&UI`Ug?yquq=jcg@(ZjkBoipBLH);1`zv5H7;< zo$i@Di~YIv4{j9-zchsZG3+0;y`EWE2!DL<w$MmExb487HV5Ot1IW$cd;NB<@CP2bkjb8#&<~gcxF4OfBd_DNF8a;> zQMc2&a0B-z(OVV!!hGJ;E;<`HAn0h_4fupJJ<_;&(> zngyG{!C9L9H4CX;Nj8=0^~W(P`I}IFPoVrX-ez%aoU+mxfhwH1mi-1+W8+upFWSfY=3>iL@4N8FS@VgHFw8~IXShZt*M!>d`Hki&Vln^-N{!d*cKk(C zk0Ded7+mQ$PbAPY7XP3-fJ1(5+?e=#trjWfWFH}u1)++pL90pbc@qJspmBb&$Q}`m zVH_;2F_Z2ka5kwx`W-Q`^MBvKCA0JQ5s_)TPKVlIZj$YI&|1(x`1fKh{^mG%y9gOU zWiw$=R}-G(BK*auWq--0E^pC8!E##$3VKg3zB3aPfFfbiE4b|U;P1&Agqw!Rnprhm zGs|ca&36Lels81n&Up=&Ei8Os9S?r;rr+|gG<9-<-(2H*hbvPWdXWmTKXmU##t3G6*MrJQGMWyk3|ohht#Q7m_^CIw7(m2-z{wT!7)~3Jl_5M8R{IP}j&#rbLCU3} zLM42hJRSgtbly+m5_R6&K9=`I=_F7>#HlXiqgzxnQaJhpF9a;3sS((u4SdU7)p8>- zA%m2gTAA6>*ni8UadxUUqG$(*{L|T^gH9`amgI_ z`<5&CM-UPg;`M*q|KpXyg7Jsx-~S603x%HmZv6NLEu8c`u9QP7i{HS{0*L%35^}zQ z_uoYHUY_weY~43e_e0vrKZ~A&rGz@d1Z%-ExIk@rp?_;-bL1jVaS{2hX9;9ZZ0dLB z?0{M{=$&LJ(<^v0x$|f?-2cveNDcQeZdc|n=DZ3AneTdGC=~uXl!KOm10n}E4ur@; z4F^5Gj2F}A&Hv^z}$@5j2EGE~~3%0}hF=x`!?gDPXAeS7%%1!F?NXmp320lrAUT6w2CzTFvTKf8-NpyV3<%6-?ZDn?4!kUL#k*r5 zcYpZ(4OUOGmKtcsZqZ-N(v7P=n*)l5)^WU>^Jvbaak6oh=W{vwPL!hpeKpu+uJB?l zdxBwRy!;le^Hqf6va)l4mkZ6DhokZIS<9hQ113doFYTNAUgrZNPIb(g#yj~Z3ucT5 zrF&-jyzJ>q3Pva>lR+v-dp+L z<0f9eVUQhcjy)#%VrpkUaPEeQ6aaz*;J~!ICq5@Uh2O&eS5AtZS4fMKaSJh%ISXl% zISWC5`)=S869Jhmd|KH-)`{U@HzvM@(a}Is) zFu{F1@iWkh19$-SE)Re6?WTyTP!GILcR0w@@-{a!o^y_DT zp(Q-o%ARQT4$fXQ&@0)4wgykyYh`^sx!*LEL%|2SeeU1fEWK2!qF? zcP8xI%P+~e5yu~<{{J1>n1j0?hMU1|Vi#DmV08D(7TzTO@oTi}v{rz?|Dji$Ms*P1 z%L0cv$R;2OthnLfK-WP$uXDYvAcX&a=rGw6)om1PWh3mcbSKH43g4S1!0{_fm#l)O zxiz|$-DSGUo!DwEXd@B(AypCFoff1JNUv>KargBSqM|U{9 zd7j*V@knj|Q%UP`dQ{tQcY_Oj%RcDi8UFnWtqlILyR`_;QqXt5`s}Yhq~(%b;Pu^; zCQj+Z0T5xCC*bXW#s7uRqTCjL&ef8w{C9CkQxgYZBUrt$09*zKb4t9L&dNzAO&ci;$X&S6=_BkrI84+stXUm9Z92rJ#3PdxTffv1G1%IK-=kpj>(t(zsYez&~3X6~3Tnv!|V zyipJhGWe&}8r}9W5OM=S=-a71bRLk$)@K-r^*4Pzv+l$w>Tr{PI#uLi40lm6Ga{^5 zV`XUG9;Zbe-?Y&C<;o;WN_9lb`MayW&`toY&-p0!E`ufIVX>Da~ zWqqZ%Q7)Fs_ts>;{lWqinVv!XN+)8s^8QhEAJE=?xL2)zZ}0CN)wgyJwCwuoXdMSb z>Td64*0qA-il(n*(Lv>~zAfmg`#bxGdL&(G?cUl-arNFPR_Y?GMWH~nQQf_*`p$N( zepG*au%(8ew*T<3ir;CRA%Ir_;9+g+=dEw4W~I_;f#Dl0HA!_?obWP!lm&_rdOxTt(B z7T=Qpv{j|WqVlS|vTAnkr;CWGm1Eu#Z%V1k%f+>SbY&Ew4u$85OsrpCDW&U!EOMy| z#`Y0EvSwSFg;Sdo&`^{;8aAc0v7P~qYA4)F)P<_2rGry6%Oo^{`k3fV4(7XFmfNzbW$ggZ3o@fMen@Z!3aky_a2Tb?LO^8 zBKkak`iQMvTLkIso@eW8M{6tkTi0=w^Va~8>ac4PGO^pP33L3B+tWKYH1FTp&dqq= zgGLq(&S!CIE_$f%0<9@d_ zgfF2`J^@^bgX|WMPcUFmRWIl_vPDF0?sFGmv)S~{06lKA?xLiIldLn0)Fh55E?~k1ZB&9bJb6 z&3%%~&D|V%lwS+9(+%42)%C3ZGgqg zefdW9F^-io!BXnsJIy4BUGG`5J#3qQQ!JNZSq7V5v*j#Nd8{gtDxt?lLq<3rsa)8) zT5SalW+R^ zI7a)zj`AGUe>tJ@s&<&|tiubVzfm-Iiuh@}F7x6##lFk}N_wAm15886dw2fe^aJ0@ zcl^M2gIWFfF_T?ApRa4cki7Uv&DSaEshROaA^0rH?>0MP(c{F zz)-kb80bzR%z@uy=bsFJ1LkL-wrv9)*HGHRA8*F7Ztq7!vx&rsXa2fYQGxb5>L&0? zX6`^t5o+z4eN3Y{q!F>zsVtn-4~f&paKt+RgwkYGhJ&uS(+G-OWIm#^YKPFu*%?lA z={KMFlA7WjH(SlYMWSD)2CMYJCIk?19KHf~F=9r|XIzK22LT0tdtt!fpt^0~o@KR{ z#^XH(fez3|3lDp6fBKl1bc3wIT!^sxb${4j5Ur^;gT}Bq2*8D~};ig{&pQ}{|9Ed527PKw8JiNVvfM2O=^dUpMQTRF?3 zQMwS#_4XNjaVcPb5R~!Fd*^;fDp7p2TG+_&$KOW913!RBw#~u$1;R<_o!Ui*A5}E_ zqA&@c)2@wEgso&|aiV&CsFoNWYMljnAqLs;*K7{4?AB1jPRcHUK{0o2)_IO_SJnu3 zy&fh6XVUNq4g5k3L`cRVra5g_`4Splj{&IkFFK9$po^q`*S?i6e1g*RTNtxDXIWgJ zI$K56u}0%|B29RnzB5EN+PQX^x@0H}#nkFUm2>n_F?|g<1ivj7a%Dtu(z45%wL!OM zDyK9ND1U}Ao@-+044cEo+bl*5lq8z8u?WN!4BK(E3mCF9?esD#KIjGwEex~} zfy$F^6M+wZKWO&OeN1H{BlVsauq!elF}@N8Fj0c8*xnF}!Z1gi)#-11pEN)Y46Fj$ z)z%QH+PjtHloG}nW9dowEGpI)T`ILU8KedaeViAMb@LO02yQS~81@VnEfEO1Q{DOU!`dNciJ`sv9N^fhx()rp zQ+@-6u?nk`cQ&^k9aVP@JMkJ+)r=Z&4S9ZrudbKW+~11V`-!OD_TF~=XmfvW%WjMx zqlYek86@_!c{bFXN7HB2%D3ZdW55x#29e?_DrXW~K>!P-(m)xn9>Q3L&0~Lds#_TL z=)P2Npq7$(5;ULCvYZ7tlMDUBP;sTUxqr7)t)Vahqp-w~%phnkfRT_jT_%7Q;8ZqW z)I=o)kE4XvDx!xc&9K)jO)AzaW1mucjryeno4&{BV7*7(ONB&kfzD6ces zL*w*AGZJBaIh%t%QqDMm9t6JE1}oEMpR9#zAw{VO8XgQaA^bsMlpZvF$vcywxsgyg zwFElq$v_}E3m-$p=#GQFf;naOg5POeF!TyP2L#9U*8wB5N=38#&M!F2hu>dQ5!{3} z!s@7%ir^i?p|mcnz5Fue^A$9cgGNtX56UBaU@euh%N4Aeh$x) z21-jCk3Qu@vM_i=y;}ELT3-ns|ZbYM1-%#!W4mE)ie zy{G0rR1ia~1r!`l3uq?}=pFx=cj7mi3_#yuSGN{0relut2Gjd!-Yxud{}~K_=^G+C zm(ogsi$jisk^#ziCnpqe?QD#_>}*`_OsHtjQglbHs5(6CMOTSeV2yxgw}A_mypMf2 zv-rN**>fNaMJD|5I*_u@7eJBZa3rPK6Q2rSN^^FUmL$Y`;WIQl1N;x;-0s1JwiCUl z2-_PTArmDKncbByyLHc*h_(@h=uH=YpFObu)X}M) z`#4(^3o;6$_^engR!f_!>v%97>K_Eut%GU}7HvFIK$U+ZNR_7I+SY@um9+=z`^HDE zL(V)w3;SsqSDIm68YSKuzKp?qNgV-6o5M$sl3?{Ccw1>mYNZ#}mSH~BVItQcA|G9@1q73k@2QLGMJ)QvU`CQNr*|7{;JqD;2(} z69d|hLgB9@y_5}zr#)G_#+a0@YDG(yr-~L9;~Z$?bBxKqiEKizjdFlYO5}X;ft4xE zD3KEJRK`&X$b7Jv9yCKEspQ7d^7Q?kKXlGGYn!3p268FEyO;5QvPRAG`Wh0p&qu*< z>QTigBwT_yA3XIDk{NaaAHi;Pn)(kXh|0-|o73}AKYkors)Mg)Z8Y*JR#y>3 zm(TeWOJw9nq0mcnP)-qcJBDDIQ%YBI9h7m%(b}(|wrOflWpE5?qu)-vcYGqFFJ*g!{}a&JXGG$<2fm|-A`GhBeF`SO*d&rtk? zsYBG4a8CgrX95NWMm$N+UJ}JX8qc z(CD_?n8T@mK@;FTt{$|T{WhXF)q{gKoXP5QI26BYh!{mOtT!qwI1=;7!9-TYS{TK59wCC(RjFDRXV&b`Pg`RULR`Oo5l(A*aazn=l~ zc3ASarQ~1A-#^VLiIt>pDr3Q6{QCQj*KzYB$LXO#TblH;dxQaNAz)+pzHBP^+H(ZAanB{##8 z$|ddDf1XitB`g_tYJW4MmQ>DjYEUS=l2P)XgeB?HINkh( zjFQE$#re>~WZX z?98s&B*X^OS=I&FMy5R!&FDihUq2Mn419u0W#eT$B1HXm%xE=^o>BZqXQQrRycM9YX}j6pEObUE-{CXWA1 z5@pEu%&;e>N|+vP`p3hwGtT{(N~vpq+S>=1#2{i8Ba1RC=|=P|5AaSFO-_9zwnzr3 z@B|f{zvZr+7=V`5js0IA~!h_uLCko_ZkH$1G!*c6LI1G!*!Xu!+257hcol zJp1qno-773YIMevcQ$vTT9l7Rc(Spqu8#Xn{?)i7ge6t|I>S0eGH1);A8k^9%{#@~ zRlHgjyQr62&(PQSG}$#HQ7MCG%zX;$umzV@pqa39M~4FwBS|Geyi)}OctW0rKi*_T zEGrB5vH2r2YZ!Z!T3#n2xz+9V0D-(%MHndlYiSIO4z|}B2ZscdR{O{Kr@wU9|M zv6uJu>*j5W#=)?EzHncHRZh0ul|Uu2i`h1t-OlR+Q-xrUDud3hI43ZN{t1>U$zp9} z)o(HG6822^LuW@)$0c&G_8C%oM7=X30?V z5f0Lfr7Qsp(H!pYLa=(6fy$@LqiCX%l}kz*M|$nNc{&KiH3>e;ureg1tdSIlFeWvD zD)ttJ-6jkytV0q-s72uqno2C(ASMSp5vCPkJWi&sIRSFl*HI0B>og6?0O87LqBa+# zCZ)a!Qg%2A#3fRBjI*65kjUgrKcto_C+xuRuFJbM44cVNVp#aquxXXfg`lc6zlLZG z>^XyaXB-i-^Mq10AqUgeTuk6h_4c$tRse*~j<98isV(42=pWf&ZpeX{(RRJ#u4MqS zb^#-92f>tqf5fPNF!vA(l|Y_gBV(+-K|L~!E+gC;O@ZE_5Oy)0q(aLmUOR_OaS+1i zJn)HJ^cKr_NM2_0Nd$s2ilo_51!F&0`dzY;+msGz7EQasbCwd*0yvR$N!)IbNLi!r zLs2lwUL=Ax)AVFoHyYbELR=nFGG%85b+{y#4!G%vx!@p_2i9R~%byrD!r?sHpaiXhPm)Hpoi1zD1B&MFpei>uk8V=31&8j+iG6`XhT1ZZ4 zPr@I}MG579-=_Pk2T0o#|Mex79BcBiafq7U3Uo2Dy)o;Vtr9Mpi@g^jGORGfKULU8 zg5wa&gA_0Kn}bZW+Gr<=3}}Sui<-v7MPhtT$Uq-vQXJbU$Fh&a3O9*B7Gbn8hAmvd zWC0&aj4w+w!jB%%73L0d3Sri`)fajl*;eH0C{{jyC02a@bs5s^qFm#I85)SOH@XIx ze5UMR^XxaPhEEJEFj_*A?etD31)`_+C5PHXofx~skm$THUNKgJ&JqV&%)PA&%T^4W zxdC^u(2xl!Xy8oSCZ};BU$t^?sWRz}#-EZ6)yDr2puMV-TkX_Wp?x}kJ#mjGwt5Vw zz8`RZr2*92WsG68sMdsJ6H5lTVJz{TEp0>g*R@pa%rDJo@U8UddZREM%XN~P(&1u{ zno^}}U9CH%FCAiWesv@{-`zl+N1)_k49#EYX%VuS9K`@b#~Mvtw>^A#2BCjNsZ)h)`EH#PDs15mK`k zXA5IGN+!0B>%V2NwhnuP**9_q89QkATJV8zHx!dX0!lDxtEI%=Mt1c#z=#a(=SbI* z{a)RAHQH?jQ(`-bUB>JSDpa&MPdsC`A#$1`lM1Bm_5qx>q71TV-dAJB-Dc=(+5iiG zT4WqakmCi@#hSvPSYObBC{KEXPtFv&{>K?;J zJ3uO9>h$pAdB%Fi7Y{8tJ3*z!0#XQ6(1-skDY4zZqtbQW|I@5JN@0EwJuI-4BqFBkH9i zBc`3IY}_>HjM+O(SLV>pj^TB6O*_Dj^rg@+&^|1Oonx&9YjnC=bJCSwgv8a!)8)nG zg-2%RQN6wso8*yG`Z<$=yKf19TA4(NB@ca`KS3UR0k(H}L$M`UvzmAqy~~k99YeGa7PzrEXBR^0<4);$lZ`wBQ+xI?q@De-YCAJi#pPbm~@m^ z+LbZ>IA0e{?|~)LlCYeqs*%ZcXs>#m6`6JrD2=d@1i7cS(2Hb$ryUzgBkYXRX$!qb zI>ASwD05gS*?#{B&?J^6A!G(#OLmX^Q99UY7thhO zOdh4^K5R&`g!mZ;V^nO(@`eyMo55mM@QABPA;%mjT~Q--T*xxfTK(lir)4oOR&K!X zH*oiLZea0sH1=?-wsrUj8;o!79XzZby|-O|=jgqy%9oFS4j%68JUq~aB-z_S*T)h; zBn{h>=tHC@AP%Ozw5Ofsbcdg`+0Kj6;|Sp<8qb*!2u6|~8YBF3mz7djfh6Z*@6eFwQW`&7=sjZ0Y0%0dQ2H{ zWkxkH;!FB}gIQ}TE%`I&I)*UCt$*1gZ|`mDuy>aJ2#Wni`m(9zTYg?g4%i_a9*xg#9 zx335lEGKU6fo~0hLal!JCQ!j)ff|`$%YyA2KqW&3D#RsbyuP|wsaNnSCh=F}0XZsg zBa=&5U){tmT+W1C5hA!L5F=yA_J0*STwN2ORl#!7Tpfe*ShGhKnw08EK0?A;aScPr zPU@k5ht|vz3)mcI=S?j+&lM1=BekaY24?T?J=lIbiA)i*_+)J(qgiS>QexgR$T~|_ zSnZ1~L=cOI-kv2EFh<)Q)0!I(`HnksjjRW6AEQTRX;H}@K@*u1-f|gdhHP3!BjR$& z7LZGObwxAc7?l>wkCd>UX2Ex z86&VG^J-G{9s}eLPS3O zXg0%L*X*E6PFdKqr{0PzIh7lZ8LRgilpt;{9K&xsRavv@yapY}jTu&x`Q3DGIIIre z%du0#@4g{Gd9{P|Ye!oQuBUITTKAx|RJD7N;hwo_-Aj$bHyzdPSyknU#kp}D{1CoC z6LP}=`UOXhe}?~_)#Q6QYVtkMA2&lc?qARvhv$U-#%68Zjk#-$I zpO@f}ehSWdjwXln=VNk6wNRg<$%)Qn(>B~xB-gE~@|s((T2)=o(d4Y#L6_Iu+F=LX z^&CykhSg+V^J>RNZiDWI)n8)Bjrvf3XQKfdR%=OnU~V+qL6_Ti<%Yv*GTW0I4y(x< zIa;`laL~1_aHHgnUBV5kzZ*H(I@)5{fg?V~-^4&GKs)YkuO^mBY< zKja~yiUi`XKXS653LFZcKdhxg)28#d5|eE&A%FFqN1L_PmEIB$#rpbGF|GvX2r8Be zNE8yYQ(O=*Wv#^+nh-Sb+5sB@%^V@cVbyW=EGvb>^UVi1r5OUGG+XV?fo6bI6jrx$ zK;abIrD^4W!fCbplrskuPOD3R9n*)|WN&JBJFRw~L*&p3r`5491ZHghN}&$VNDG)< zrGKFGud@j^ntThxnO_zDuzji-Iylm({;-zBtJrDt$7fY2G^ni>p1Bp{N1Y)K3!Opt zuQH`b4n%aQ;~blYW+-XA3Xxnli&Y79D(SvM1?B9yukO~ixa1c{roX45(kY?)s67re zB_`7Yb!u0(&M|<_SSR?&yK=>PusNWB+J7mEXnbR8A3E{PEN&+TJRdA>q;(l&`CxH_t;_Vv2TQ2Fw(DJt zV;|@;EyFa4YARSM9p=NE=>s}dA=`;iJ{)la>>9x5rVq@N(zZZk^TXnFdwDB3rTjRC?#RhNFo9~OP8YMKgd^e93{2P(>E1Mj^b15$jYALK6=R$2e{^`o0)zFzhXO} z;=HLo4)Vkyq<+RWQmm$%i~bmX?R5vH^2BSlc<_O4P3N|HtM2aCbY*pHaRx5Ri>v0* z6y-{b>rVjSV69f) zSt#SMG@RdHfGFbKt9Xm^U6Oe28i%@uvxPk(erW{C2pCr+()vxP`M9Gd0&^{L zUIv`f;wp}ncn2KHqKN%4!kqv%MY(=mln@yf`%Y(gf!itJR zTnwm{cWOS4Y!*p*1INFoD?vX%G~YWuC2)T_2_Y;4P$mruDwF~hr{yOdq|stobd8ou z(8croq-7c9vT2(n4l&x&#j5*_7mzo?8Wzy9$#)uKM*m-oYRo$7kMLdoO zhs*Ua65~GvI^p)GJysvxCa$t--VtaP|b{EGW z>uJnnh@P@Vh2>G*TY3~0>N8D>Pd1*xeI~nkQ(AwH6ze^*r-emNb{^Ij z_Ho*s*{PxoEtjJr_LxQ&1()0_Ele&x7~-^}So^VCtYX?}9)l;~h&Nqrdl!ilYWGatc<(ROW_V?wkY9D1erw#IrOv}e4xBidOwC| z-2GNJuz#flai?6KOx+E918UNb;Oo;2+EVE=o9HOktNZ$ag4=XKH?7xa?vwp-*T;ZQ zPwYx{%Gao~e2qFQ*QjGX%PX}9{d{E}z<<7K9k+FpbfSuul`vdf+F|_4W1c8^Q&(^s ziA-I=ZDTZb1s?QtC6e4;h%pS2&cz$+B-CwdIDd5oxB1`H72H04Q&(_%jKnHj(s7X7 zt8wY772GC!Q>1*!jZ{~fe-mCf(D7hP9IK3hZva)`dwa1uOr4#kmMvy$QE{(U zD}O}`Ofu_7P*d+=ekZ<%{7rlh>6!T6>cd$4FqaeS!=XsNr)i*d;!_h|Qmq>4fz*4; zm8geO?=4rxzPDT%``&V8?0d_VvF|Nc#=f^)iQbbdG{F(Ib6hU zIo!lM(oz9iK~li?5#8It_jxM;eVDR zCC3EIz1Wke)vip`QuickoqH0s$UTW#;hsd4yC)H~QK#|UBd;~Y^hxHjIN}(Gw^q9+ zc)+Hi4KL%eiMVKhLs;cJjatiVVA^6|Q#ElMs;LYHe!It`-* z+dQ_ogVDbv?s_g7uQjm1u5bjB(&@Tq1bPCcpJseQ7;~kHp zX3wlF7K`YCpT$q{YHV>J7@?HKH?;WY@zb6YJx#5m*7{qhrKCep1CKrPdVlPUWI1u# zylMIuod!+@_fB3PrGfL!;#+7SBz7XKZf6j5Tm4BOAkdRQylK2fE0_e}=Q04;^Pbr( zZ4ZB41_<&vLWu>`n4%$-jOr&ikDUh|W38dtFyMM^_u>1MCH#0FKkiRz*3{st4&3G> za9_-zPFb^L8a_^vZ}J3rCw~!>AZ4+MtevttOydZb2f@%ceG1!AztQr&K5~Q~>=sEYGfG~b?7W|a$%A)8j=ni}4JPhAWWH5)-;#;MkE4>8{{|u?x>SKao zs^`$r{-_dKi}-3(a;MulyR10vGQ_WMo;C;Pmlgg(qVVppHE8x){(oh)K80HKW_!F5 zpLW0~k2ab^HwO$hBQ?CNjxU|p@RC8r+IT9SbLsDCeu(~f1OIZ5g2DD3mYmY1puQdW z-Ukv*@6xXjrzLZowj*vv$KM+-Cf8G+p9Wc5UVuRRl+r%&yNh>vs-5YcM(@qA z--H3K!Erhdx`;Btzvf^TdeCo9T~Cu1EI$_}R;$oa%f>gE&P2ro!q+DvthTz14~!mr z8#aw1KAt59f#3HBVPW>Tc;y%mJ6Nq@Hb!?Czzxy$5W2YbNPoaj;^%!q2tU;iYz1`1 zr}3h^hIn3i?QU_E=Oca*6O7jkmUupaSJl!Q#?C|yg5qI{rMsn7o?{r6|2WI9q>B>) zPEthqdv`aI2sjhMIfbOW)$Du#YF@^ZVw{+wlEP&i>!FLYg`#}KqF6^VwiGGjxrwbb z4Qz>&y;~NNZGU+_J}i$=>`IsJ7Ry-ea?shl!;!*N4RWJgzPqx*(jhyNQmTuIZY|K5 zJC!F`j6Czrm&`im6JR$jPt^6|TbMhlI$ts+6rdQ>X_SAwDcj%jW;uSd62DoE-(a?^ z{|Eq+>F5R);g;O-4d!qk;-7{ZxYv&UquaopiDGvl5r6V7q(bgOGUP6#L+(OClG;^k zo?$HL8;v!eT$@oL$xL>P5nx*3?g-lQqo7tgCHNkh3wquzmz+PQIDbW2x}U2y1m zwL|683UW+XL#YrEoUtGa%uuD|&g#_v2LJ&7|9AmplDSI*K@`Tv7?Y^c#9I-YbQXpP z9);CpcYm@b?rvl=Aw~j=q6mUzK&-`DQd?NqDQF=<@BmRkK?`dU^bhbt1Pcq_T7IprSj%^6pctsjZa`X>ZEN$k;A3Y zLI|C<2t#-iZfKK&rx7hSI#9=(-U9;QKWWAI+=oP_5yc?#moSNeO*!DD<)^b+#6H*- zi1o=!CsR}c&xi5bzz?8$W2L0BrcNA*y&4u@K7Ab90T1=y9>lFK-Ua#ZX2>@H00960 DjYj!F diff --git a/data_selector/db/data_selector.cmp0.ddb b/data_selector/db/data_selector.cmp0.ddb index 04e5967d885f0e7b36448c11d9711a2003d7c699..05f408a26698d6bd8451ef018ac5004397e692b8 100644 GIT binary patch literal 54661 zcmeEtg;yO-(I+ueU*&%WoL zGkvSNtGcG9ely)wGbmtSU{wgJ zv3zA>WoG6kRWWgJu>hEmDjEW;NLfi$WJonkj7Y_eEu22YioD=Ibbx^&&i!q`9-#hh zgBSj7-N4Uu;d0ef9=49e&2y(z$+F4s|75?6&*l6i?}SPG<~188Nt>klhktG<7ZW`R z5pNeGjkPccRpxOtE{?lQDp0KRP2cLW;bFz>(s7ITvh~}6PRVBH(M2b4)#@E+Tg9L0 zICYTeSOcZ!Q`)ypEr9n93}BNR18()^KD=++;v`e(@w?q`lXOjnKC9ca_W>S92i;@1 zn9z>oGRHJ%5-<{x?hTF=sj_W%9@_#Fs!s;j(aBT>C7gKPpiY|f`d!KSI*Foq!mH5ec5&CZA?b=1;y z4@~~h{e~_y60iH^IS@ha1Sjt5bU;d}ej2i`UB|Rep>D;Up41E0Kt9k&RSPqxZq4aP zexJMi^YJj%MA7;in{W>ADmh%*pyeO>+t13lbn?_d!}>3k;W+);HUbw$=|xijUgX3t zlxZ~_X;gLEEG}sxH+<@t->DA6u&S^rQvDC&yQT+?n$%;=`E|?O(XE7FLc>m%i$?4j zLT{_(tD6XQrwg`kQzJ*1c1#R zv>R(HIiVC$f2gogy-e@GASXWwq-cBm`9feTXWBFQRo?2=;yAzQ;@$P6zGSEv*mXKK z6ii@ucv)xb$2fKTn1}Ssp#O1RFN>-~LcNod>79PaPWx9Nt4fE}jK#gJY?c#Hw=Cl@ zGehH(R=4D*uzGEF=e1w+&x07j)QiXUvXK|hgSur6Ke{!-(i70DV05dW=PR1BJ6ya@DqIp&hSiDU9|*$QdZi*=%(r+JcC&}dB5!R+`;T`>($H`h z9mLA_9)FbmSO`7ZAuq;+B=$Xgnm;U|Dk;8SeVVq?*_M&1nl*kw(y{V{E*mWCm{$}a zzpPCA^=n`-4ZYvh#;U}mq{LK#pKHOSFC|*gJIWEWAMFcYW2sE~lcMyV>t)L6&p&@o z!Z^P_d(NghA3N%QmH4j4u+v_EC2rqI7*Wc`P~O8sX=}2{dX=i(Q}xG7F%r1ON}uFu zbu>?NzGeIU!Dwl6@8MLDKVf3+ti8MMW4YF_bqFgEsHo z3-Yv-9s%My+0J@;RO9x@RZU~RlFI0i(^K%Br8e`<{TY`%&X@92v2`14Yv!W|Csat@ zl@eVKWi$6^c_4LxovuQbE)Q(VUqN0@n+pb~wrIJERf}zR=iEL=(EP7;p-2;+gqAUu zQDK~J4#9#h-D__+R5__F@Dd7*Y$xp6r+s>|Z>KRt7v1hB>m9>pc3o(QJqDP>+KH}u zCnP@icHI(VDL45H8hzS#oyoFq8l1=vwv6s%HBo=^8}+U?D%?%PQiud~FP5G?qRbbI z%%qTw%@+Z-RS&QmzAf(yZFIMwTlyoe;mPSr*HzoQqovldOP%+PA(EzePhz~rt`@t3 zcc})?x3TgR_94@xS?HySWy;RS4xG0ahw3R$Y(yb+Q|9fyALb!nM^bKxfWK( zuNi}j$B2LXv->gSLJW?6bGWvC zZ*Aj$1*%L=d14NQ>2F{I&X)-!qjip$@I6BX4U_{r;HI}DuFt$Ed|xjQO1QTO?!-K$tlm79zk(9xLgA{Y0@VlD0vDM& zHs;(f8LwRNy|p(Vgkn$9ntTM^qqh!QcwY(h1=wpSrbQuQCzL`tx3hhH|7>yp(!9FO zg5cJeFc1O-E%*p`hrVgahwghr`MjejCg*9fZGPV+`TFQyS9aAWH>57wfM41+*v^@I z_2Ldf&LGAhUWGPd0{)?jC!Wi9-tt-?nRPEmWdPuV?0Q9frK$weCk(pm;=*B-=YDs9bJn)w_XkY9~k-zBP+g1{FfrE4oq z^RUd+Y7#d2o~-ih1BN5x{3sk(;aV!DmIWbNUJQlb?$W&%;aTXvpm4vAYM{X46gpY> zzBG`$T}TS-bnEO5b!hO{xKS<(+KtWig(usS>PwSzV3c@Y*seV0Z@G??^6k4MGMQ(< zJoqnEybeEj{$}ESdKnV*cP@(Rb*|Ao==L~ef5)~p1Z38ebr*y#@*Gq%y?xb7-|lXD zPVQLh!CDmNWp&c(Jg|MTUC24n_caRbz|dw3DKMGW+aYY}m?QO8diw_5<0CDN#%X1& zpfiIa$9%c?SR6~*3a({NnV8XurPAMH7vj<%;e1AdRx={^qrm^A*U_J-RP>Ybt8qTiu`? zlN6h^O8T}(mlHl(V>J;uou3hK*z>D7LCO6djqX*8;ONn2GIiZeB1R*swqg`~NnY3y zXr;53vzXU2jP)l>o>KhJ%$vI;IBMc=7ItKtrr-Kacf3mOd;WSX>H>lMUo>G#C?y;@ zeHxdyW~FEKb77ulMEiX%5J+A&)}&?-J!?wAk9|QHHII8%zSQaSPL-K*gO8gV=TK>FVy_baG0*j*=Y5Izt5MY!H z1S|&%JDINL9=_8Jq9&F1riQssv!}km)g7HYAAhMD!_4SrO#i8@2HqMu44RnRwdbv*KO#}nr+=t;=|YXP*! zP9P5DO3d|V1^E&)Y?(S`JF6BQACJXTlZeh0cEQeA{pa z2GO%G^x8D+oWa{6L^UA{N)?|yEJgP2N-=zKjQsfeOUq*@@B+Oh4fU6t^`0XwZBI<4 z@TG;Bk+EoB7Kv^u_1}}FL1e>>*01o(Ei%hgCH}S&q6gBt5>Kv`Bs7fza~iW{@#VT5 zk)t(Roz1?(7p(B~#7fFRPL@P4&9wegi=I}tz9Q0AXzyaJVPC96r8b!Jn&0<%Xw)SV zd2FuCeS6_LgT3$9Q|r0IXlh!j&tom-B~)LnOb{ zS9j=u3g5Qk;bsdEM(QAg2hVh#hHjk+9&L$QYDzI{7V@&OGK!exZVkb(syfeB0$&L{ zq*aV)!~@J6mDo+ z%zwyyzZc{TX1Zvk5{K>K4n=4QbgjEjLW1f^G{WNd&jo~mYnu2joROLnMZ?eU-n9*X z`VOYQ8gW@8LPPV6`(6X*5pXgo>Rnf2u_DQ?0F~7PpUd zRI<8%>7}|9vLZdGxf3_*q=jg}YHtuxhZ1JS_u0Rg9@hNwh*^fiRP7tM4`bA`xjCtB zUGaUo3pQGKkFF==6p36WXFqQV&^~S@IV`lVFfuXFJ zbudnOfLm?3U4Bu)Y3^1qzAU1Dhq)JdrJYUQ8;k&sIw%FYtvt0bl&!6eM`#n9KIpkO z_`0748(HlEOrHGBSKZCEQ{JqKBLz3*H9=wZUU=#+%=pX|JN+O%xhYNy$_Qi}5&KI7b551yJpl`~!FhYlb6)eF8Br1-3BN~Yt|*6i z=nr%DJ581f?>h?6DtRRGyKpCOo8%p9#L+qE@vW2GR?b z8v8TD@TJR=m61LWT5cNlmlN7MFoWt<;eC~yQ=L&ImpE2ktv`h=W8>((W!uy6$#v9W zn!Ki>uE31%y&=B)2;zw57 zO=M78=VsWfVRb2C!`9omY0g)DYGC1{YHDN!iiS}2(vR+}xr~=H@;`R<`HScC?Zj1CnMUw@k@WV>SSI8XSGvZT=S4SM+3U9eEpU7dOL}85NMHUH zm`!I2=EagR{S5wo=j_lHQ&7Q$ov+8D%s=}M{yt7pX>Aus4)a1LUO5t@@=67NkPdbj z3AA4?QF(3EF}6^oA>hQUVDQ7K*+5ZwJ?chIfzN*=pbo_V_c>8hd3E`EP9B1m$rU+5 zA|^g`)y2nowN3-leHgLE#0h*(Fvpsd=y<9se%vETSpk6E9xwBJN+0}nVJK%9v*c#0 z@%Bbrinj7v5x-PIe8#Etz3@2T#*GwV&?~{&14Qg=utQ%rwyHE^h?T78&lLRLwxQ1p z0@NWnkQ!|IuqAqd$?YXfcZqk}UO#Bo3r~Yr1)xXi#ui5pr8pD)xbE)cAIB!T2LE$! zpf$Bp4j6i5^6u12TueO*fLQ^AG7#?Rdp+Bd6AVxu)_STB==Zh>KDtE-n&6~G=NfVE zU4fh{wgCM+N<3fMFGgCPbqPk>njBJVa2iy022WFcD+Kr6je$rh?t|ZqP91ipAXh{9 zhM#$2P)=aTair-~Q?{MULPokvs}`vqz$YFfO2>Ea z(cR_QXtFF0Pp-?TySp<9ec_$&{BGTdLyf`@Rdo`^G#ur%UgNzkQCH8S)Z_`bh?Mdg zq4^dg`P>4Tr$`h)yNaYrZ^}^nV}W^`QSp2eNzm~3LLD^I*m0>F$w?=;zQVg(uHb2) zSDqIx^%N?Wk}h4*D^Df13VUfOVSo0gR-@`=?DNw&{68%(C90r0_|{!ZkWtU~l%d)d zso>7j>2jtk@nHTAna5AxsjxUgnD}{gw`-1prOUu>rPggNO&fUq$+ZWxcaZE-B!532 z^a)1kL-*tCS;@xRtLp*!sS;Ma=mEScA(D^!jIc2dU~j?PZwbX)tz{hMpu=t+50t^| zvj^>up}LWG^D-sa>)Vh=i1b6r8AZ6jP>{u#HYNb2ybXucz=%|>fK>1BEI%>g0`;^~ zZ{f=m6W>k#uOI#?NCVg8obEJT9qL6*zSJ)*%lVZx9@fn22&Ytj7lo0p6Ox_ef0*U( zaqnQvUJA&e5z>LJtVruQ?ih+6Et6lfs*x-en@N6jWO?6 zaH0cAIU~!&d=du225h%Jn+MqM*Simc&G!5Uc_N3FiQFFBu%LS@Jv8Eo419+Njs3l` zsT$&)9G}aoz8g5SvEZM{-JePX&?jii#hZ^e zuL=EclACUlPUhOq)buL$o`~sBf3i|uY%j>ZDiW&@(S|`>Q=KVxkeD>FA_2uCaUTI_ z1WvPw^Up;3SU8CVe8YX#le5(z@8MX?2I6)67K5_4$7@g=UIG;TmT3s|Wvy1ly8a(5 zCeLcyqj&vi7q?pUY|&kI2|9tG#54vl6A zAVY6BMbg)LAIEo5Bo){dK&e{ofq40chKt`oHr0FUO1iAigegMyhD#oUJJhg=;>twp zq5ajcU@6SXx<@_@39TkfH<&3@aAUI@p?Z2(!rT93TJcG?#P$Yu={p0kj=4CZRWw!i zd=~};Eg6NHe2EZA-O*!6_zSKE6lLsOUVo)qgm;-JUyDjKkTo!hg~&BuHcC#V92Vvp z5F8LH{3#!D#{Z8H0B(XEiHVd4l_(7PrrpCbz+e|Gvx45M#5x+RSHx-Bn3O92k3rnW zNGDPrFbH<3SyBrwh<_xVa!aM?r27%Vki}s0At$gbMvOhc#l<5czL1z73(3L;qWr_3 z@rRMd|NK8QH2y41Dm;e9#G;{1_sT7TWFSHm_-AG@Sp3fxaqJy_f2Wz2`KkW17)MdH zu+;px$Bc&5$h1hXPffd|c0wE%eEVdc(E zUrKNFf@8zQ7)?tmdJbox#_@bjO{kWy0gd5&wMLY@@10LKvux@$0d3{|T>EiSjl8Tc z^dV@HtP`Jh9Sf_=eq;T0FQg+bwvVvEM?Bc7Zr;6f>$hOr-uNqR9IfRtwCqBUvNty4 z$b0wktvEJ&cpd*0^y=WFAC2q{EOJ^-EXlw%o9gI*?A&nj{w0s456DBVw}7YXERZQy zPV;BCWXjW%=MF5Nj~H85KMuX~DAMgMxT4kxiTM!OW4_EWy&=qR@T=UbM&HRPSRoS{ zu!x3<$Ju;yY0!dA(KR`S0gaLV_SigQ(etB6Dt=EP{DhC^vf8JCCG#;3 zjm~|+rhE^4gii>khBSZjja-&lm|kkWt?MWDS$}DS_=b0So3jEECj#OWi6wN41#j(* zeS0D~(!cef4_O`qT)InYQlIdkR``SHO|5Xe;@%a8TOr)@?C?&sqnRGmvNGN`&8C8j z@D2Ri9vz02B5&Tg_M>a(k2DRqyV%{Vet?{71W1y3a1rKvQ!FGICv6?O8x>Q*vwQf3 zLsceq(goi-gYy&pT%rH$cIWmz;7?8DJZ?BX8Q^ZAii2KC+8ka*jVlA|<%B*Vi3IYA zJNm5)i-J=H!Q=_|3jZa9dwYWdrb*eUxl##9**_trbDJZ_@tRX_2mNLKOMG-HqT&a^ zK*J_L{v*o0;2~IRU$GAI++XIu#7Ae|Kj#FG`~~(OSySY6$bDpdcrLQP%zufG zPRzgl=-mhVkewd?*DwEd(tn-wPk8+|lK#Qo|6_0)+T!7A6pX`~s)oqw5CjZXGIqEj zm@Y`|Y_U}ZbZxnXg|F3Im6=WEUiSvoZw~_5&QK;QXS{>0I-kOBFEMGQ z;fc5n+-MA=sU@53Zs+wb5aUbT?(p?MB@=3DjE@P%u;uc9y8DepYb-UKDcJ}~DYg@z5^ob7+AG=j=$;Lu!C{Vp_Y|73E5 zREI$ROl50BMT<=*hd0a`Le3>4UV$vturxrE4Ny{R84DHPYd@?aLfM` z_w#}c7yqY7>|06ChdRN9GC}?7lil54Jp3w|7(7;+9$MFzSB3plk?ez`jZ53%^RNmc zYR7p*N($ys+G%~vr~jVcb|XT4=nXzGi>92+|Zf(K9cXWMO1zopbJ>DnbP1A_KqKs>p(rcK0+Vd4>Q_$lTxL0c* zDZ{x66yP+P8Q#>sL}`2f@y-f8e{C+z;l+KGZ~!7`(!1?e!GmSP zwOokwc;j)p3QCo*dF@XOF7abTkqH*cs%WbxTdjxfI{VK%3t!JhTMcM&oI95s%HBtZ zc0g(HqQ*?^5b*9OIP`Z9g!fUt^(Uho=Dn!aORY>^XRfw@I3%n1;Sji{H>#y3pcNg0 z8qA!odwM%>ZrK~-J^%WAd%Av5A|n&AYNO6G@S?SFTKM%FXfmd1?NseN3c^Xr(pkgeJNk(S{wWVZ1$D2oTflI-Qtwkr>M~No|9LNC3ckKLk1^~(%0pMrw=Ku2cxX%pBetu9);6Cu=~MjUEVZ&LZ_udfbL3okiEy;_Z^g=DFtXzt zWmmgH8^C~*V-~+1C+prcO?d{c8Qjh4XM_;ONtVb3AiQ#mY#FY8;Kjc7f}1ZHwt}Put-<1{n4Tlwqss-idAVPoaDswo}MLw zSQp3lZ~bt{v}uh&kpI+KbSK_?y)0}oL;iNIy=H~RyWd3bIw5Q)xU?!pl}4X82B*sC z%%O2xxKZ5XtLgwYT$a*~I6&_P=UtiKn}Qm109#9CT)BiM7ypLFaao!Qih^#1saSqU#!S>@ zTgS=e+_6`;kozc^xv->{yTLu}`}G^~%{SF^?ErX^{uFN@AM=}nWmBwBxJz_Dgr7R| z1Na+sxh_HvMCPwx>=ScGl9M)Yef1`{l}k>*`yI0)b^ZiVsU2TeX+e^57~dV^pz=vi z9C=?#>RRKVviy(q^DW19&~dEVV}KR~pf69YOuQhVx&zr+IEr?b>>xf(IQb`Z)D!Zt zv`#kAR`tRTTRovKrA?#qM!(ZJJ*ULa1H$KYu0{-W958ry$J@H06nyz^rQ1ZL_TbYk{@T);3%;E0f8Qdau=Tv?Y zh&{L?6_E`5?z)u~@_Xz@zBG@upr~9nl}yHq|BT>$@v^oYw&;@2 zyY;=^@}@JD2lrOZg!>fI^-sCViw$1#XlIk-iRJ;ahG7}e^rI|e_PL-&OQ;~8bmZ_S zdS{|cHL@PI3NB48uE9`as9euaNoyb79SOpyx-_dr@TaQpg$i)DY(%-ojUx~ zA}p&kUMzfXA)M22CirMZZK<3y7L!0SDeSD z#Lhs)rSQkuec;2}F%rp*PT3a}N!^+~+5c3xpD!!E$hPMt7OVFj7iYKzDtk?Ibt-?w z{`xK40w$RYu>#MZY3rNbUdz)tvTxk(kWu9!t=E(HZab)>F7^q!Tt#GW=|5F*qg9Hb zQRdStEYFgX%ipsqJtBlZ2ErH{NC@0)1wb}R&cQ{h>SN5(Sx6JDf+X+7W{gc4KasYQ z`fLpu$(4CialEX?I=ziie9GE&gMbhkvtM;KoqTcO%JRJwzBd4M7XpE*& zmy>cCybR}#8Ke^;>(?jkO>`Up6xD+An9h=I;$s=~(?^#2> z(x7`J>~atFh&qSz&0SMM9@*{TyCuX}Fe78u>xdXAHiXGeQnFHHyeY;^)H*HiF{Zx4 zS=6>6u(S&Bi54PAXPg`rbDOH|(GRT+6$r(mI@5@yL55wF*p%ZuhvM!A6zm9*y`B;J z+EiT=PA=DISz2+waL6_dc_K@cEmOyLs5ivc2`-q;v|NAEaQPThedc5HS=-uE!DI=4 zOZ_ZJaHXc``4m)VGa*tH!A~nwI#pC#R&T{n(#^_W4vQ2Y8u1N~GxKZg?5#_~LTDbbpf#=>a^=8MRqx z_pG7wygSSBd`jws!33Uja6RN#Z@}IiFA2H|<*b9eJ%MNOYc;jDTYCJC2-<$M-e;f8 zm{(?p@|6U8x4KFB1HL4e^6jMOZVbT6`}yp_Q_P8}{5axblTQ1i&^K;!)hvsYsryQ^ z_-+Bc#>i=`^+bdZXo^VuO&3*&i)IArxqxek*sZx8@C!9^RSC>WarK%o6w#K+&0?-F57aN+R7Z_-Jrdoc&m$u)b4Lk;er?K z_k9r&)X~3PgcMc9?|FZ++HRIZ_i~iIkUt`=SZ`~133A9i&+$~s@o6P`zB}D$k|d!o zJ;dR{8NMbSwkK@(<~KDt9S&zsM1F3Z?rp+pc*$i5g}fFM0N*pV3#C+n{v8if2zwoq zDk@r04Uo5!7BrqrOhOe@)o-aVIL@|R^t64^`FwY=Qm%GUW}|(G9CR#Wn6o*2MC_zK zduE>zyNI>C?$M063_5WR0eqBp9%KpB@2feBnqjTwaEFU#UMy3jme#5gbIxv3vZ3W zKFcJtG^%lt!yQP6H2b2()8q7*<_qs@4{ z-cvgU+}qNMWfzqdzvm_ATEhd?xmHJvR`vP8EWB!8vV%3sW?~cZ>jURnVE;QKzxnWS zmA%q-DpZg(Cmk1O2=m&|8aVX9AJ+O0)r(6+4PRS@!A8>>J@6%bVNOhC&|irMu_wBvi5WGP$p zcv?Yn`BD7%i%rvTr>U(hsl!gaIs|YPQkRWb(eQ?cfN=Y-3t~U;IWD*4eV9sVP4JsJ z7zFXs;XQkA6o+=GW(vJ`pniU zu6uaIBsrMxAI?>lJ%tj$?LsNDq11urJlp8r1(eBbFX)1MWY0H3Traw7Yx3O}HlE$={?WJI#8%CS2g!bUKLTSs0Y9&>G zQ|Xeq?C2IA_4A$`as(5cXY3xy`95aBU)vy;ijIE{e3{Rx3CO+q;(sHGPHSsKjq^gC zmbkYBKJQ&Ks{A=_301GB{t&wAx_a{SL#hyXT?gBOv#46CA#rNljE$=45b+O~q9z$} zN7bC`F?g!Mq#j!m3dg#c(b#Lks^J>4#$J&}wJhujg%O)TrZiIaZmIkqloCiUsSACr zT&T9UYhN@ElH!VWJ`e7vRM_-_+XrZ(Hx0DqjE;vDU*R-j@;oQTFgUJ}YzjQ6UPp%j zPfi;~$-P=2F`f%ok778$5W=T_B2WnvF(7C++0NGI>RQW}OUh3a>FDcQc3sV5Lr?JN zE_d{6K}&0c2jBT$6YBW8nSK5u^EFiP8VSQZTx+Y$EISK9AI4%U|9oYFr_pkWQ)Bt( z+UuQZ)v6Kq0(En|iMyoZ5u9f*F60f{s z{V6@oe5>x>ctfP~v1JunHVRCmazEgXVKXzf3+AX%$TsT90EN;)9i=J-+fVSFaB(o{ zFePMY*4Yv4a%1_h!JR9dv34&uNXi9!sLVg;v}jwgQDe0kn30(bV&|N8O}%AY0j2D- zTM`WPvTxDjLfOOGWvqY~oapd@PjW(P<@3!|=v7!V#F7%J{o!y1^&_?1T@{x|63-)u zn(;oYY~(%`rUTEE=@l9zqw8W7DD*Vsx8Qd9GN!3D1(mEt=xt~V2&05hRHKRYrW2#Y zx{n50xQkNfye_|LbF(;ZUAkX5(SG<;xLKhm(I=hOr7aVhHTzS@6Sj*@0pOgs3kj#P z$Z&=$lo^JUwOn-6^sQ5=IWVHjj8ToEX)%H#k}SbI>N?{^!I{yO*-VF&#gZyhS=O3m zf_@`*8`*ci1#Q*WSf&|{A$I5QH>3Fk(L5ooy&W#Z7s3P`xespR|C=Jpl9y7>9S}3fE3p=O=5%p)v^D1@ILLjQZiuk=m@^@PapqcWz0dR}|(@tPCI&|I&IH?xAh@+71;7EnckjIa*G%0baPn|z8gESKSP^~Z* zPsf7}@ku{31%I1Swpl+B{$tdiN)MeUTVGR;s%nH{Z6;jmYTz;$Fb!-VScF|oqyBV{ z1U90KdIEcrNR^b+QEps$l$;)}>J*^(UPy{41tC(Yq*;Rz1oMwl=OiV|3fMg15SD|> zVNF#SGyMZp#c*ev52e)1?MA}pFIq8JqP!T;d8ZIU^_W3Fz?^Bd6aR0e)6vqxzi8k- z)kzq3Dxl(<`o(q3+ZD{Kqlg^g4JCGy0F<}|UudsqBsG^@u!GuQOy4&G6~CIB<&)0y zgMF42E~P3}naO|MSEB^*x6I2G=3@jg%D_V~3Mh(}kq+Po7iE$LRRpnCIm_r;Ca!** zzF2?i%>#g*_C3WriOOH5(ux;BWCF6`{X+PU>R+|wV1=shkwu2|a|)2oqwhwtW#gJD z)ox$#_n`9T5(1`aKa9m54wzmABXci<_-m?QzlMXF?O$uP61rBc;rojMpD%Mx-uIeP%*lY zbYAYC>r^Lf{uMH4zvv1)wLJO&MPx0HX*cT;zuRGpac(4R<-kmvUVkxQR7{}(8A?es zInz{BOFq2GMMc@l-bk^yX~aE(qIuWiM)`1w5k$3&^w5nkPscf{3elcIc`%kD{fK_* z@SAl;k56fM2}Yka*+IQ-i>k@x<2%!YTc=<{n;Ye;s!!(_2WBld#hOm4rVaoJ0{P!R zM|J9J9OR~L*H)k$zJG+?y2p#w;3oLF96NRl3fRc3rW;PFN+Oe>yV{7R84U+UK@vvL zm(S3KM(7{N?eoZrb8i6`xlvAtR@UMFbk7n+<109nKl}BCwgxQeZvUAbl6>)}{~IE@S(rgqKmUaYR9+s;rx95!yrO0gR9en|H9F`5)$~w4AbtLkKtdR= z);tMATD;TNB2#j#EK+t_noqwTg^-E@KA@NE5P({Z zKH9iQ!?E}emKDGLC-gQNYU*K22iiWctcsiZ=87VcBJvML#vp`b?z=Vdhgi=Y6iZ&2 zniEH(oR1^S@>vv6PqHwfzI)|{C<})*;mUIFKxx1gaZ)y`3jPhi68yhdSz981q16fa zH>j)r26ZXeKag&M1EX1ZH?qJTYjnv~c+$6~Ny0mw#hMZWWRlJc(0nrc@)3c%ny8bU z9}!p{_!rb6{{c1fNY|)DF8b-}WPoBq&sn!8s*Lc5wPb?-z>k__zD!Zs=cfE;UAB(p z7v&i^kx(k=ov_dUVDFxXEwA98dl}CvwHk<26&kU(Bxc94|BBXu{;^rH(!N=7kb{hC zWEWDVoZ^O$KPl|8ZfcILYB01c$$|ksY2`w&1TH$dkpN>p{`}|@ZReq5IpH^Z)h2KZka?`WStqinJfsR3_$YYt@_PVp#2m+} zGkmlXIGvx6{QbCVwQ!SSWD z9mWGM0y*m9q|=h7A$3V7cGU7g{k+YxEmhxJ>dNB$hl~kVR88qmb938A{KMUh5&g-3 zZHt=Rvt48G7duN*`}c=(?hid|16*~w)^K(|>0AzM&6E_jV z{ioeDxyeta#zO_qu;&)YzUl0yA?BY0R=RRYTTB`nc)y2Hu}p1UGSQ3bx^1UYPVLkE zR0Y?eErs~x#bZ+_aElk7ha*;7YxO%!c&Ioc>MfxBpa!7_avQ<($c2tDZms*s5B$pf z5wuJgjKYJ(2~+X|Pm3Hs2o0YAN+B9$+jarlr>4MD@X;OX{uCPTK6x{0v<}-hRjkKX zhBHcBn@r}Vr@a_AGqPY_F7fv+SB~2%IYd|^)0y?_>k)^swSv(C-f;#C@ehP@j5R+n zi)3m^(KCuUTRBC^r(_~cS_);Y@h~y%$~)84cdjG=#IKOwcBFk#zgoKsJd6*@h?U&S zugTDopnfkTm1y(Re-TMKbD|JfE&d7C3wy2l^JI+n8;4I|_s>ekO1^~@)$Ehs8ZxMO z&I6{YGjrj1b(D&_))JIuqg?pOz6}r$sdq}!hdiX4_)bj;;1rrFjYA{9xKv=qDfeVc z5zX9$-t7BD>(Stj@gO@3?-c-A2lJAiGPN3R2i5S~z$z^1GDthVO4OdA1!0~!p~a-{MhUi%lk8Y1NA zhf-#dI&t_%{OVBx)nXx7Vs8*t1-M~v+2d^(uZ=&_ZM@Z_dU3@RN;pzcBvURoVYzmm z7y8>p7t?Ug`+ueo4?jm%$8#@YZN^|lUCXK-+*+m1@ilhJtV+Mus1|BrXx8YnwBxnd zRy&9}*GbCPs?0QHRUj=`z7P^&!-GZVFdRv(vk4YRZ{a0MVu?UsBdQELUkm3=@lBGs z1)gV}v)j6QFaxaL+l{9?HjW)X#>Mr>g$!Se445Xit;BY(U{%Pt&#U8++D=1d zt5xFbCacBA&|(3XWBPo4r`8o!#zd&bl$TnuZ6@s)sC89~JFz7z#_Y|bJ*k;P8CkZ9nucX+IYJsv;KN%OH&?AQp0MCOE|2ecDJWy-FLP|B#)#5MT*RhcwUXG~h@J9H2%+e-8 zsw&tDGYV<)z5}A$X+qkMy}xzNxhu>O}L%Vo$zff*^RkH{Fxvm^X?& zCkNiKuz`W7H$zjgA!;^?-6PW>eWhU+7*FhpH@fLJx|84JpS4tzDi2JBVje@&(5_L~ z0#BG{P>)7xStG>{?M&~&??Tgroj<+GNi`Ey%2kkq;s*t$G(U#!Bx$)r(XmnB)zIc4 ztA_h-^^zO`dcLp?0_3w2DO})@Qi}GEIH)ox@y1?sE!9^CDHOzY4M($+UCL<6VdwH` zPE=H5>Bn$a2bQbbOQ&clU%zK3!bTe@H^igP-!mx{$W49TOOE)aWRu{r2*MZmqEp;~ z=qzpm3_QA!RJri0vSAzS&w2_&JhFulP)e)VvDh(%KZ@|qHm7&w9*J{(H|>nMmCzOZ|? zqE?52)h0C|ZZ_t6U!O%pp&#C2_=K$Wa&h&@)PNP`ZGLQcW>LbZYM4yB1DVH|6pnGoMcko8j44iZ9@cD!8N@`3nv|o-%J>r*D!81$a5U=_SKY-k>t4t(BSe#_812rG z6FqLQC->elthz2Sf$@YiE^jY>#`ae&pE`>DUXJ{x{u*1bn__-qM>Ad=SO7dZd8Y;3 ztDR)y{0%BOS^v|px-8yHEJwcm=5#uTdu7NReC0xAWL=hF<$x+*AwNZx3(MkSogJ%G zh6mS{LjsQUwPs2$WxR{M9`7GwdYXc3c&oERFDgmX1d71sd}J?4enSM+h~Z~wRzqD_ z9U5u^8)(2|0+VSwt`(A_l=JVlh#pvZyjUa`;}9*@s|@?aKXLsY+|FelSGtSNesjDT zc}=G0Q8vwo6;bDh=9|V7VSK6y#pT)lr&jfdVN0!Z+8tM_RE~v4uejTW z$|udAT9gH z*YITG$#;t)Ats&Qjsi5`H=%yMX+S%lFHyCoGC!Z#Dywq4dkU4~UCjce4^P^)<0k+$ zT3n9V&8E=yZ`rm@jX~Wwm5y~yY0E}aN3xHC7*YE7nQdLtiYfg4Ogkx*r4Yw_$;i;%ky3L zJkeo=b+YWV#wx`Q>^lFE@ask9b`-SjXIun3=t5-fhYYg`PdwiFG$bFZL8Kv|ld*uS z@y`>MJrqC!1Hb)Cr(!Es6DpYs%28L6G7Enc(xap*8$dRbV^w-m`;e*v%bu?NJ9QEA z3XX4O-P*m+@_q03H!3x#%{okg4q*rhHZ!4k(IM;(eZ)7c3d~Un6TT1yi{zW-N%et4 zLZ2T?CkUPkL(5#X!}E}?G&&6`L)i0Cqjp`reJg-&tQT$3(T4dyml$J{eHOU}<~saW2U05c=5i&R!C~2uck7Of~xdg_?Fjq9p> zb(HBeO8Tjfz{cTuhDSHc3bVs(#CCLFss76&*K)Y~s;yHC2cV&yj>o$YPlM=%$||;g zmD+hU^7P57@n%^qIXE-c(U>-W7%(h!7Tw%Oq%x!hfFHlgTA93_l)4L7vCQT`CxfYX z@fyZe>!aGXu=`yKttP&U3&`Q3+2W?y!=E;68f)u9>}EuyvsW3y*fd_8T=xFOk3(pV z0?;*ZL6d)KA04-|8_L;>Y2Ijf98V?E!99g8lFQs_xyzg}EJz5r7n6-{^jHBfai)q zrVH?sRy!7UQd{69jjQ)jYB=L$SuP7Mue-FeC`Yic_Xe`H)r8lMSElEg-LNDR$*eB; zccjGSiNo6u+7#F!uka=(LTtv|97`uewTy|!X1Wjid^pvCsYUaxUMX_FR$7}uznpD0 z0KXvmC@QTWmg(mb`Dyh>m={M^A8wcXh1Zm@t&U!4hFv|l>rB26Jr@+(>a9P!xbpEY*!*{dxa)IBS#bxTdVM8TcJ z$(6!gOA@gb83E5umK!+z`Nd=SVNFM}V+lQP(3c&v4&w7z$9h|egAK)Xp2Pv?C((^$Dt2+tR5gKi0z`)Z>JsDaa`tg?HR%=jdz!>L z6%r0&kAh(aq5>^wb>T;hcS;eUKm1yF6RHip^nju~e52atn6=3NhD2B>e znD6v2FD3IU3q-(F2N%$Ql_#-6!%LVbCZz4Myu^?$KZO!F%Hs{4Xxo?}njI>o4OcBd z0r>sXPU09k=TDfHzuC!TohG&s%ZT59-!XV3sbIqGZ}ph(!&i?`H5v^9@D}G!NQfIi z6oYy0jMM)w0Lef$zXexwAT^pY$Xac|Dgfe!DZ_aOYxmM)RfE-^svYid1Fo8F=e(U$ zS7R{J)C~LB4x?H*GKH25=lfb~BF1o?64hL6m13-#BeRp^-PeGOIwY!#tVqJxD=-q7 zb$M)=rMZMJg0@HXJR5vehJ**YI$My~is=7S(v}Lob$Hb?!m8Q}Id!7y&Nmj=LEhcdO zT9#JFlPokmHrk5warcTKJZ9TH_O>;8a(zJFgtB&a^a_aC^thW3L37Rqw=c^--(e;odnY6>Y^wR$ZQmKd3y^%&jQOIo{zP z7!b5eeZqIy(skB7U9dxCjg=ldASf2rrMRk6q(Ic>%sT^NsRD^(!-yZPYnC-y zdE%K)XqBo9W=#RkDkaY5=NzsMv$)E|U6f|+9@`kKSVPSZTC)Pq@w+FoMuoikgzvI1 zwm8=`55@^%aG(t5Np?K0b>?I6+_6aQa6LDHJu4E}lVr!E(6Q$Q)hKwE?OK)~uD%1k zgNMQy?xS|_NwVY7E7IN$*CBqnc6CR=FMUI6P+XF$) zM>UTQdQjEH*hNlV^#lJ>G8J8sxw|6MoK@u2jxS4#O*HHj#?(E5m?LpMI!iXY`z<)% zzBkVzSeb zHoJRz3$tXiy00>{`FBTi#kk?_yxr(%t{6AeeW#(#yE-^m%w~5V@~$k|yzS#WG?*2H zsN%Qt@Dm>BgYrzh$`tp$ICq|zWT$kPRXbxTr*?Zy#F(*62`pS~*eNaCUm2`hQ87I= zVcnz-sX6H#3(->d!Tv@x<;P&`3Zvh}PRef1zVSPbo~>)oFt~3A57i2fl{l;8&z$vO z&%krBB%;ds0%vxLJHVKahm>*e2dLO?^IWH{=}uiOf_=8I_6w|CHIKa?tb9Y6npR75 zocj;u4zM|z=djM8z)W#vJci3bQwHk{56Mh*pD?CN#7=>hnx#B;PjLMdQ)MY2RAk44 zu_;jAEG6rSri=oE%oO)dZ`cX6<}Gm7v{e`9H(M!;L04GzY>d4VOj@O4l)6h9Q)Sz4 zbJ}iy*OjrPZI9M+n%5gd{&YEKvwB^oC{DN_c%Ppv*`nQl&s5wHV2H#vGy`!m+qB=`Bzq)_H5%R}P4ykdf~$7Az?6ik(M*-syWrv_nWaL7I#2cM$$ zB)Ohq*A=%%y##vMtc&y6mW7NxVb$)jb1ZIzaZa@~hduA$GterP!%nt%$oU6QyV+8Y z{mZI7?plETZ352iks7|61_!li1VFnX^4<)dklyotBOU#LTvH{*WUx-_i4+BlD1@hp|oph9D|>u!LibGV97= zJ%Uo9jD0st4r}YkR74LvH^Z{fV|cfQX%Bp{1MUclra>Ketoby##EW|gk#~)Kp@OVT`1#;?;K$Y9@6HCLG5A6IU+YKmBX3@_kn~mOQA0U zv7q*2)JJ%i_H1iCc$;J4_kf8?ls4lX9f%gDN_=X=S+p-cv-%W%6v#lIuN@2_}C$P}nA8Uzl28YCXnS};p zhj?F1?I?7pIdR2^Fn8*Vu8~xdtR5rx*L_DW>`~O zrC>nDV(ZAa^)DeKh7z(U4qJ2Ec=SCyF5Q^nzi z%ExypLn#sgLL&(i&bFe2$J$yR$N5Q4oWIiYIFIdbu@`pdthp%X^Mi32?ZV!Hr``oJ z%DXvV?(A?rki~fd)}!iTY^*iMW~`PoHr;9A!`MsCJ(HWP{dxGQ9IIU(>tS>Tww?1& zgS~EL59j{~tWzl!og?)&hEM4Qvn+cUv%fXZSOcf+pF)43EvmmbcjrhooH^2FXO48b z6(Jcr58`TBn%&vg+s;h*a|Ve@RVl(IWS~935TFOn4_Q-VUU$A!pyToQ)u;V&3y88{^ryeZtGslvW znSF!)3eo6dMF4kSow4~rpQ=)f-S4oj`tDJCJoczHV`OZh6@TD+{a}yT3eG!O_IT`h zM~^#m=ww2ut2ml$Ir1y=Vu#~mZ&DtW8YEF*xSzT>SfrYwnk6J9)*!e;VAKWCiobl`mj}(`wV{=o2=RyYvUllJ{Sv>W+v|Q#7|+0 z`|OciM>EDc(`Jw1lZ8w@@Xc{&jrNSA$G+D8*RE4OwfdCvJ)Nkvz_GbvYpY?-ssx`E zV`2#38Uu#oq58sO$5}CxG5dEAq>d=^c-OR<0)!8=D$VeOYG+Kj$Z6^M&RFn^ncA{xqJ7eL_J(*UKEjJk!97nrRNFmfKNAex z-)cKN-`Gll-w$=XX(uagz;B~C?P?a(tZIjEv00iu2Jl2|=KNG=R=L^nCj54T*~^T5 zWu+urK~J?TgsVahS1Y!HuJ8C)J*O3ww-12#d7AjZwJpcv9&tSG5XS-~gSdS09r zMZSc8YK=`Es|V{HWE~!ohu=6{T_&>)nVJ?87;|M{`^VB;!(rxOz)YoF*1nhH7wVpW zl#?=7!gr~nrD&%@;vw--*x>uNl43HPv70Q;!#vZ939vc_>!YRMaVb{6tMBz!PD`V; z=eCX71N*eIqyFWwfzDX)xwAf1cY0Tj&8QWRZKdC(HDr&%LB4Mby)!!)Q_v!5&oaYE znKH;(ZQ*qX^b1ug)Acx|3iZHF4$O$m6nG|Bh4kQa=c0PHu06}F)dXX=Is0|HIcu5I zU>r1Kizn`aGusvZ)&{1^zW5YyG)rY~Z}7d~3U64~e0PL}%xLX5ShMAd?S?T1j|z@x zu9zOqacj`DP)=ja6v-Vk#h8#lY01_0mL9lj<{%Fuk-92-pUt!xzDa70G9EkGvIk@= zo8dVFXmoJMma6-EF;S+de5?;sa$@!~=fGwY$|;$+rx2@rB?Iej#|!Y=l$ft%O1OQW za7BCYl)yTr8J?wVp@H^AYp?7clr<`xakgWoF!tpj^;!A*3uA15PqLeOk+tjSv3s3+ zcX97j)rP&y*m}beWXfV^&6uQiwU>+9Q4jpmV#3-@Ccu4_R$PtSxgF;0ft=y2i7v1> z2KNX#Gf(wB-;ga8+X2pX>}lrMGsm%Kj$@D8F5F2r@qyoYgfGLGDY7@CDThL$nc_J+ z=N5+0Cju-Q6jlHed0y~_} z&cH-#jSp7?E#zT7Z=sQFpY}GZPvN(;9D9aab-}%|Fq1KH_Sk`z%wNCX8?|S(#RM1) zpm$6exW~hp$+WUCgy-Z~Erq9}IC`$LQW%?PrNF&>mOYG3vr=F$*D58yAp&CJkP%7! z1{Nks*17xGy&=zRX$gl$MB45-&yRw;bet&K(eVpmNbn+S$LG@Ef%QoX9}PaKl&WuQl_QjB$VuETzBc?vx5 z-ASp~F0suzxF&9SH)D4=_7pj_Uv2rtU%%TNMRlU%l{KAq{p$E>SEm&Xttcw5U`FdI zvU=Hbe3|nHoL;t{&XjEbSv_wM)CIom?xOd!>MDu3YJaC?GsF#486472yC?AF=|KrZ zMEdf5kYeHkO02pnwukTfsq4#bidzcqowF?D{Bg@d@OLZ46HjF~+r`+Wju-4~m4c_k zS#>e-OrEHo;f~C^jvj1Bv=oR~@fr69O&J#rH>L9M;k>Wqah_mo)WY{HKlKxTfI9*xxAh#P_mI&Cu_TJ?hxG2Ho&>cMX_nJFH_UsQpD z;*kE84)DPwOv!Wymn@_FeF}U;&0(ouq2Emzc=E06R*@*{TH}<8zgx`I6MnB2Q>BIR zb(t-NC$2mFg*Qx@GMqmMEihB!?jcv-TnKB&Gd!>)+7q{0|K3@x+rRG+=LPL7-+`y+ zS?q;7?yPHMf9)>BGfungU!aI<7d~Ow#F?=lp7m!V^=$S!X2EQ zz=l@s9y=X0V@nke8EXM8jaqoEHEV)j(y?SbRuLJvw-EHGL-yc5ojr#;ovWN(oR+q5 z?r+)F`s;nG2N}D@X~plBSHja)EzgCgyE|-I8T4M*gJT_;5l+enPRe&y3ViDaQq&=P zXSH)pAqmdY9KW#d9)XpD(+c}rknlWV$1mJ(Gkxgbe3gUqSf>@aR_$@|u)no0!Prvp zZWAB)MJLBX``a;`*AH;Uk`mSbST@6~z|zCmdseK2dnO%wehqv_F~MWgU^q7|gmI=; z)Vtw1c&npvmGdhd%}+TkU1nM6v4f!^Gj71s5`q-8AmESFQuoQXE1Z_T;n?$n)6#F9 zmR|4t9>>F0OBuTiO$!dR5O`>Dp0SG|-)t8=tyf6OR4fI*%@pWR$TN1Yg%3PGJSc^t zvM&7fC{we?J_~RT_4rn#W$Yd&8h5i~;7KDDkb(8G6OH$9qA?7x5k|qcY+&y+XnxQx z^*p_>rEfTTnmBr@S#v+7Q@%^Y@NRi^$Q1Pn-(?E+7aj^jkGMgU7 zmrrYv?NIHS=iqEV%bhXfcK!ejcdWe8iGDZ|MR|Az$e1adw+Ijo5t{=&Xv#3>w*}o* ziENQss)WM@jffuic{}h_TdQ_S15=NynX!*8&2ciA7S+?(NpbZoax~+WP&`l<^3Y>& z!+4}!@U4v?U&%0rcf~|&uP?O77s=-@Kn6dnQZA~TPYm*vj4U73taM~s#&Aif0&Rwt z!Zjdu$X2LN_?{_cKSL;!%u%pYp$;h%e?=_X_UB+G98EzT(G>i~N;G8(G%cE9;|zW6 z$o$*dOUYp)tT7k%6CjL6H6OW$U00s?Cz}g(XqoEG$3(f}uS z;8$QQZphyYh}MNq`8HEHZ(?+z?7?S(buP+b3d96U2EOqWa3hqt($T!pDrJ0xQ(2e2 z6UZ3;0uCOb=2bymn2M!1KLbyLL+aTzs2vlf%#KPRNby()3m>>X=%9*)OnhJu*4hz* zJ7=t3W^AQ%KQ_+Zqk16JMKp7MbdZ8|N}KJ-|JSb3_O(_noF`e0+P}IB-@SEOTCvsW zApsK|qKo=Y9BZU%~5GFH@rCz3g(hFUWR_$>)5M!~adq&bE?r#hvY zTMUm|-JIpvvx`%zk<-#ooW7VGM1T;V*BoEApA!h*yK!vZXhmAi?eA?d*1_S1dX7DU zBBRZ#fxn0L;FH$WA$?grYdVy%uYkioi8G$M&#?W(K@6W}gGZ>@jgYORhcRRJ&9wD!Pk>PeM?KMD+#7n;vo^WF03$4sjx6{;qZ}H(wrY z1?O%&yv*@0{B}dM?QSVIihkqV^-&cTx~3k+_I6@|{R~OYftaEcVkG&4PyEAA3i%v% zJAQ;irN9itVrEz(l$lhoEPNQ7QzI$^cZ)epIM0f8oWEktmf`7e)*6j5#77;n-|NAn z`l2Zf)kk=jGM_@bRH96&JefKH>##mqm%A5t0CZ2(9)QP6!Cxp-htvZimQ0;MK=lqh zVPfQqB|$bz zZSB3d11vOL3*DA7=ULowGMHwz6z(H(Qoglt29I-6{@3^7q8Q$5&6-NYGfWiL=y5sh za|=W1$5B1@Y=?7utVEsB9`so2AgW_d;N4Dy#IXSL@sKj|T7QA_h1&J4{c6hx$bg6N0sm$m=kV7R{(`IC8pyOWHyM{#yjXh+(Ny)Hg>ipc{t z%2eKtG)5$}0FNkpOG~LM~?Xm4e4Ua(uY}5Han6^|H0wQ^H@iuFU4J+RonBL`zTHZahAFEn3%0&U(<@jeo{k z0hRC_o!$6ztbHWLW;piPyFDHoX|09f8ogB)=k`p`W8)os{@1S#MEewf(b7yQ;r6w* z95%*U=fYkbIA64sePzvKa0w`)*>kS-bN;d=!&r0R77iiTnnX?a#`1l>La{k3OsMevBy}j3K@^N z_q`7aN~yXGwOTXovthcDf&Dr|Oxm+oU|}fpjHQ`#yOdlLDOIu+dFms)%aqYUN~jqI zB7Ml(cW^AM*tI14J_nEC9xT?ZY7dc6Dbf^EMs}Z>;>x%w$uu{Ef~IE9?O$zX>~+g# zkDX-o1!IjYJ#qG|a7ww?+NL>sgX653415>QvWKywtT+#AB5S;Wr-?eX-|T3<(u%Z< zy($}GaCPfm2YD4*fhH-oc&v%jil$CW53ojjxC77{zhtB`nE=0w=V<<~gU@XaKL6|2 zWuh1!?DW?-aObGZK0$w~K4omKHM3$2pCV$Gg5UmddihaD^FJL=nGbrR{0TM&L_f;t z=Z;NvoVsvqGVPJyY!yo2MMl~VPASZfmU;zjR;gGD?x+WwWttiX?cd!F$EFZ52mqGm zBb5?(m-Z}(wMU6bnGZn*Q;?MbfUVM|S$;hPi|TS6pMU6LziDOW~zD9WJSXtVp9 zN}-I>EZb;mhUd~)eC8ZyAf`+X!;#ud;e3ph5?=ie?SV@-ky7rwj^QFQA_Mj~@lahs zP_2;nr9|z44Ktxf)y_H2k?@l$RnaRx^DKNSdIjo7i_baCzWNW(9JbI1TcBEzmr zlsJ3dckroimE!yj%R;yp-I9TC$3O~*i9_N8fyBb6rBzDW6BG)@o#%|9TIxwF0eF`w z_an}5x=J8IhyIMEav1*3nprB$$5g5LEl0-fceKUS1J9rcq7CLqJzcYO zWkh|8B-Cu8DC+`~Eqo>isH%3EWu%4hoYG(iFO;$Gv}DZwJt?~0g#8dAhM&}deF48I z;Gnw7VmSON&4wrsnb^reJ)vg&WoP`PQo-H=Y#dLmBre#9jZNz3%|Gt18-F zC!&D#-VKCar3fk(LYE>%5Cu^I@lynUJE9;+5v(-PPe2SvQxNGW5C|4TkRB3RAR<)( zfls7~+5fzIX3nfxvvYIq&Amx(viI}sv(J9ltXZ>W&FW>tQ7-1QQn21~RD@6Nf+v-y zlh6jpk(7{6qs%}+$NKrYjDjjcqt-Mag*RNFAzKwbir5z@6zMeVko^H^749bCUnOMq zRaIAoT=|gn9h4@e8Okie(=E`H zyE{|*TcAO&&GVTMkn*%}8n+>n_P!ntzWx!A{|4mAfQ&B?>RS<_7w5Ptls4Ft4jR=@ zCmqs5Y4b^COHVF0D0{h_o6;&-MZ+{(1z#WNp|m+!IGcpAw7G|9a;;jN5G2R_;85Cp zHzZqxwndW5P#~ z$fv5}eB4vYmYy7oQ)$*rFGlvyDg#E2q#;gE^N`Fy0R>G}-KqqF(8-g8D#(0xDB_;d zLP3Xj2Vc6D7`!;FjSD`V20n45q8xiq>GMH{r98C4Ey(kNMoQ#K>B6AHrGgG`4LV$h z8uZGF_4A0nb~%3`g#PzH^VxvJxrr|pB>T4`C*G>yEWiJfzXE=z<=zDTb)zDm(5H_e;$x>oR&Fw zU%;k?ED~r2i*qlb4}>Ob9Flj=JEsL54s0FKrGZbmW{LWz5LzxyLC|(~a4F&0RBU-) zUucvtr=gVwLBd}ad{SDPGFa}bs;&(BIX(DVFNCf!MRIUVFAAZT-@NOjtAc*s8uW8@ zp;h`;RFLqZLPFZ1R`8*9dV$ZE3q7ZFS;2?ekcECyIzQOhQ31IjAecwX^-Qbo19DA3 zVs8|4*`CjH0YSd-G!Z#3(EL0gI66J&Lw)lCsj4p*{r9HcdU@(^Q*V7_!MUloeqo@w zHz27=cS)*zjvO8p(sKqkmDr8>HZS0}w(l(Z?de`pO=K~q&v z7h%yFm_ovo?k*%8k#JTgF380C*`Aim<3(DKSu)>Gbk~rmEuJ+y55wq_joQkDiaMoKwOm4c-hv>nlfS z%|L;qv`!&UCw;OAYf4q_1Bzz^abh7X3P9{fM^028(rO4DZGc?DPQzF1Zwvjn<0Tvo zi`Ek3uUu>`afLrlfzXR-7755G(xImrN3Xerv?@kIw|ITDkf*B9DZtI%)mDCyv0x(Wk*0#X@dUkyvBp2wrcaSO*6_uP9rIX-Wed#hMgGu?A}- z@XjFfQ-mPRAv&kmz(xYE7d~n~bhdLC#VXUZX(SLWVZAtY{!U}3dNJ~r%=8N-_AlO7N*9C{+J;mB<$O}QhPq@qLTg|` z`E!ws+kg%-chYC2wlW0q$@$P41{$WxkxtsQ2)(NI31#N0RH}m)F4oxkFO~@EIHpjV z)2VjQi+%l6QW+@g`BDsC$i=aUUqszTN+gR{xpO>)TBfQtC@iX~-ci(XDV<0m=h_&N zZYWwQQ>sI#q|Iq)1USU&;h>)-G*a~Bxa%%II52{>8Q`o)RV@*;@&AfcPKiE&USFn- z`$HS)e?r{97m)bv@{bYUJfFyf;RB&MZGv)r%?IhktZaUK$&JyvS+;*8A-2R z8|M@>opfUnixgT!oisMIh}IX*snbzH}1O9qzbTX8xatUY>7bR;d!QA0IDZ zITC%bN^_b_$>baI(C#5BTbRE}_$;BhFFMb>Xm7OhUtT{i6&h>xlk2Cq`N4U}IK8h| zD0k-w?N;uArd&0|ww?1CJcK%S)0DYc9-rfGCHwIR6~+aURSr^;wDW^(A6%|d2ijT7 z;H5G+O~3s#mAz+5zX0433#Q4#qVGJaVbGIf`e3k)vR7hj?BSWq`O*$~uQc2(^n0Z~ zRfNCG2^w6?M^`8r&64cgrJ?bSA}y-w<3$aU66S#P; zE>c=FlpBYIa^si*(d=6AVfpj)8a!leVwH2Qswi`_Jh@g=x;R)%Tejro2DFvyIesfV zg%k2w!`@fqGvkn^a^s>RUbKs?XbCsX^?x$VWuMQ?>nL-sj3+(zGS~mPVuX{v&lqyk z3)C^lwc1H1h5Wv5knp^~=dA@F+6h?jp>ua1_Voqzl7fDI5qzB=LXT@9-WGbA`xO#) z(v_lB#Fn*se$Y=`3vqrCuTCl@tg69U3$bv}&vijRaV^9`K|kk6OkqGA)BA#cdRYtc zhM=D_3$4-@aDs$5=Qg*fs`^F2C#7hI*B5$D>8Ayslx}{gw4Xym=y3(bu0cO>t;oqi zKc6aMO1oBr{k*G)S5?KeA}dT&!s%HnQWp{3R316GY{9}kPm7d(T+B^WReAb7?HMUF z-?Sd!C&l=4O4#R{hsE=ZIg%RAiWyzZ1x%?PFG8nz#-b(Dv>ssnupVIhLLQn;F0?`G zjSHHp`biNMon2FmEYV)h!Zt>vp9k9*A4Z=R31JP^dWj{ed6Db6lNOa!M*5=!m{Khr zXv*`A>HLHuUQO#IeqZpZsxyidOsSmT8IgVjLFj^%Tp}$K^z+`JpLiC^F2Y0k&^HQ; z(5F=Mhgke+N~xcBOPWF^*3bV1`?vrZ2@;pGkeB5`JuuPs?i_m*p zw}bCHs7Nf~b^$q23Fyf*ah7xbKyzZ?)4Z<9$7}1t4$V$Arew@?<-Q^f7mqm&i*8l?%gaeJ_h7lf^8!+$dN4`8#o(D^<<$`8J zTC(VIOsTFd?2uOAgm@iCGK-L+jV1Jzo@_tSSG)F!mBjiPNiRq*U~C(+$J!^fDmk8N z31ln<5=V{53=3_nQ&?RmjW2ATPPhuC=#53+Dy7whv*xC%I;G%4Gnhr0$=|)rbJK{1 z)!^fcusZ2Ih0L_mHq5`js>-1!Tf!PaKiLu(p*bSZWNd`yR~f{d{~5~g*s6^3#5HF7 z2R=uKu$J#Z!nXxqe+s_h8nYz>&CwAFoY8X7i?#7^@TDu*LB}-vtCuc<_G)ekbh40W zLoJGp(9?iTj#v)pzptDR_}axX;~g}30OpWAHU9aHhhhC|hW7x6npOL+48%Xt`J$*rVZ*Nb_Kz$r_BJ)lEbD z@M&dBPYw&Cg$goqRX{dVg08Q{0?j7_&A`@KeN^lj>{y=2dA`hqm?o|t!#IG7#58N@ zYnO8`>&LR7M4oR1K34{9>=0;<3N+xH+aaHk2#;om`lblpy)TQU(y+vybLlJY{jV8! zf1okr0~m;frAV$FO|LE!@s+>#P2**rad~-4HSN6INi#Yx=i2C`BT0=pQdKz7S1-13 z-4OcA z;KTlN2_w=;w*{GFx?dnPDo^|hRVPgfe9CdrlxQJykzV5ml&nSyFe`z5zeW$NLI7Ye5DaEm!ZF=y*s3xllA_$d)v$hBuYDNr!{A=It*Mj)nD4(uNQH$)Llv zK_C~WMY*1h#?X|2o*dJXrm8*`bV$?h-3z{G+^@$qZ|aT1dWLoadPLvwWEz~YsVF1a zz>?6QOz%m0ryGKz*erBV$5Lj|?=Q z2nbHn(2MzOqp$R21hdd7nUYctB$4UkfzH z1mx=hiG1SjyuE|3uLMNrzpSh~xS*jrg&GqIvNrS$PeyQBrlO3Lbqb$e?rCt&q(|-w z2mu9+`oNRJ!U`O{7&$TIf>=iB`H=9*zOJUC&GU(8T0TfkVNY{qh`XMeXq>MMoZ~qI zmj=$5xAI}_9gwpFqCPD2UXWhOLs~FZ$kPkwNOM>yd-d!-lllG-7D9)eDos^=G-Hhv z?4;iYU)mBjU&qm3@G+bJy1XgEeFykZq>~med^N3CC!;G`P2hpc_+(9++Un>F>>{(# z5KzZb5pIhzC$?2klxcyQ8a~VyIO7dIp&!0-KArAnQ}xwJWsTo7YhT8qDR)Pjtl1(t z4zlDjbLcrjD}IZeiL@>^lt^b$9hdv!Z!ZEt2psp_sC%5#&`RbR$mej9B@dnYl2mTw zNIdP3`uGJ+RgElS+6$kdq*;oRc`)=mSo&$+-H_{f)?1m6mX((fR_hU3sOzi+6eZ1I zAyJ;e3VrA}4qMQ#nqGXc4QLf4D=Ox9O=GIFONhzXEReR`gf0ja99f;_r{0(8u$>>bkyMIokl z1$oMKxwPs&Xk(!sL(gR5By`h8x!ZzHm7G-OxXVIJZwj$E zC0N3{gRh%|uLo&}K_kL4Kc=tUc-<0^823>a+Lnv?Jfg4kWMsS`7WXF$nyT6;q(!ql zUaKDoG2JQ1vss`yFlgi0ppBR>qBfo`Vv*AE!497#8ZYy961r)lw9Kly?4dGsV*m7g zA@oQSSF`-T;A>z#=tBda0|GL1J?JVxx`%7ApzLF6kh>pJ1< zY_x7LjGTO&klYvT6$)c1n}pRzV<<%UGI=&OacT)W=^}Agnv`x0zJ6LDDeWr=xO2R& zrlF-=!cNi?U6dxJF+{Bbuzubs{S>45bJ4e={qOUtAI&?jhz?ces=BGrMkoD@5O6h` z^9s(Lbga-==sS`RyczP-kQUzwG&fO~US&kQ=vz_xN>4_Vv&n7{RK0_)>(<4`&bcFU#}Iz-MgmRh}`G(yl_II_#u9 zgKcanoRub}a%73-tw<6dFRZ2UNIWfSLdb*n1>5*tp!r6@xsw(v(t^$+C}`*;=a3c{ zDfJTmC(Q3Wlr4JWw0Nt;6siY8YX&G^=*4MqUctGO-c1B~SXH%n;VY#t7B-*Ke#(}f z9D0Xn6l8>=Uf4z!(b^a3N4<3UyoLTj9yo9;HY)h=NfEisowQ_80`NKz@BkglQ_5Ub z#|vkpAvG78&!#jbrmF~7aAygZ#$UOB*jfmHOrxozVRh1}!8TSV>O5X4y(%qOMK1N85hc*IEvoOSohPRM!SS`qQP-kdTJ|BMg}%Mze*_8 z%Qe7sLhO8{R#z_SQ<`m;GecCKs*2~PZX5bLdxn@E6Lff3X7n7>1t=`9jYmf5yK3Xw zM@yQ60_Sr>`BR=UHzMIgJ8w~l7eZ4zA5Zg-r@b$eSNU+z-WR0z$jYIFd?x7dB&t*N;?$X!mY8^CkB|rN zlQ@A6zN+e3K}had%Y!|y zNM0Z_e8N{%;TxcNEIJ7^xJ-vP2n|s9no@nJNK?wo;zMbw>JFmB8!q^wI+tI%NJ>~s zlZT$t@i$1fd4U`uU8$(vy*a&CEU$%J5$lF|~6_P3B(e zLCpCg7V3-EF^=@{>ZBcMk&ut+Nz~x*z9toM@1&{MMDWR}b2}ADsv;m&wIa5)$i*7# zwU+U|_LAjd7S+Qcgxpsr;UohB|JyP^UDfPR&+0(|2mb5{$FD8xl{@jjaNtDdMeh zPwDc|rhON647|ohKEyuM7kv%3$23(bIo&r5?Xh+>0&9rvhZ4qFRH|1I`1B&9D{@Nd zYgSl|;L{y4d!$YG*)!d9TcvLpiLGk6QB{|}xh#L;>XAdKG|u^?bS5ba2z16drI%GG zL&xW&5U**UmrG{|NH5Z~QJzplJMRjb-p<`Ecjpb1&%jopL_H6de%e(ic})%L_xYk1 zWRc*Dx{P%VqIL)_vli!p$dfhU$UB!3I3rOnZh@??uk>Uj0|e2M1};oPnX;(As6kX9 z$jf@MuiHXP52FX3W_f+3C;OTZXx1h-pY9(CoY6J+H0uODdVUM&5LPGsFa74eX5UqU z@%-NMT;kqV2~L|&(^up659vPbcs1##EuY)+nM*BL zWL}cs-6LE)<}^*K1mk(IzYXQ;L9`wz=R+sw5RFITD#7K$Na;itvi{{*+!2t60`e~k zT`%@ESzqbN$en^fCLm3#1pi-<=ib2Qc0zo-@Z~j+EFb1d;!3$)f)4*0)`mrGXe=NW z$LrxB;SNC?SOw=LMC#x&ZR}OVf=<4jqVCimat{;b+3dD2{;y82hBeY zN4c1$m-V8*3~`Su32zdv7WaQ?K=eddRdsHV=ZS(QrN0p3wL0gl7md3T^aO9HiPP&h z!4hIFi0eiF7;?dcp!wSZ@?b#r2zl_4Kr>ztvjpT6z1R|P*nN(q)GUv;1gygK$f-e| z(Sc_7kT3QO+PFuBp(pG4j(|)G$O9o?{DWw`gh(A+rj3sm5?0lLp-hOUYR8qs`vptD z&P^ZsLm}?ZhPXe*0@lAA_gexI^ZT2F=ARF~ZWhGi{&GQ6RhtA$XqLyvYj#~ZyZ|MD zs)*A9y9E(Hx9?AFsHo6MLM!^L2J`V(6mk>3y z3eRk-pBEa<-JSHqP;NXX>7_I&U0Z}j-|P_1MuXG6@Mg#a;;a{kzJs^}1wyMsX%Z-> z;jgBGhtXV2Z#m~qdV8>q4-_;smmSjLSApi)u*PHGV9%$DFOz3rD}G-O<;GiO+{QH4 zuK3N=nN!6z$BW^P~P8+J3hcB~qv1D-0)ua%0t^ zCTcqS2w&V&gJEmIYB67~K18ACG#q-4r1Z|P zVdc!eAq6jluyS$g#MR)x3Mu$D^+Hef75B9*M6pmZroo$!ySn2Enk-U+Fh%qQ1h@Ih z5%z`OY0>1qSl%2lKKN&`P~0OvEYK*T^!$V`(+x1=6GI20G|`u7Ov5r{>b~G&mxZoa z@5r<2g`VsStx7NR2QraB(@8sL@n>H<24BA}+9aJccgPpiT&;Cuaeo(ug*Qb~y0Ivu zs%lS?)ze@Vw?>5Jc`P6_08@menaRS+8hW)hsw(bkSv-V}u)qTnAoQxjx|Cd=PFhV~ zHLOl5=W44eYcNV$j4$}4L>nNw7sr&A*t@V&%9ip8AFPVei+!CKTGVreF^4tO3q9G_ ztrV7z=|9O0h{fw&B99^PoBNtly(LpLTy0+)f&@}k6N1&f9?G$Uhz^`JEZS#R^g&X3 zfD{d`xHuL+q@IHJ^>ksYv@a=?en%BDr!>3nI4Hk#Pqk;!x1#xipu=8v99*DTuA3H9 zf>tNLP^Q;GnL%?3q8Eu2)HJQCZ_(d8O*`p$aaY^ubic}{zNUn|lby7A;5-iGa^r4``WkPwlT<~=S6(v5bJwje?cWvY)K)Y)r*T&H8+W2P3 zm6wFveP^)V14Hj7?h>6;2#Xd{KoO?+OQFqhil zi{eYeN@>ebJ8U0nhn=Oy zef`GyDEf;yX}mfK(TqrCo2{ws_mKEfK6u*2+OT)k29P{- z(-vG<8~CSJUwk4>7_V|MjgH#@WTYHn;5{Qg ztb2sB`bz2L(88Bs>IcjG!{95@3=BbU%S(7*#)w1TH#1j8J{4$220jl90#eq`(c;Ur ziV-Tkm`1;V1C)^!l|T^gMS7W8DkkLrvCPame>LY+pE;w zf)w-;4$M}6OR?~LCI|ieU4l2QMq3>td{kztg)^ZM_fDeYh>EbDAVkSnKjpfDc&2Vj z+XP?ALqpHsr=B`F6^H)yqUDepz7<7*7cx`Fu0Ti`1ya`dDIE|>l6`_j(a=S~C+1vg zR2DR-FMOIF8#treUC;zRPX*-spr7?KB~H!45ss2EP1H|p?aUSQgOhwbpQsKW!1g=DF~%>`zGJ;O^p(So)NDC`lq69bWj`gQNRurD6F$_)ZP1TuAM#XH z8M=LW1ae#Ic>QR3s5JbA-#n(B`a3K+(sb7JDoQ|44vUTzDP%?|p=3;xbs5FiNG0g< zxsm?)n3m@j(${SQ=bgh?%6CGK^~?|!c0B3D5@J@!BM*g@PBWUbPr+kIJg=XD?d*V7 zBcD9(orIYB&=(;6cw}HZ0pm*DW_j|kdfTxw^ZDdDY#PHKxQ*r7NNGk}0^$V;kW)J8 zOpPWzfmGEsbSucYN#F3C8bZrg2jHt0&a*2`EVX)x>8z+{Z_kLuU?6cHYvc@V3`SUy z^T3d1nZXMe=bc$G1zA3oP~S}E!A7k7DLK>ENkU2TXhy_3d?Fx!2}qntzk5L=Vm^Ni zZQ47HMAGZAfLudU*9tP9t*`_~E=KMMvu2Y+=>JeJ^kiRgf5A0D!Z9KAJun3>7xTGE zU+Kw6c{+DW6CW&N@ksFXctCCm$kPE?ChP}^C;#6Qd_5VE{Xt4A(RO^hOeAc`a_|gl;W46eiF2CZwUPzp@*nvBZ|o|_I$Szo&O7Q|3uK(Wg+zYf__d3VVxSn`g5Q; zG$7+cye#Ib=a)lXeva~~*Wu$uD%&ax@M9e=9^(GqEHI||V90R~6*iW6>2h8+#Ow1J z8FOBukT9jVI&0m+S0}wQn_^);H-%CwQ@q4<(}GV*aiskNf#$Lhi;Y9*`-M@6QGw6O zA*L$^nmB)YbkKa}N#b=+HmJwi*n~QtO2^3IVW-LD?8B~1^9CBm^1eKt#U@51pPr7V6V@PJ7h+Vj&j{OshD1RxeKX$Y<#EylUv5X2~UX zNY!bPo7(v%PBBie%n(FlBQ-(k$uwFg!-0`j&#WZY#$e6sjUlG0YjCcrGdY<07f2_) z5L%V{g)%eFzHM9Zp|(~)1~%t1w48mr4(CUC{q!=g7f;kYvyqP~g!Mdh^Lo9?lgGVj zUT;?9lj|_0vhSJd9fh8zm$_ruSgwtfX0#*N8OADmel{{Y0u?2#??LOxY))}@le?^dw*TCh; zb=a;f^4ichJh_x;%T?A1Ya{9>B5ez#ZGrS|Ezy34GlDXj+W=)OdRti(&tHuzg5s&j z&xW-<16x`2^RVV*kE{X2X?k23QCvH$czG&|Jo`d#R4+!Z2xGzrgwPMpnrQ4RY9pRC zz1XC(r8ihBxW)uMPYkiRNux@Y{x%PP1H!+Mf92c4-|N|H}x z5ZliN(i4RPBWIAzdNG1oU>^&810Ba=!THpW@);l2oa_<88oE_*6GE2;t*U35sFg6v+*xtH~jJA^sS&llE0yZi#p1Hlqz?s~|R!))nOLBf~9 z3=4MSs>)bn2h6SSYEe4`&3`5!qrx2YYhkV0j9d@7Q-~M#JZk2m&wUbT@GuK}aYg6OA z-t7gSlztrY>9K)k$zTcRhR`>pu6QnCN>>FwKMP^Sec5pp+@(FNikTep#hkM;_U=$S ztQRykbSq&8^h z`*QVr*|Iz?S1$;*Ix^I!Lmk`!jA?}BSx(RCweJrjo_|OTKp*;L^ zXeaC&!pat6h~|G(a8Bt9!Bas|F;G{ zhZCP%8&x&AprJD)Ls;X3FPv(d^GS)ChtBFciL#DEQrfo&E2XOgO*}2yKC$m`oqC}s%N$eZ@=)?;p2XL=p|*V}4gD;#?s29_m6KHM=i2A^Ddh|0`@ye|Uah%Di^0}}To#r>zTjUC&x=LP-5@zwc5=zp0~mXLo7G;!}hJU6Ut|D<$!;In^_ zupFUEiAahP`@n!i9Ucdyia)8e|&?u|5eWJN!RbxT(1GSbV= z)^}!A5&L>z84HaO?2%WDk+_fbq98MN&FjU!^bJo&RtPg}o8w@O!Dh;+G@d6F3j%UMgkIsWUK{V_h&<+Gsg*`f%#B zHDj&~wOl->R<_KpG(%T2emYax)U?`5ITmy7nSpbRMK7G&z5^?gQ)lS(JRCW19QqDt zWZnL$*>h%?Ltc_Zo9`v`w(pSJd@mXsIz9hiHdMhD6=#<=$V6nCZ-m}Noa@g#i5=z^ zoBz+XQGVk)=b|;^$3y5j6?48btZIlf5y=)-Pc`qngS=rB7#$dl0b(>95{*d6oVd@U z8!PdcW_^0H4k8j6Y9NFAvYR5y0Od!rK#259yt?`&*&GNH%L0E;Qj%`{a~`FWi5o+kC!P zXlOz*OB0-frD@B)kU|r?KJj)f`OtK;Fuz!*ZAv_*u5nm}{-A66e|3*lCBd0$ED88* zNIo@8j_0((R6d)KB+LiMhV-K@rmv&Pg|z{y8~2Ct4>#y=bE%`~!h}FNT6&zt zY#%~Q&I^U+YxPs(GKu1Anjt5;z!j2SL@p{9r!mmsESJXm6BLiZk9EtPZ3p&)Tm#$T zl0Y?sjY?C~J7{{fwwC+U@?oo)Rx>E2XPw-HJdK-cEG-H8DuI74^$zMzt9P&x1tkk# zTJzz}${9tc)%JmgHkMGZipoL(FL1GX8*iv2;R5Ncj3aOWEv!6scoii=0IFg0c2128 zLX0uQ3L8j+mK#fix3|*|p4ZeU{^4Gfews>Mgs>Au4K!#STYG6Ca6c~ptRYNRK*C;+v&%AUO@-hIfNKjdZ7#aaq|W=eS?eH!%8B{#QKT2fo?5% z0yWx*LY{?)2J-l}>AMI4w+USic*6x+-!_Fd;kpmq78+KlLKe1ExFfW68$%n~7N>^& z9nrjx>ClG_E&Pn)jpvPBed29Xy79ctp&RvxLZ2%%>a$I~COhqa9}1R(^g(@v=kL1d z1l_OJsQX^+2^=6%%zG^dE-PkdJ)kB*%3HnEUwddda9uNN>H($2#`J^duU)CppBiu| z;s%M<5Xty!AX-26r#u@9$=V#i7TxM$`04>=x^E%(H#I#6e4+D9~l&e|V#AK;7ek3`UE|!s+9Hei}Fo ztj~`aOdkitXEXZ2(|TQa+lFqBLJ#|p9)S9Jvp12GSrO((LDSdB0C+)qT=X^pIa184`v z0lsn5lG8eM{CJDHj-gn9f9`6MVjl@yF^a-z34d5-tEkc9yNp1s6aXy{+&25BA@@q# zD+i?U>hy!>v_@n=6US)=7oAqw06nZN`t@Ta(>JaFzNZfXUuXxj-gr(cZlHOq&<#3CBWJd zdyoP_c z|429Ec$mOy(K-5e&~rr%yvvXjYPAQ^OI z<%Q}9EhLr~G%J!PwB-IqdNuSdf{y4~G-wYe)O3WC`7Pd;kbjLgrwif~^UzSm8}c1T zH=dA#15;UiY7XHWSrWu_ev}<>1AqDo9qr5?# zz`6pey+T^9oS`~y|141$E!w1zhu8`&-e4=>Yh^!Bgr+fO4nWcW1Bw#I;t%V!wEQ5w zu@6xGl5RYa!j@N$BmY1TrQ7%%2lduC+@@XrKqBbi7vu{ufDSkepsfz3M+Zm&+|c5z zkS24oJeUE8t$&Jc%gtv9+E;EKTCHsd_I3S*{I<0GKfNyJAun#^OWb}&9&Hq$&rlqU zgO+g3Wmy|qt4Y{dYb9LkwfT)pj)9aV{cjgdZ%KLugQp+ELz@=p zZz)v%hqrm@$J$ts#@txPpu){=_{F_FIRd@7j<2FR3WZiN(}89aa;|B~kDS z`vHQ`gISFd&uE_}4nUWvQTW3BH2s+D-yp<%96?;+gJ&)vAAI~$`0U}h6KPGmCQ%rN zdj;LEMm5au(Ho~45cFyg3Vd1*V_H6u_REn^*e0hS_fLiYusLm5iix#0_jVNTWTn+RS#1!ZmE)AC^=7@h^y>5gLiKfs`FSH14 zdkGCQ7^Vk|$3X_(=?9*;&!*d~fJYzHjmI?fjonlpjAX(Exx~_LC*gWUH)POYMowv2 z9=uH-E#kQhg@PFM((1gDG!NM^@?bi_DH=d;?aGTcT;PimmED1Md!+$|>nG?oTK$g^ z1x69$rRfLHaSn~S9&uZfXr>;w{?~$#5%-K79YA=9%h9C!*&!}SyZ@zh!4qTCma@AN z0u<%Bp=$RJICL|i{eweS5p*zVq5CB$l&S09_snM9Jc2XYq2F`5I&1UDtT!5n{Ek#Q zol*%;>}#;x5c3Gqa8#f6Ik0jLeEaTewtTsU8{T>t_~@F}O#QKvp$^TL#qAgYmmC8b zBWN|rxqD6?xkdf63jN?Y&ecN$X-f=Wi^}yd{q^zi)x)&g1Z~{fQlqsJu63b@wgocq z7RapCu0|cO72SAZ<(2ix{K_lszx{>AcV`wY!o@wktG|@b2Psk<8z6P$>tFoqWfj@1 z#s_jEcTy77<2p7*3G^JgG1@cqvpxt7Yl;xs%#;CgKqu3h1p*z~!@bZV&6l7bJo}mj z0x!_4F3)Mvg8qXf1N_)XAy7XC40qgbBqy_7o6*X{yqLyTZTx-UvqF#pI(iG;_`eO^ z{1502qPcf=QPAL|6r}%M^kiC~8`BNckEyRmh=yUbntE_C6muxEvW^ft+}`G3T|lw+ zn$r()xQBi$4gDS5krvjfX^)vlrUm*6-Ef)K%p+(q ziwN3*bHI0Pz+qZ*j0i2?31#xe`AE?2PdWqNzUB>~NzgX$hw^ibN_!C*9r*h7ub7F8 zD0l-!{buq;T3E*@`oYteyVEzX2!1+mGu-%&>*@@#@O28(0_f)QoE9z8q3=0J-(Q~D zb4QRD7i?#^%?Eos^D9j>-Fj_fAdOLn<2jgfiQu=&Fyp(f$m?xAj{hNUoA*fD<_j*B zVVAg&->m%)bnw;*VA?}&JN=QNFIw0b?)fRES{EW5d?C71 zyTJAEtX75V3Ha%{epy^mkE&mD?VsOc9p@v8aKGnV4=KX!#V@P|G5Qku#eb}x z&ig>e)6F)GH}Ew#giyW#1LbAJ1y9|3iB_)dz1&7zVa&M4(#;aX&#vP70R4ySL-cO0 zh&QO#CKRr}qnjmzbl)Sx1qzF0n*|CR2l@)tjpb5Q*G^iS3@*9~4I>C94`fCt z1PThR{()|!;hbj$yjM>(xhUxX+A%A@o9@wk!1Y~MBTq={e>ikWL0@wyPGte@o5@Zs zrN2g~S-~OX&gp~{xtRQGitxE6K!;PlgyvqAeE9}?;d}}77`pLfyC)^oZxrD=ry$m> z2hgBJ0?qxz>GRW~&(j=ws-T~0MDdLP<9m7|?LC67)1`$punNfPSGG6jFGZV67nBB; z7csVrI5I8%;RXlO)qe$rb=Vl>cSKhQ6KZk#uAsJG82pYE^sp{1;&cq9%#4nc#t`4i zFsW}A8yWf-BHkDg^6~C3iu6GQ%zD9rB2nlQ=%zwvs{-J25ka3LKg?_DGPE4HW@_~? z^Ys8L#f?2ouzuJ)^7L29sIAX*3YDF3<-J^dmI3>N-{Zv{WsdU3kkH~i981X{10!s4{S!Z<4|9-Jdg?By)oHOlhXGiBqf*XkR3OqwLM}$ zzz3)w*+qL2sMRQ-olj8cp4~x%8!6&-qNz74=mZkZLjplbgW0x)PSBPEf13-y8!ipa zG&OxKY4BTse(?0;f^U=1CU(;WG@}LO{cey7=+5%Iyh{t057Qf5FL7u*X$iD@2!{(C z?Z*YhUQ3QK_~ASlJa=*Er9!*2Loe$SeZQl9KQTqSZGFnT7TQD#4d}`aeO%B{4t+w< zjcXJ#;{Fr;uqN>4^Vo`nFcsWRsNaZ5?QeUM4k7n752&=~NyvT%>jFXXtptk^awYhp zS7|7EoIp2p=FSp7A`4}4#uAEeY^@Qxpdd389@u zw^=%5FgN&`+8g)x!2$QQ{b}r$qR`<3C71Oy))zi^*0L!rM#4aQjYD@4)R$+?GbOVo zU+8r)iW5@9dV4@WN6`g(5?LB+6)5UX=mai?t|kAiv1Tb&fXH44~OpXvcJEAsNQhe2gK3_GHXN(D&Jq zdM8mj;dw_l_3(zC5uVn^#M}0QUgc<{OR(BVIt!GXd=_jsr#;;0hPxi(y@$xDAEo zfuL<~C?o?4S3}Xm1JYWDrWdT^Z=@AydQrMrS3vh9MFHj72wKC9c@)+m-uge|vMeQ{ zjVC`zOfZIyc^D2ET>mcUQZ)($_fzyUV?$Q^p(&nWGphql{cxDcyD2FeR`3xwm!|V> zeuhm?#Qcz>Rn&7hYmoUOSKx+@ku!Rkg;GCgPxa~tb_ajDJB(+76kS?EHx%;RK>YFa z_35lRuL3!T(>%~{ly_y)G354R7+VT@9a)Q&x3P5upZf~!7)SdaK?jpBY<*n{1^Hvv znrDM#v9AVnR+tA^hLQ;><@3DG$=G}&XhzeG=WktV>R9i^4qZ)Xhl=lTm;r*WhSQAI zaFkc)6niO4dY#9D9{N*8^~$#`qpWAppH&LYWmI#!MCYTL%cx6T8MR7d88!WU`DFC6 z-kiR%|Eb&L^z%i39Q=J*J^gwZ3jNl#snql6Q*kR7C~AJ(7^gsN9;4gV5aZ-?xMFo3 zT)%^#x`hnC-=JP1TwfE{@7J!{TK=lIB0SzhGwX-Edi}>-k4>f+eH*|X9*Prmd zM$#Z`tiI-d(C15}TcB^I+sX+<11L0a=--8QVC%OL+N{6<7Kgaa$s=k=3*8Mnr|Us? zVX6NY$>jA!F>(g92#7=5m(qem!Wld^oHjHbi)s3l)20Xx|{e>SM2>YS+QRM zRJwonI{I&}7#o1=PBlkb(*nmj?ub9uTp~QIL*`NkD0B%FBfLh7mDfNqx@4&C&BeT! zp)U$8LN-+E96!3OyIG(Sqt)6A`z{Pc*$5dpwIC~Ql-EGH#Kb?`chhZg+C*qDo5y3O z@Ts$Thz0wC#PgDO=7=}YncJLgCm2y+okGJSNj0#BiIf1WC!lKy`mjSs3HpRXmlgD% z4qa5xmmKNP}?-LLZ4)=b54g|EM1Q! z7r2sY4&NX~(4XXOiR;y*$&4k#~xTK)rv^90?y zOA8vcx7j&e5YM?OqDZa2+B~4EY3Zr7i~#lRBOstzlz#Ahw5wITjTF}j4%HF#I~}^2 z(E8EJtp)wPquoN#>mB+&LH%g^2L%01mliUAfZiaXaR9od&|c?gw-R)$L$?w1B8SF3 zAK?2Tq5Y+!)v?hl9f~tJAlaE+6mPn76m51+3!s+?En;Kn#e(`hqL&N0tE0VS0JJ+g z4p#^VFWC+x9`e#!l+{hL`$!o;w|D5(g7V%|=nHYXUC=ch?Sq1@=TPOlv_qc}+T|Sj zl%R{$C_=*RPopIg!QqBdgQ@U#uzTYY5%h37lr)3*^?wzHayUn%+uO-}uAqMY{TG6M z*wHHLIiS3RWY%|ryeJugj-uOA>0v?Fbtw9uptTc|!2xTWfFh3=2jmo>SiNm1`tU#x zaOnRE`elcHU(n$oFC{}l&W1jk+p&g%X1Mf`5U)B;^n-L84t+Yv`xpA*)W92JVY%1m zWo|EkYHF0KgC!(=QNP*xs+Gkj@`l;D?(n*H2l*7o%27dEsMX&bijDY`l3fu1htCmo7160~LypoKjEy|0^YK-UrU zE{9^i3AEN?0`0zJI6zOQHXZ+iB`rih(W2f9JeJ)?_4`Zehdeoz;2EP%FS2&{{C41w)7joN!Tw1^RKEr0@8yx&;16v4sy^~=(L2XP7K6O0m7mjxN@+SD|8mj*2cY>3l zxun$;@^#_6ByL_0nikX2FG^#qhl1Rg0k;-u^9=Yr657n7MJ@6I$qBjt)0G$K8|em0 zgF_Mvpfh`{9Wvk^F7m<-^duv(2m8kCC%w$@vsGy z4OpLq|8J+8{{cn64Ji6AhN6cCbX$jFge=nPI1I+;jKdv5>qA4W4-VKrYqVOXzDll) zf(9il%2gYk0g93U=xvS`<9$G}3)nckN6||m*jCl8uQ|Ar$-L(#pFDyHF7Sn%0CW`H%o`}iR)DrMU9;!R9MX6= z%_KtJjmTtSlYU>e?$-9Rx;iiH&ra6wd^XP(??L&)a)6$*+&qWeiwtKDGkKRKB}31? zb-XemK--x-)`K4h*4}eF^T^uqZ8*r=wh64QF_3kBesvEhNL#T*dIiO1-+{gqgS0@oMC)$gzT5qW~^>*C5M!GJ4noLmCe|A^~x zU|+ky_3*;=c;|YExV|QSABESh-<1gkXLV)2=tF|@SHv&kX(!OM@q}*rm!98sX>w?h zVn;jIqY78=_ppAY=dm?sO;0^qHu0jYyE9)F?~!c))sd6M4k?42#eRaYgROq5&~ z!Os@rswV+GMekF0#R)p*y1Dp8fr$HRy3JM32~yW%dWYg&!p zo5arp^aQuNiOS-)yZBMpN%U?~Y+txO;ao=-uFpGHv^pTw+Vn)Y90QeVSweExarAEC zVoVXP*k=sCC(+G$8Yt2N&u7V%V-LN2lb<_JjsUAmFp_qbI6>rlc2z0aX*2s+83s|fm6hps5-V-8(j z(5D=#UkrZ6p^FRc^A25D&=(y#zo4%;bRI!pcj#P#;)oBnaOeT1ju_A7(AUWcD87zv zw66#{ze8UXbm1D+e1g)x%_qaZ=J*_?D(nR1DO&;=O!q6js4EObJpx*k(}uzdfRZt0 zDC`6%ff=f_Tw5X}+-Mg~T?W4*6IL5#(A^2BuS_!3IiN+YVCW)(@_2#Dz^mx;bN}EI zXM^E+2;Iy9Z{Hz)czzXN9fKS_)S$Z>3&7PU5KW?H~*aUV=+fmQ@P z>a@l=S$rM>T#q4Rhb!7!HWmXHpvVcZh)3x*KPwRmSL8JFgVu+l@N+~R4mjcdfrO(i zn8yfX7q}`%Z8sr2b1g@c*j4R)36cTfaSRk~Ta28aRo^IWtmN=5M(7Nw@Drifn>1>) zY6ESHob3!YZrbTpzp&6n=m*aSyZXi3YINh-pEV2jIu1I6WGh?1uX&A|?sgjIIBX#@ z_?ZY@k#xPI-AZW3I&@n>uWLm89MmpCd#$70M$l$jzavxkzMtCV02@At-VpOE9J-_M z-3U%~sSMiNrG_%TKy}sRhK}|Qp}o@a-GS&qwt=I)Q)n%2;Hz=F#&J-cUG32K313^! z2@Y{)Dwo&CLM8jPOyOxvpA(7Y9?k2Q;mJq!Qc)o=EuqN@g3;p1^b2l`+T}n5e zyE;_QIor*lzZP1I6Bysi1l`41D(^)uZo4(cZ976yo^D7* zqsedwX$Rdjws@(LN;T+76v4=++LsPSBMc`iP(-9r~D{%RBT5 zLEr7rn*?3mp?b30+a3BBpQ=@err9T@m1$zSH})~1W)yg{vgWMUfR_tOF@fL z)XFnGm!i2{eODtbN@wG+s_?zfp&FX!fYKN;U{=vMXdBVma-i8kX#FmR%>*6W$iZ5U z;GiwXagKId;ow_%y9YFKW;X}dtN-^9?-g5Kaz&6_^I?IpCmoUOH=(EiNvMGFBk zpzXynAWqoF1GF6>XYHWfZYk7`kh3l@jteWn7@^q?>TRI^ap>@$tw5Ykk$mB6|1~AX zeg~KCD|n!*2XI(jXq)#Ud%o)ktuG%o71XyBv|ZBN*6^j#`oh7ZSW^ZG|KQ}+kv(5> zDT>~m&BeM{OtjOkN?erQ*SuvX#<5#%Usb2X1CJlxeJXtmb!b+@*6d>dvU?I=414xw#CH=e$p*LIX| z?`Vxa&dIyEaAOQR182j70sSx;XYHJ`cMtexh*skZz47}IEx!S`6V6!bb5 z3mv=iZA5LuT{3dJf$lg$7rkA3U42 zGqKA7G;7g~r!NV#pXJ-X+P1&nanSa<4^1^W&e3imq4_k{wD5U;E1@0hIOuq)&ligN zR{So);abN*^H4LbPh%|!PIVkM5xzdgs|nibmn~{w`h_*O>q|IS>zstOprIYD$=dZL zY-fJm%B7ME+w~KcoqRs}2p0;s7`w1vo zS%zYqFLF@S%YZY6L5tQ!peT4%J%!N&q^Wbn1sA&498kNcUWHTe}1(Lcw!By7?d8aN+qc;%_KILp^U}VxVc)?6HR0GL_5H%g82R zC2g6?rRS`-W^W4Rox27oC+HFX*8T{g$Au{SM6m zy;~XqMPC=_z}72+18tlebiUB9u7mESJvk@L>-kym=9*V$!Tm}}9q&7{oAS`FwzgaS za@jgm`t`k;c2y;7TP@W_{$Z25(v7D_R~EF@Bx}cJHK40jldKhOZI5%MT)SqPwWFoI zA1~3>EPh>3t4Xi3UPhi;O|s5()l~Dy-f+|8V7KkHCH8AqMh(R(anuY$)kB$av6!>_ zEkl)unnzsxD3#WmejG;EhWfU$uB&JsG4$(nbq(@aE(h!@Exa)f)jDhXdnm0YxpeNO zJk#~5ek^meq>vwR*-}uyK2^u?yp?F%-rIw=Ui}Dt^IGA-Tescnf$gEqS*!IfaH9;refJ#d)K4mZzQrim^!eeH4y0S8!fY zIIHWoK+<*9FVd*%3AHQO!>@i-2BW5SDk&tv4HV?xrXM@WRKHyEALj=obN!4+m8XeG)uu_FVzXbG z9OG<3EmZS~|N4@i2i$i)VVl>yr5yXtCr6PKmQRi@@`;!6$ilU6TL)*e=3~UK<^*3# zC}(x``SXk7mmb}+%Qz2aKJoEY4Yp~5q~tVF>pGDV1OKrWp8ugdZ`b3qT_T@CJGl85 z+8K)V_~82|3C-_IXwzawrUlXgZICa?a~*mj*PK*8)pbisDNw2F59vQk33PLDok;)T z_wV#Rbys|?%v?7s{66bkcM{hJg!2o|71oOI^?N~M>A!JahW_WS*E`px3fCKISJ*xL zEFsTLDFn_DK<^cFYr;5p)b#X*SkFVZp*Yb9DAq|Ds`bR19I9E znm$+48+>c}w5bPeOe^(}J~(|FX*8ZHOV&Nmbtz$iPH^bjg5K-UH3hxjp{on}phH&` z^dX0?Ea+s1t|aIq4jn1zqYhm`(8nFRoS;uSbQwYa?$D(KecGW*2>Pr;7Zdb3hb|)M z3l3dK(0@5}0YU%m(D?*?*`f0a`l>_c7W6+3eWRfNb?6%etsL4Bv_mZdOL=5kr2HEk z`d>lc=+OTNI=4e#6?9&QzAWf`4*j>F3pn&&f-dCH7X)3TMm6W3K(e+upOUiQ<@Qoi zLcionbL=uCMWP%P|xAbf};Gk(wq{lt`9uzV21{)z>V4`?}a{A%cQQO z#8qn}xSFJki=?Q}tTi}e>NBJx?q@O?OtKj#1JZha`oXh#eaU2!kR=1nD7x{y$Dvvq z-{(-B6Q1PIRfR+I`EvI=TIK6GtS%gSnN8Kuyku)Pa?sOVydLyy3qNbLnQ*w#$&3BW z(2gHpe~+MJ9qrbFdcLCt^>ax&64}da)V9K5Tw`cgI&?>&y~d%+!OuzPOvU}=$Lv8{ zq^CI!pBBD9>7uZ8J?Y_6hwd!27Gu!9PtfM?fY^97Xmw`gGRId>^JwO~K9Z@=#Kl<8TUp2PogjRhQ)q2RJ5gxuoE*e$4~biIXlpnQlZC^XPO^Q3 zgPl4DzPid_Wyj%R;b5zyL91(~SM1W_&o1{#9eTIW`frBlmru5Hw7(VFbsehTL-8CQ5L*A0lu3ea<2YO^=vofdSd4P$ z!$P~2L-mU)&EIeFlIizQR&X5jJ1bsZ{i@4*9qk`QhGiZ4q@XK0^lySL>CmSIZI;3B z8-HAA7k3<<5p)xW>Q`NwC0o?d>bG9r=Fr=O@6ry{FTi*XXs5wa$&38Lswo51=)4Yn zSic==QVKIkdBm*eMA*^P2QqUC~igSNKyRt(c5)`$yad=SBwH!K8P_zw<7VQ!6 z-N>Q032LW#AjUY&1GIJ?2vCgC0ktzpfZ|LNpj*;ypTpA^6%@6#p{TRL0cEzK zD9?dnFP@>l5)|c+p(vX`i?hNEJzLOEIP?rbKk3lZ1^tXee=O)h4n0NCFF5oBLBHhC z9|-z2haM;BVGhNKg@{FKeXNJp`dAM$#`-R!%na*mN55DP?dTWlVOAggLTQP5=(*N@ zYdy51w`>o?W%O3<_itjyer!c|gH5KkfwgQ#32lGIm>zZ<(7Hsf@uNvP>f=|#q8$m^ z<}oHus~pfWHF;MP4mkPEP#rgFj>Q9xR`r1P>a;lMs&=$NjTY@w#G*grQ8qFHpE}}l zz2m!;@V&yJI|}N@jg*6pKY*`}!}zhQ9fX4qO;^s^s029ZNCVo}7URBYeP}Afsg46? z}$(O){2&L-b!ZYa`MAcPTF`XO3hyPD4+$fCY8JJ^HR6` z1v=Psg5ZgJ$_xt)anjkdJG*fMs&h$`9l8>^z_t17OcNa~M!i7m+a(ww1A4oo-B3_p zlQr)})HaN78?7N6d}!LT@h7;yQ)qo_1tV{e%$FJ(nr|^_EM6metI_ACIDtd6CYx)g zyPO_W>t2%>{R3Y=)3%wQ%`K+p82eU`&O0^F>-qgd8k%o&>8ugP{Y(!!17h-jresj-2ST)x&@UC@Eo{_6>cn_Os^i$FO!R5f~Y z9pFbsbhopwH+46zuNky17$th}n$%wYeU7%dcc7{1 zTaMc6@b;j5y*;Rv_}r~Iz|(4IUNY@pcn(@V_&lT|vcBc0DevtJs{mkqK3}ve`B*DM zJ#yNd@~xe*_Pza%7E5g|cYIBz^_%YxZ7UqcxjetMpuUW19{E=ezUQ->aJZkI*4JxS zjIu7Yc4}9Qay{R!808fS?TS&>L%U*>^)RzmjJ|=&?B%80Mt^`yEuiQXps$YcIYZHY z0*W@0p?~ZLEn4K@fcCX=g9#9v~O{Is`Z>WFT9TBXZAB%PlBAsv@1nrz6 z=ocK??ucNWO%&~5gwJ$_QAOC9wZx#w*7{gy?S35AL%SP{_0aAHV?AKy7D|nI>9(@u zWkJ!iGW1`9F6Pi@1zpCWPYT-ZMP&VArHW~C&a=LADfXz3{QS`1&67P$_GbEBSwizO zVmfm*!O@}?g=BsPWOYG3t#a^d>sAxm<~i2p**?F@K=pvy+G3%zXP$$uV`^Tb)t@;v zKYuajt+E~JIkna%IcK#t$$IcJgY6C()=G0Jr=@aRPO_FTCT}%^u7|NvY}8;nKH2Wt zVx6^hH|yaFXFEGeYsIff(AvMu%OVTX(Y_+Pa(d(Drdy55uLL)V(n0yE>rP zorGvr>?!rdz&U7-b*TFOzUQv1R@=3UY^gJI?IK3KU_;IAR9~hl?OD!J_Z1Ff9IES; zTZ>}-dOc{5%BTEhqRIZ$=wqGMb$zPmJ6ibq(Ij2>>eEN2Ez0*db+3JM8hcbzs5#v(a%rr!)2R;C`l3yXHZ73nwLE*3{@WNxyMvjn zqeFQITJr53Ezg?E>ggXDY14wW-c{a7D2ohfcL*=lm2QAu~6X z3vUHz9&^&6w= z`W+|hp(3lgp6Fcl%f$a_3|GHSq^|5&M^=4p2|^OS#z46 zDyzEkm!8%2s}d7+v!_iN5&Jdvj@P5M`c_?YPT zr?r&uG10P8T{$PHG+&s>Wk_owFpgQ-LQWtHfwjDoZvKZ{a1+@s(00Eux5<#Z_#d>h z0Nv2ewDuc=7H4azevLz`Uv3Ld-+pf7tvl!k`xh1^J7)a?eS~g2n|sNA%R*9xd?e%GVOwp&Dzwr)zzXvw3Btu2;X_(dvwy=b*Eve!M|f4?ay{m?oDZehAgR z(}y>mxAEf`dQ#XmPKM^$y{(7~IGx?4MJ_o=LTlc4ja?Hg8EAE$={FADPWWE!P?f>& zYrj)c9^Yv;zIO;}GmHpLPYCFb4E~%M{o-Ns_Z6FCY^zKlgRU61IaQ!Kr|Q2psNX^K zF;*FxL+gdFe#P)tPQSa898hBOi83}52zhr9TK^S8{gR^Bna0Ab4;=I>kykhwbX}w8 zt6xX7lLWzG50SxUBZ2DaNzG~8{3S&@WeyxZBz&8-)0~=XIqSPutnU{tZkpdNbm#{q zv}Q|P)A7Ae_}VHS$h)U-XpTj5Xw7N7x(n@o3C+u(Uw-s?9^Y7l=VlpJa(w?Pe8)IF z>?<68?$D13+N{Zuj_)JF*FuBy&h&%2#ea2iA}J22|Hk8ZLElB)A1gioBxv*3C)aVb z`jyB{9eR^+@ZW8`PtfM?V!qRH__NS9pVrVEw|6)WHw%Z=9ID^1Y>wO89qlc`VO59f zcP5+T)_e+2GY79p{XXc*E;K!tp*a@K-*{~PvSzak8@bSKml&_)(8+@OZ)oZ_KR0x= zcL=TjTIOE`ZH`;>Nmk7qws4`16TV(MnnIq#BSP!H&H1RHn>oI}ZoBiv}p#28N<02Z~dX z4aKRqK=*a%7(u_{(C-QQb%!1+=rBR5GueKHlJ>Bh``vdr4%%bwPrv&$lFnqnd>iC#p51%d(dx=FFPW}F z8|Nh3oP2^Wa;}A@J>eT1snJN4$F(+t#&o&1+?vLwnF^UDxw9pKa1y zzxAi)Z62@ob(W58`#MY4-CghGRV!(htht_VuDiYUZ6PV-N8NS2y172KF)@^KdkF_0 zx4!FRU-Rn7sc$LhxU&B$3T6ZmYI9lQtxwx>UX$9Y@U5!_NZa7+^`I%_Q}ZoC>*duL z+juJYX)HXgj*k13*SUjU>I-ed^wKUFO>(q4vvyyrGq#7pwli)2-sws%EvuVb#XiUO zU0?YA+bUw!z80BF@}^&9y{XXpe%3rf>-QdF>;t*Qmk-MetCtq1um~nd#OM{rcK}J(1U^W^)~|f^hJf z9K00jr3BY>v$-#%vDP9(m2S;B%jb)BJpt!xO$%S6FD16)$3S#`v$=KU?M(Z6p)l!?K%+F!@$;oyn$La zmt~TZp zR##FFtd)tp`4YA4u=)}Ixn+re1h%VINe}I6W3~+RAYdi!Dsk3ByGoq(uoBsXl^T=9 zO4`-^tetjO2I~Q95X?%jDgjwQw2VK)Jj_ks$MAsuWuMqU}-TR2V&8u0O=h1svo7Aq@XM50{A%4Y@qWxL5+Pu=C z-7ms=_N!J~>uaqqFA%;f*b%%^^tqhgUv~>Z$ z1wi`c+c0mWk`V2l{?rV;?3&eG^}fxe>r;LE;dMFfa?X)V=W)Dt)|Xm(u=U_W!>VN5 zi%`nXMNd+GZ2|nf6s#?V>oNaj*Ei9B`)&YS;D;P*KRDgrzCEJfR8ZF+z_4m=@TXrh zQCI%D2wZS~iNpYBtY?6?BOplE?+-+p*TwZ1$63D?qpm(&{i=z&`f&5Fnt&;^z;j)Y zs?|d8TKG1p&ul@zG^44_-yG1?)-+L9{_c&sez{+^;J@i`l=#)u_TRtJF9)gX3C@lV z6uEny!yNzxT_bvqd4J9u zZ{80A7edX-A=_oXnIzL zt#AOU=LGq*(0#}L#PW%fZq55``xE2l)b#sT^?Y<6W0k?imJka)<-Iw^&8fMDvl87c z>tn3vm^Ys{j#E|5&ak!zEPvrp-F>>E3r$ZqALY==qF*~-1abSg@U@XxpdS^qdEbTc zMF4x!4^|)fQ<3#-XMgUmo*cYBRUjNUaJY+t1c$dcRL@oRD{=MY;I}&3yZhwOymM}O z$Kf&I&>RbYM!TM{-hAqGb1a%q7GKuM@Px?Fd>*zxqg_vr_oq(lxz){a^Jlc*E1@mz zWYBY~o8#usXxG!@n^%%I$IYM7e!s}j%)x6?&joKjp}IL1&HDoU3Dqx%41Tx3LS&e* zP2Z+pf^r|wUiv}PPx~ebt+_6=S`QMfD73xwRJSF1_!&ojEEjGesFi)7*;7y}Q-SJv z68^-G_X+K_j<25QW3>}FXzkR@*GePMYH4J9CV^@#GRB40_b79-Ca2Y^KxlpUw+F_T z46kjikPe^+wTHH~!g;f8t#C=(wpO@p)Lf&ttrgbJoZMPL>8W$#zMrMN+vYOWYF=dW0WWeHWrpCtRxW&4JvjvHU=VymAznV7ZHRMzW?m(d3Vu+ceCvL-hbZvzhS@S ziGTeZ6C6qgIuY6vzO$fJB7_bjPu%-7yR{z}oiP7IUwAEX{DT+DmlxIL`p=L147_Gj za=gyjl)7N`NXOB~Ie_<5M4r9~}+*jKr?Z@LIpJ!T5FfcVdh-Y>nZ>Gj^I|d=D-ogKd4^ zeQ?0I@{kcU)UKLZ{`~3Og>F+LCJH~BR@eNlTE887RX}!O13)@seoA_d6c_yYg{|Nq9pzrz3k literal 54621 zcmeFYgOlXV5-z-BW5?ExZCg9GZEMH2ZQHhO+dJ;zj&0r9_dVyv_b=S|PIO2ACbJ^5 zo__MFj>xJSgm2%zRlxv#v-x`Qef_OsZ0}%hYeT?6OHUx^WNu|dz{tcxK+j6Yz(&VN zPtQT1Xl(CnZfHy(r*CLUz(}AdL7-}EKp|VwzChIpAaWoQG9kK+ zWmHVOG7=ljRFb75k$3rAS$jS6diZ_n+2U~7p?XlI`1m^A^0;PM^S<8G^k6sb!b?#E zOSyCK$_X5O6L4*7$v)7S{;`gE_ksUrO&Pvu`K<=%ZD0jcZks4f6ckvuh?7p?2=zp( z)Zx9{I6S|;4v!l5bntcwNtcb_xL9YhN{-P92eS$y*H243V!2@9zAbs>2>Yk8st}cm zh)+)+7YaHyK+^FIt0-*-ZUg@o1;wWjCM~ zXnUYCC3$L@7$x~Y+JZm9O7IE_O|&!BsIUHbWf~Q;mB8SwsD#B`ek`fYdPx}xr4U1h zg=`}<0S20FP!E}AlEf%z6}Al<3Bvl7%mu3uztd}pwP7vqpIgf;lX+y%+2zucjPjQD zvkNVTRu7<$ZjFBTNho3mji*}5LFo-nT#|+Pj@hP zEK@oPP_+OaxKV*{2r2`4#8KZFwHML+ksZ5$uU-wnUbfIr&q-GuEVm~q?j4>UQ!a8E zJ59Odqme3d1%9T^f*Ar4=cQcKMOj7ptk~A7Q?c~${~i<&etr0?D&mtkK@xVH>|wQP z3dUmuf4f;%sqz*ZnQuXDvdO5O^CSSztzu8egZQq^+0&N}ORw?4@+VToy8XC2-3V!^ zW644xWBXOVB69DrvFnlcSZ|zUD{T3Q?s&mM;Xn@)%y#Novtnv0WRPnvockTzB$2#{ zxbIVG4p@t&%lJgFiiIv*4;Q`6+N@mRa&Q^9y+<`E+KUXkdbLg(2{i*@@LShRaxaTVLcFjvQ9IUO&b2 z#@<`{`;uFVIIQU8XtH`_A`Nj;bX5K^$%&c5b=W+YSjtKHo zTYcGVVIQ10!GAd(XhUVe*?eG|lKA$nrfpt7QdxSe_RAn5eu1BnB3PuVbgq4bOGr{w zs3HEUB;1+(FfjfiRS3SKY2+Tp!DriC!)CFXq{9iUUGKC?x57Zham?AvAtj|{!s|{` zeQa( z*Yz#DZ0(wSRMv`k+Sa==>DvZbSK7`3GqbS-y>IcgzCa~X8kjaZK3ePtBfKO|y$A^g zp;bfo>v(h_>&|=(TJ1~5Bvb_LpBeLD2c}u~2CnyAT!+`5u0PeAaXWS89UIg4n^6oT zhr&gX0>!1Sh%ix zYrtmIro{!igs#!AH%*ZzVei|jzq`6F-$+VEyD22n1%=aTyr&W^^%SZRP*wg(GnInN zv9Z@!b?OfzLFmP}X0m2jj_#^kx#tVIjAv75d=UQJ(0@0 zdr5po83sen{dTu@q1R)8!kU))8S(8yHn)<$A=}+hrga-^rc^q}lnka0BYmlVv1+Rd z$g4X8&8GH-@F0(e;K3lqJM#|%)!Kek$^eXPw`^FoK9;v_i8?@~AX_fq)OVN$DQOR) z;{M08K)TmO{B!}Z(MeQ*sq$Vt?^ zp4*flRdm@3dymDNs>I%uAGHv0H1$dTMs*vaPx!{gYuePUWV3epU~MYz-BpDy2#!|l zeA4?lfj6Yb`Lo!Q^ZSIP4!r+Oklv?T1-_~bvn=p4C1V*T+jWE8efUv7kb`IvK}&+C zz&drS3yls6Z|6IY{!rg`(+iuL>KMkx{$)geN=+Ju4(g;ukRt28%T28YS>!vB=lgTU zz;Q$kr5l(QfXwWtAFU;)k2`zUvln0%q$3H(t`L!3gY;Z^`qz>s%}oj0r!WwXic+F} zgD4tq(ThGEVRL{tJ%iy%EeBvxUY0WI5;(NAorz#Nc31P;_o*$ff~*@hTwYysYOpAb z^~mA(K(iAwE3eHCK%w>plxA|*?-R_~(7YkDOj3Ow#F1v*kuF>r>{~fC(>D+jMZ!kC z6*roZ@sW)cqR#=zobqux9);vQ5mn(SzhBmdB{%Lr&;k`zIx(Di4LmDK!u{<7HhocF++(?AfIvyYaiUTjJ^8elA2pTTDsKJlE8i%tV+}Af zRoJK0uK{OvPA<|uL0J1_2r#eLGu|iFXI=T=g`Z(DohVzYe?XQBSq<-uD2Eh#~L!Bo;={=lR_B70A>Bv zhzbMrUBvwK+LM;gRgnf9qi7ly&Q_YZzUx@5# zutotbUyWq zNE;^0V@Hyoc|(+a*1cZOZ~MF&l}H=yRyyf9H)~i!TV{&s+XiD;RGZEfCeJPQ5vVkE zUKsE9hpUQ^t1e0p_iq%V zK01$Z>#)*4Xds941P!-kJ9sf!drp$=R=iA`+@a1i3(po7dfDRhZgTMo`mvLvlr(ZM za*r417pu3F4}@CGP_}A=qJbNJDkTzTMMr{YW{sL=$ZV5#w(W|cek!#El3ImGXnQ*p zT+QV)u43gc>Z3=(o8ryN{$5EOPE?kcayuUt1uW5URfOkITW!~0a2@i!DG9x^vO_#L z6mYtKhfBtkT{rAweHO=t7IAkZ}(n)NYw$_rL6#htHc2z1 zciFzub;+r0x8uEw!h+Wn5D1Ef%;}(gJQMek|D9n&H@<}U^j)QbIjU*|0e z?&j(IhSRCPyQMq-;T5fKo`qM>S_Loi=^@%~{w>JDzilCxU9lGy)MB;p(@TGI{Z7d# zo1rR*rD`ZSjWuVnh4F&$stUb_SBE-S^ z26FVqp0x3rpdm*+)f2|~y3u4-n_|08d3_6OvQLydp?8w{#I=Els$pBXK$q3c#L0$J zRtYgpOv5WpCnNXKsJeya-VZ}JCgyW~=Bg2RxSIof>uTzrZ8ctm3NJXg)cT1oz%ooR z2~A8AgyiKU9MpvJ1MRRqWW>R)t0zNh!GQxTuDjtctq6!=`wgM(O0@z-HORRmefC+DE~xs<+u}2b8a_yVx@!sDwN`8da2$xlWjccz@9(=>Qdtb zN399Pwf$pc@?0F(nqE~EpMDlpyLp?q zr~I=x93BFjvp4CD@fXM%+w*$IfObr(LMS|$mZz!BmOx6`ndq=Rvjjf4gJa)7T3yy%cGO+fTck^`1_0MyMuYLbQpS?uG#1+H#{kwrtEU`>Jde z72xPt2UQHOG}PMlPs_DSbP(f9jPLM`IIK?-`%ilrLFmkL$kB8!;0G2e_L%9x zSb^pkI@X%NT)>pqFw8IZpiVtU&d@n0U9zDoXr$6%^<)N3;dUvR^K=`h9hOUnJA}dE z?7aQfMg*M)5Xb`&Sk$UiuU@^(O}|{IRs0I7Tc1Ze`UiaG7o3)~Ci~!(L26okX0-ux z+Y4umK$4S;bM6CBfFE9W>EBGpx9YaZko#3tPv>(L*v_=96w$3bQ!dE@XFO5qP?e6= z$wLByxbNPe^i!xTj_E7lSK+e+?%?VrLb>Vjhj#Hl@|{0KR`;C0Gu2VMj@xc2>^UHInYbJ%><$*Z`C zcJV9NQUg-=_3gaf?l=m+j3?PdPh&;-7hY!9_GP)<1jj^@`Kr-UW1iWXndfuax-x)m zW$1UfUrmAeY@P!3+R@3?b4_yDe6!GFA9Ui*MME|G4Eq~;65Q_ER-W0jWayL!zBaDR zs*)K6@(sAM$DTFTa!-mL;b&}8qIeJLHq@C6=3qbL+q#;Bwgg3k@ zBYMeSmYC~~ej%@*R?kOCpA)4f=G<~)2d+|7S_*!n(B9spc=S|0m6D>9_q>b`zvG-N>Z zwMvev0t9Yl_v~=w98(9vE1E*gXsGF7wRoV;saQXCbFA^ztq})&0|Tv-+VltV_{rW? z%rs0%r)qg30?2`Tmyx}72SZ+gyf?+NS3C?QSuPy-;kv=0#`igl^MKjMS=P zL--^8x>~gUr5Ex;wa|nd=?#|Vdsg0Hbw6co$*Ypow z7-(>8z2gIGtdK`MyS(;EdOXc=h+I`tAkOb^t;foMfrHC(p7CNRPICP9*UrcOJV_k| z$6nONEel~(^!#vq-46%Xr}LFkJdb&`57kOE=LW;I~ct%T;l6-Q!_d2 zXzTQd@z+RBB2N$#JXeZ6U*XMCfep7^AOLp99|8NsGR=ch^o2Zj?`@-#U?=sq)$h8K z7~v@j9;piBKJ7atyj8Yv8Cfjq+StcdQUP;KQd$voGu)iqouBtDi-#6lcGllc&co>t zHXLQ1hLhb(b|?o}f{s*aS7sYKqRdqbbTDkkE6rWcSJO?TQ1WdzHTz93ePpy@`z;wx zFd~-(ACn?fPjE0VNI%M?EN!F|3n~~1TxO2};xT zI;ogLr2ypv_~HwPx}Almn=K%reufI0xs)&@9#&Wh2u-|MR%%?Yj`G(&;TY|2Md#$9 zj|J4MP9x`d_OpQ-^>Df>=!rC%yJzS}53rZ3K85KDfMwT7C6a|dfcF8IoOY3=cjS{L@DfYPd=iJ*dzztco z3ic7Mbjn~6{!^Z@+K0J^@mfc*K$a3lSw8XKjTMx1w`)UFKO+&)j^SN`A;OT1{q zN-Uk*5nyx!Deb0>kg_kgkjBr>wW0kmIMaR^SBEp=tR1g-#*H4e@8oMtr|DPFpsl;`}+jV;7;|lU8Aappd=KBDDXYO^}5r~HnAA$LI zni^s^l4EzEpCZxWwD_*ay^;_i2!E$v@PiP?BgUos7kX#>ep2Bn7!E22WxMB25eOYF z4)4Ff@QB@F|1U6!c-8p-0sE)e|6}Zb8vFnIsyvlc+JK7O0*13C7j9eqrn_c+C)7QY zF>?U2kWHeH3<8^Lq~NvfMd2egA^vMQSk1za+G%@{?zSyQH=@CNKw-Re>Vuc`6VL&&M{PVzS6U0` z*`B8JG&cBU}I(Cu=n3P`KOX|{WUiOMOwOwu+#8+~zKEoWNs}Xc1kP<=%$beUz8*@fS09Is1=$e* z==)^JL;Id6d`m>BxGM7cojD&7JwIF_8BO(;ME|hTfrvXB)PPN1WI_QS@$ zvi3rxK~nScMRcQ@{WKGMw-UN))aat|zM}{?$3y&{(Ya(58n6yz-BxlM0T7VANFCW< zQnUCDe2uzx6LXt`fUhY>7Xy|UBwdH%DBrf~m4n{DGJKvZq2Da0;a4Jf=VNE#>fVB@ zM6t9_CkJqOy1AT>k@&pUpbTD}FtqWIR4+ZT2wvj%NscID+NSb4ZB<3RkpOTnHeu*q zto~V=cj5Koq+J4rVeU)8esJ%(Ythz?k@N}%JKU3uIIc<7dAVWwRhCu9Z|IrUdUQ5F z?P39wgt-I7DCfw&AJ|ruu$5LMLE(z-OZ$wk^dwWEDmmiy>PUe>nnD)$c~O^*^ofXh zxkHVPC>k)QCtHW3=Ta-z3sul(W@lIXk6~wLm#4>!0S6CH3xNSA8ej^a3~~mK2F<%F$y*ff-=!-%*W6s{GGX%rcQY{G6Q(q~O(l{s!AY zGl!eEi*}9CVzKxTfw?Np>*y4J$2WjjlsVvjiK{RhTR`?7~rAB!t=JKegpM$#h)^}8J++H zk!_<#V~5V$@s}hoby=IA2i$_MZoohVQtRo_0`jb{}`x>3eqj8PAXkeTU}Bs zZ7fd-o2r?@bv#>L?Ps@C*=_?Ay}~2#F`}^wF~JKny?Cb0p5rE-PEQm)c_>8 z+X(1W@2t)AD(sFCq5wEeM`#xYG*ek(G_jb_t!Lrf)V5e{H|^|ZQ3 zJ++DHxFKX#Ub>eFX#+@71&92^hK=h2wuZVmXQtY)SVRW&6;q|;g0b~RaDV)c>DS4^ zt=Fe{QTz84BpMbQ6Gl3?R@*JLj((cx0?`!H1;a32)F7e5Fo7=~Kd@su73X4sV>}~b zcss--`)O1X5EaD>S&|WZLun*_6=wfy6|7(&4dM>QhdDdnf33T8_l(R1n(nkiyLHBw zKP0h>!HLY1VZ@>mIHusbh^0GquWcZ|l4;f-fu9~@Szq{~6fo~ zCA7|8h-)rDezYwf7RzRm#poj}1UtrgRC-DZv zBslfqUYcFW69IAzN5O8KI7(SwC%;Jak}E*6*dWc(T{7NlRSeD#qRYExI^Dl5`dnqd zNL-BsdOWyIcr7$>`rXOQcfj(K@X4Z$9c9kFK-%0pf&}|0M6iTAJc|*x0;pRwmVaEo zSW#3T2omC;dEN_7WV^Ro&<3<&+MS{EWefLqFHcd44nK7MPx=GzI@Q>y8`@XU1#;`=D0K>-;Og`6Uzr^G0Yx^JcmFN7cqI z;#hI(FP=3o=;mHXC&u%W2$PLt*59JveozhOKhOo1@HPo~V~{I--vL*0Er<~PSs>ST z&1{vzK`&Yso1cjJE?HG zZ%%5Z`}<+){``B4dAD*UZV1q=OJ~yhTB!HcO6D6w^I7@f+F#}8H|n2PV{1;ZB*MS@oC`){to z-PwY&qA=;_6T>X8jsJ76AlPjn3P?>_)pKlfgOcy0S9hQSmJLNz7gJdNdUH6kLLPna zkkJBs{MfMO)CYPS-$BT=mcfu-1!Pl>Hhnq=PsY|;cXcB*PZUcT@FU;Yd;JkT7&!{F zBbT+7o|l)&q}NI<{HGQ(XKMSj$X@nvN=>jAE-$CKnyVe~v%a2a?W-u)fi0(}_fxKb zHx5<`^EV5JC8^dGM=;zgZ34pD* zI-|Dw`pF==X!!m`kEQFX=)V`CE)<#4vDFEA5t>I+I(e9^QVE*JZU1{af(y%aPl3k= z`X*<^>xbt`FBck3>l91D0w69UCk!n!oKCX&G^3-lQ(H!wN$&TBnjUDkC!4qU>T?RV zpq)G}j)|e)?e)RRFWZoXVQv&>#w>eav{npV@3s4}+GpjKQny5`wTmI(6u<-?O!JuR zn%q8_ZxB0Y>340moxEEcx*b8WWk#m1(pila9g#bau z1*5WqpYF>*V@7XA)PYTkgiLxgTI8p9aj3@UdNzvRsScw$FkrTZ9qYb2t*8JsY6KD7KvS%zJ% zi+(*!Y;F2A2XddjbJ7u4@7^4r#-6Icm^$8x^|1GU3Lv*weS3oEj-F^%X%GzzpFlQ+ zIfyGjZZ{mHm~)bPqfV4bn!}i`tl*kN50Gp{`>-svQPN<+yhk)ntA(tmW^16#3>TJu zV}0MEq`i72#L1n}AHsZPK@1*mxJU>*n6yE$r=EAZ34t@D49;b^` z6eRc4j=j^-Lf2C!N1)4oDBv}7p=29#2*e%!%uVL9uL}Zx9M$D)rQ))!zzn?$73HZcgJjE2#o>ZvX^|dt(u>H@9x>l_%P|uVADgtI!Aut zjGTe&Fkx~s096SUiWje|+8{TJBa80To|Bt>3NTE;Vgc1^SU#SnBUC` zM>vHG^EkR0@(3yN2=v&$^*~2&2@o84;4uB%o!2_nc{Y$sW`78hMUz^<4UI8}xX)$qN+69Bh}qF6 z8DDP1sTNvh7UXwWQwsAjTE|uhDh()7d{ta?I`$M}V7Hlp35R&(MkS4L9ybr~0#7hh zwWfU5_EVb9Z5B2)=#R+7+P=L?sYRiu*8E1dJ+STh^a}qWS8I_hTgEeyQ>HzUQ^_+y zraWZNW|CWSf5!#QHH6;pWSh^n5a=w0EGWO~SS@cxx8Va5o54NmD9`Su(5PeTAR2X6ON7Nv2cs^HOnEVCj zN}WZTD2-4Qs!eD8_ImjI@|9~k$Cjz(8D&uqhWJo8%6 z^GM$*nBsWBC)kOeF;?t^-CKu4??yQ#3xB4G?F2MQ6<%P5CR#^33PQ&zjj_O5FGY(< zxFzSE+e#I#k+O2ogITo?Q+IQ+gF%s~8S#eEdq_l_u6CTL{h~S~#_=PQ+qF zw8R^iU7>bcPP2|<&S(bgQPCNr^y*MZp@&(sX5m)P!vYdlKNY7VMmXQj@YDS`MuzxK zw|>b}GwS~A{M2e@E3L`&n$skyIfaT!4n}jljK@_Z>VAiBRyud4L<>c7p-MDQw~WVq0*pI%st!W$ETX<2H#sGX+0w^Z@DXh) z7z(#LWs%HV`#2J{J-Qdsf@=9KI`P4i7+r!PFW8ieZ!n*1^<=#3$SIDqSXpa$(=($! z_}}YC4#<{^ulGT1q^{=)9kd*r%nOnmPXQ9T@O$Q838bCajdr2}S_cBy5Q=yGoJylD z04MmfJ+eK0RS*NX$*xH19Jxn3Oy^O_YSZVEZ;>G<6DD>B)--mi0`NsJX&SML(9i{V zJzB9)P)0!l`SvKV6Wewll+YWJdj1b2kVzQ*UAxM40%CT%02(4_}i6&FfML6{eQP+*(GMrdb%X1TR;X6B#wU_F&~ zavD%?4-Aax*w$|tGjr~5Sc0b1fx1iP+Yhn3(@zSJf{zizhbjh(wN;ulnH3<{&OO%t z%GogH%_167K5c90IGLoOB=VBwuW6J1W|Texl6eIP9MwXRkgXxL#Yd-~KPx8)od$#PTdC^8>w4tjh~G7ld^ zn|Ce^9$8RlCt%xbzI3>E$1J6-pT~#>)29m+F26c1&N-bp%6U8+%8vM-1gCnpyy}E! z{LqPmSsH8fWWT<*Txl8bT1d!xztXCFDL4~Kh#VPS8l^0aK9+hcETk+Yu$W+^J-J-0 zOt&13r6Bc#Z;#SeQadKOc{JZZ7>z#Cwbx7|Y>#-^=(FI44TLIw=E;vx3FP5p1``+g{iZY(2_7ENK!dY{W$CtBMyxFyROP* zLu%#0o6CzSSfrFw!iE-@fl39-kb?ITP2aj!w+8jUVL+9EF)30QNT|%DI%$#!?g#5O zwd(rxU#8bswCPX4^_1_ofA>zJXu|iNIlRh%gv9aw9xXAFdm_@=gy;Qvugk!Ln)GO? zb6_PG=Ct&XxCE=q!i+c-HmNdLc7tR04kehw&&4zabR_B<%R%sMyIA2$G3^1fw4yO_ zOv-8c#5AA52>!`U1@X`rh;V6F39;Lee^lp$s$@|ELGZ~4eF2+g-(7a8bG$@=m%HX^tBWuJvak*8%|s*ngukj@wka6nB^h!u1npEg?o z5BXaXpx7vdz2c6@Ilxf-6_LhLQ;$$Oh^IE=FV{2rC91r8Q?^RJMkYl>EJPp$AptXj zQl@Mrrsg~R=B^_vz z+R7KoaiWF_^uXnu12vAnN!ywK0cxB(EgVsvu#zZszahaC7fuYRosAkuRqq>XfwC;= zKT8i0cvck5RkZvCw2z_qyXR1_$|RtdF&rou&anX)FlKzPT z{2L#Znj3$6 z_yYTj67nY}ff3!(7)8@^z--J_lGXyY^5uw`dJ6B^bfene16; zGt**%J4sM6IIuij0qy%SONnB4o1(Nbfj=#k1eN2!g5~mM7b*bX*e(=Lk3qqf=~<&Et91}Hd>#T>{hUpp1v5ndQcw=@|D}M51#)QyS}@>m`IE2$ zSzW&B1dZ=&zNU6h(1`a!v=wH1U2${HxS5rz?NnTJV@y#xxzEh!M_^G(SfbutTwJKp z!C2A6&hSOrZ@2It%>eTUg^Ly153*Ib#~!N13by%0;Ep5Xg9&u?r}z{5QN|@5ZiW$6 zcwOjZqKehadJQhVh_A@@-a1wfiYJx8`>^tcbyr17PEw{0hJq~8#n|x*3!Q@{`JO6M zs#g=&PWYxk#rPU(CD3{r0&daOC|*&q#mu{6|pEG+$)t zzr>4)AO+HnL-+IjU+IzjT_s5zf2Bw1@~=kh&8I4GpegIB@2Mf-BL1bmdHza%FU@~8 z^b04npsGJ7GEKRRjw0id1J#r;Wjp~hB^6}r6F*#%|Cgkbi3A{3p)4Dd6S4OGV*R7^ zb=mdNkdm_NivaU~vC8F)p7DLj9cC?!0x}C1NTKYrXr4aF{K%Jc&!?4~r6vaLIsLq#vppiPBoaqRj7KT|51Qxw*z`s^aY1znF0`)82m~2xjGG zrTNPpLB>kF(FePFE zvzSVPH;%7-qWWD%q>U+mGkS0pmE#4T_!2%XGI-ix=!nRGZKF%DOrw`~lY)|Idh&}q z5NDT|B3<*`ySZ04J<&I@XhaBFT0Q!dKt)M6Vk1w=FU)*rcHcZw`Yy|#*J#Jzxn(AF zdk!cU{rWJ`YxzAe#g3sc=duJad3#j(cr0H@bKWhe_X#@6`UGDYz4kk~68^&q))pz- zJKQrQmkWW?ctsfr0PE_9^nw@USs`Xd2wZ+TRnqmHjW$o8bsaU^!^$}^e9E*mTnS~C zUX3S$4BVV&tV>4+!f#1uF}O2Ai@X@~pX*YmZ@+x(`y*XSiu%J>3_)zj4mjpe%B_&c ztCkeao}vNKJr|Y*U#DS*{d&10b_NutnN(lglu>a#JoLOYywqTU9;8wq7(S(C zPFT({Llw3l6b|o3pDUrK3<)JxZoeK(OSV;v>|p(N!0z*vX|_%U*23hH@(xr`*ii&J zV;ezg7-q~deq#yloBDh!W_cW>$%;%?I9DT2^E!oV{LS0Dz&PV#5Ja^4i&PJhI9zR# zf4OgO$pYz#LrxrqhX624Pq04*idFgeDsQ>QXZttqZ{4yzyNa){w0MgiS9-3@Zv`z$L>FS1#Pp-Zcd){7-JEx2IrW_bOYFYjtQoslt6eV$v89 zje?2IK`xRE?5aPD(#Yil_B;>GBcKV^x?3BrZ+&X=^c)x~XvMbuukEzuvVMbuj@A#d zV;SV6dK>z4lsBLCDuBh6_eBa94oTDmJ|mRjAosE;f%`!+%^!XU-;b^-^5j1#?FkWC zK*dH_$TqpDN%>QXb zKSbcDzx)bL^r=u6u^e3*p?B5YM^GIToS60=9Ur$1Zv=40A`gjwB$2E(ExB~v7y8*n z6~nP6@+-vVPdfW63+SXp-Uq@?I?p#fX<{qi#`s4!s4#iYp#EtedeBgVt0sfv?isK1 zs#0hKr#ec5g)+ifha%=qAdF3glI)9gqPn~8%yLxfBOkXSQ)5_PL3XKrp-ehDKjm6? z+mdmw)wB96FuLl@y5pGnila}6EA#mJchV%Ahdo>8qH;#OCKd2eDj1rLDY}p<)C$p> zv2x*`%FrGbKlS-gCe^x%qa~E0%UdmBwvq&D%QaSr+QB3-MhKmVy-~50Qw#LMoR|V! z6vS6lKD*PKkVc_tU!i3vnr5L9S{1^B&Vz70B&6SZ?oZR&~+cSB_8LiBbR z*BsW$^yabM>!Xr))vuArTi>5ldXKrwnMRDSan#Elt}NLceL@RreyF~y-|Gm3lLl*~ z9D^}I2N!DKAi(gh7J&D_cF8os2-Wax*gRhf(1se*l+&u2_NlM9tK{dleQFw(7Exys zFN4^Ko?wIn|E{%H{)Gz-$Hu?7)8LEr4(Qj>bh6_@Jou#feByDv|5;|p^AO{|Fm_M< z<2y3ULr6v(M!`m8U`_{S&rjS_%rh3Vi%Y+YOZlI0f(ilU^-UXaqfnoAOh_L96FXd5 ztP^f*6`Q(2oarduun#+?R`{SCn6dVNBunLfK8xBJm}P(ovFm|KcJKJq=x~KbDTwm! zcFPsn!IEA=22v<1@xcuCNbsq`%WZtXX1rkHTR98%`N{W^@@jTtD%pPYL=12UK`kH;&hBzp@de2dFc_^dHs@}5lcoobOwJTs2VRj>RI z;XDg_#jF8Q7Wk$*n_uhXUL>e<<+hK163BBhug$^{!=sncwKzjuB#n70p`u6~X$m=P z>a#TYupO~r+32=vY2H&3eCj^*Fv5@KkUa3#z#sg)ud1S`jc-os7jJ^ubbpjI6qeF$ zuZ6jdII|)%ZCUbR6{A^qvqrk*gb9U95aiAchjpTx|6qHbWhAyAJMLop_cB4wg*r2o z3(4Vy$IfR{?pFWp~EP`!X67aPk?Bn zvS$Wi3DNPG&SdE8T(*4iwg@&$Xko8;=*p!k$xvy%%0VUWMxGyC&QQNl>g>41I@}Aj zyhLKfpoC*!D3Q+N>*W`D)D(X1fo-kQ91IJaki-dS%0qM)WVeEmk|2WoNNcUm>Ox7B zZWe@m8$)l=7Q}&a5Oes`KHdwVoyM=lZxrmtc$M*M>yI4qOMJT&&r|j3THM_uh5{u2*W$A@V!Yb6^~NHAo^eiE3uc~BP5B3DX^m&^AD#MAOgl0{uWI#rnw{eQU6HFjD1k?kqTyv!Q5 zRt9R}y+_%~JoK|n5WsPrH%~oX|I-6m1_UpXp$#5 zF~6Z^xv+XgoI+y-MPbFf5G6~9mavGIvXf|aDO+dKyB;TBUa1L{aQoH`VV(&M1OS@SfgpNGZFU(Grg>XkmRwdc1KS+ z>Tda0X_HI{qQ4c5d5_@#a#Ez+2iM7gW&k^3WHtA&gYyqDS0#AyV61(t7Qsnt&YnV@ zf3v8VQw-c*601db#u%lYs*|58R$6Q7nFx#QK||ZcuBqnlJda{LS;<0rg8Y?GqCWl@ zHgYawBy*(zTsJNmcf(k(VJl*^7MGaPr69ONSK98SL2Pq3Op2k%jTu^jhb1WWgV9l$ zYmXEw$2N0#= z$G+VlH+~u><#Y#XfFIg5rZUTL;BG7Bhsm3EekLoLA0u97z9klj@n`KC*H9^_6H~T8 z=qF7n<@b@mr_@4ENzq}F+yToJ7cWe2Z48y&YN_1Lu`2CQE;|oe&RIM{_uez2w40wv zZs9wTd?Q&_9y{0SkbKRMbLbLn>;tyPadY}$;(hM%MWXIqIH5;jhsj&r?m?ZjYkmS= z?GPnDx#+)siAL*;v=J10Mn`iB@%W<6TeUDL5bjQZG3$SB`nuv+&68(HQx#f;mdxdO zL1fNbt|04Z(}xhBTL{1HddkKma{av5ldP>Kx_7v;IalZg!QV`V{)KTzfLE+Uu=A*a zj5hohZj2?wU-!(h`}r3WYdl=J12ph35_8>lH|<(%ODzGHV}h!OezJ^dTmKAfbGRvlL4W zrc4Gi^RN$z-#yZoVtMe9JR}%b9mO>Oa&m{7i9g z3TDpGK2>Y*@lhh+RGlzs_=#)TT@q}?`Z`m0SY;vB@LMyQ*W#=;D9J95Etp66yVCO7MEk7yJ+^P;6(}UZ_f>g#_}61>G~m*;kUzrtJha5&I-$Z zWhE6Hh!AmNj5LDO%eRRw8YwsO^+){Hy!bnIr$`1$fx4B?D2zuvQ>Qij+}KMt8qlgm zU0r=dzk*6QbhuL6!v{gOaLNQ_uIOQfwQa3wLAPR}SoZRMQgx!~!DrP+gv21~x;>aZ z6Jxca$rOJp)fopRPfZk%wvixsZD+94(R4lH`aMh$}fuzlacLw58od{R6>}Cvt5kFbi6mPT&)H9vXDpMEC znj)M{N}A126Rr;PxGL3Mly2>TSP`vQW6gJavjQpcyC=FvgS`2K@47EmlWUqg;{-7} zP)5ouJ02I4`4~KREKxgL&&^=Zf(-U#+41N@?0MES3f^_Q=4FVhZ$a;*SXjM15afJP^XRAtOnN`InKY?uv}=icBP{$cu+uXi}Y^Hm9vuem@b6;g_ z^RGm6^|(QI-YzGatH%v<-)U^~W(4Qz*-ZB#$JUU|>psqxM6-ezRs41ye!>HNP@kDs zokH)6qw~xxJEg&+IC3Q_Ab+wQ7+2Yzy@^;k%@ou#8jb$2mEiEGVAL<=ocQh{&CxZev zg~|j1mxHbh))^m~ndUxWOxK7V0xfk*1>&~o`YERBQb4H0j)xEvpuAg3*ON>c1qQh( z^iFTs3H0VIaM!d~my}m}DMCP3c=oJFy%S7ZWnz@MOBqvj+pi^U_rL2ZMAo(^>pF>8 zi1|r0{JWj?4!My-3j+~&dqh(927r=!&W0~?xG7Su8djnF0#mh~J=ds5h%Vm#58Pej zgi+0e$H-25DcNbyvOiDn=G~vv^(Lt+>#n|w2JCecoN(KMZe3DN z^(++P5wG?@{Kex&9Oq0+i^MYopYyy@MdDzOhf=-=wYx11#NWKy)2;>h-zJdKAF1KH zIYdv^>jg=BPWD-7Fmmnh60cqG{Tbi5$eis_uP(Tz zNm@D@5Odou%OA!JR5;8)Bu+DY$)a?8j(ZWN=`8PAnpHbSi z2S3M$)^kIg5NX~nx}Jg=TIO%wTvUjs$rX*^9vV`%_0WJT5unkvClF7PQYS)+F-g~+ z2S%AgrvT-Z9!$j)DgAG|7m0D6UsT9#y{mXaoJ!`as4;0FrkF$5J~Ub#W2#Phgjo0^ z5D4>87s>?cJ4cv;hqifKRC}EA7nK{ADiW=u`#@ruxzHDpSXBEy<|Djod)Bocyp~w_ z9bjS-was`(2cm_k8lOgRmh6j9y*`B>1tKZ?dht0Bcac5w*5s-pEb%>ioKB8V-KB>7 z;{S+WoJv|cIYPtmN6M4D6d@dQA`9vMSOJnHN{3>_c`cW|DUK^SUC@%c%@C!>7kc%IxdxzgL8ho8#v+7*b?oX)_uOZiE(*KO>P@=uX2NPX3ULC&)ir6Rv#&SFO!zYo zF)5)DO0&Tb?yUAw=zh4A+dvbsgee7t_fj+pt_(m*WIiNy`7%Nv7)d=aTqJCk@^()K zwIoZ?6m5@A*Zu{27qCn0)&)DRmn3(;;rrAV==;pEq-N%TsJ~(~dUz3l?yCziBkEIA zN{BlN>*{Thv?mY`cr!*JW_j@kzSj@-xUG<~yJt@zo*{bZ%%QKxLn#}>Z;ZQ$r9B(m zJntgL2j|A-&~a>M^AX;)$H4;8)0{rXHU+hwk>;b-vk1=IwhM6*LA9fYh7enNXar)i zCnM!g-jy{W4)kOoh3KL4`8~)iEgkL6MFVlahY#%S5Iqfuo-c`>HXa&M)~6A`>t)!Z_C`-39)OX^;HdF= zBKjDkdaqX(eTF}bOr*MWB2jB5vAKF{t6^kSg3pR^F@$f80mJbyeG!QLyqGD3|2qg; zM-q9wYuZf#!be(-W_Ut18B87dnY2Vof;-UHoFFFPHJn=AX4}||rFmQjb?eKhKF9m)-lz7v|Ufh7+Mj`EL z8`W%Thi|cYngapwBy5)QP%^7rMZ5{W-Qf1J5MO#J*;df^c^1M|A;Q(_t)QC||7uEF zQG5FUc%P?>4_wXdZq|xH?Z~ zJ2DMCCI~@gVEf0@T%Ry=cVMPbu4~_#_=UOWALX>nx$s@8WGUXM(0FKk3^w?_uB5mO z7vc(!^Dxi!Vgjs=!TMw=cwCCt@8)~`wbRmM?ZrnW?SXyTsY(9|#Cc>a_>8Pi&7I!0 zV>4>SV_oTYZ4KLFaM17TLhnqC#uT(j+cVEGQl|_dt1Z0lfPP_06}ld0RG}W&$$=S> zn*z@StCk*o?p#vO+O=n%x0(>*TC!iaIa$jb2IHU`TLN_#oZGJWw>B_U_r)iGqg$%x z_6FaHuJFcn&7dPJWF~9B%$qG&Z#PT`cvNsgbM^E{iCcrNg?bv}rfBZCDb9ohN^7om z_4L41GlD#bBO_~(Y={W*$ooilz{AzOSvcMWz^)BqE8{#dUTD3&$Gxnjy@@L zE5i8~jS_g*towq<_)oFVK=wS%Y$?;kWPe&noF7;A` z80V$Hy?mZMLQM2hU@zAzrN1EpV(QQl$@~TuCTZ5uee8aa=eD#$LL(Aw56JVQ;4U2! zMUNwXp$v&$bnW&GU*1xlP9TXIFWgq=)ra*OM!?NpK)){m7!?R zl-k2b$^o9o1&Xmr3*YhlG!U=|@LI7m80nUR-(n*&{(bLoO@YYx-zW^!_p)8h(C@^a zr-`1WUS9+Pcm9)l@Y_soN+9qTRiL0cw14Fzd@u=93f;k_%NT#303T6vT2ZvHt4@fE$ewUFvJ<$AS9>512hG@0!$Tq3LraquUhK`9;FokfnLt!W z2JS5cJ?79o_*b&$a1*)8*@LvSJ-NSSUF)xRy&e?eAEXt(dR_@nTlG8_p6*WAvM}ns zxCh4&nGq!AJ(BXRmjd7VffRG--dRkpDP+NUBJm6V?h#likXHELf`sP@6ThI}X8M5O zd_KW>3~5ENS9@AK9OUgw2r(DD+r+CX<`K?VQlt8yXEV$SJUv3ZPgL@8)N z#2?a9`sCXMq@}MDd!8jN{f4x3DEU2(d%czlaWZ6q3>>dC;9MyeqL>tzy+ zwJzE$S8bfRF{!i+oRq*4|)Bx7Tpfhu4x2k|5@%rIJfghXwb3pauWS;Buet|2#|48 zq--A{8YA{1^q?yv$ZreMRf%qqTdG3B1&xFr`n(-@s;yVMrh%)6Y8K)nPji|KrX}^X zA}LhQ`$RKd3B?0-ArAuqH;gCR1>f3;@{NoTcvnoa_U1~9e$jmX9AxmbDMeA0a$J;e zWOVtYW}~B~WgM4;D$r(VDO>|Ghi--Wgztq?ws(XI$?OF?73R<~=~u*(ZGReO!pRiW zkxapFtRzz=K+}>bKF-kBMCPB~UP_S|;f=Ylp8#Prsd>*W{klrLZ!^Jfr~!f8Qt)&g zxE(W@@)fbi-{CA0ONc!i5qlmb_T1yiz}g(XqhSv03n|Y7vbrhy+_ezy8Sq9u`W+}> z2oy|~x{`5G|BO$o$uZ;!e{;#0L$kS6H{T&a8ncf^S5G}n~0eBN2<<=F5 z(>=nx))3O2Im{&3@$neb;E)c`Fww zv#dt_U)_c8-jbG9Z#BAW!~}y6JV}foe@8UukJ+-FH-iO_gEgAl7eaLK{0mlFUQ7^T z*#nEg5w`-LvYXU%7UAkDptvXFMJ;$D87Xy%H*znc-rdb7-G++q*P1N(vL}BjE^EfjL$2?m;L7i!uM{7%`3b} zE2aOvEg`xQZkXrTBPcrByd3#^Y!5zZ%^cd7&9kOs8UG46?30l3ls?1uV}cky%?6KH zGmVfPwTODvHk$}(O6eb!#ZPg{U8K}Wq;?c>O9kTV3=tB)b!e1pdnS3B)An|DkLtpb z8r7*$im@5KX-gPhlY2YKmYzi-WR_hld`4MPk7<`_MRj+v@JR^f(5OC3yy+eiAsdqj zc_E3ArJMP^+-`od6;jf8csB7b{B}dK?X(n)qF##mu7u}275xOU755VK4;4hS! zL+b$%Po{AsV0s6hu@LQWVg{Cy@(O1kY&&@%d5>%tT# z)I>6{d*DS9cs`^lX7xZ(PxI?!hUOHCBGR@|^uzY++P^0l?%+lKY+gWjlCkzA&NPL0 zq}|v{@$pk!9+*+4_I9K(BB2F%B-vY8N)sioU*b|pChX?T(4<@dO-st0=&huR#A{@C zcQ0?}7M|ooc6aA^GH@pt*=>G^>^5iF{r(5B=VGre*a0T?WZC^bi`erW!RI)FPYG$) zOt=h_MD-J5&tE*7193U*e!HdMt}0?rmfiTT2|inTb-^86#GWj>@#1rznf`O6h4?37 zZx)$%347m*QV!inhM#$+ea!AjlC@q_-A^YxG`SQu;H!K-@_1`Cq>}knB_Z zMN2oOLi*R*ip164Iv4ih!1lXAFXaoKj1cXBW9ZqaUB?9cWDXq@ zK7>3s1%A6c(u1j5=5!+vrNAB0B+lPW+J%MOcEPGGni$0PTnwd*a$VPR<|Djg3OsLz z*yAi%l}sS$eeXk}Ql>6Pt<{YCY?y9jV86~0)Ano~Ss2Sa;c1rAFQwN+MwMZXrnxN?bTv!q z|7x=kuX#2H;vlatglOsMNwa4GDMhcfO(c7R*LyP=_%5Djj}Uu#aURx0-gp5|6D74@ zNi?79MOqj#Mra;CJze=6?}< zt|j>VuV0r*Vz@Kuuj|2`lQP>z{b~ACh*!Otl@Rz85w{fl_6OJ@{dXoH! zHU?Bb>gY$urpBZ$9GhHw^fz0T5_r*(wi_vh`N>i*fz2irOTiuWV6#p$|F)i}}$Y6@m9RI=#rlO3LTSOMdGUFi?KbaIbL-FX)nrA_N zG6fbl_Rum*qR&Q8pmwN?kgk2>NKY(t8mT?YRXl8|YcqV4!edK}gsDq&#Vti;bcdo0 z+Ko2T-&BfajAq?NS2H}9#^bY;I0JEIiUf|-ZiT00NDERU4h(Cr=@GIoBl$Sz1u0q~U?7>|K zw=OArdKSVjD0wn4M}icz1rHs^V40inQz4G`N~PIT;gu>9M|&yMy9IfU5ELQyz#==* zE_e==my%}By9A%+UMVSG_bh~a(LEXXb_}F|m^w5*5J)_HI(VhDJyD@(+N3_qCz z`vQJbfS|g_V>tXO&GIAAzQUnzXp-Yh> zh=Qnq_$h+F9Z?XZ2x6g$ega}Znu17Ifk3bzg7lEk0uiYS2z(+<%>L)yGjnFmnw^_- zZ|+TUlf9p3pMCbbX3d&4YgR8i&1gQk#!`y%{NWX^)j8W*aOQBXvG_F^(vMhyT0*M7 z43+z$oo<1q+})Yd-vSMKZJy7BfRv|&)3^}Ncfnq@*CEj^tKSwZP6@{i(@)(C`sa&!{0(!n-zRIDe^%~eONaN zAJtDv9}_-`L_Sp&=i{DIw)Es!oJO-|dNHzpRv9pIBn@$TnulZt3Mgo*>Q*HXgif9$ zR6*vmLlO6s779ANJNVMI#NfqYZCvo_H1LTd73J7_N}mrpEajmUZb6Zte;2pwafVnA@sion$HF#&P{x=C;_OZ@l}de zAy3wLml9I@-3Wp0x$OCN5-sa?^3WMd1(MR2iaNKGmMhu`)F&Mf4Mtq2r41q(6q>aQ zK20(=%L55;aapHjlp#oqnxIRQEp`@P%PB#Z=HUVPdMNlpn(D<8B2D#T1T%deLBZxV z|FeLU(C9TQfaU6DnW!`eCQ{`hc_-#h72@rCf%*BO+kdFWLYzv}qQ zg-Z#~p<>JX`a+|GISs8e2onCH;FHqQl)-XeRdrR+&l$njdLeX;DUyR@dT|K7{N`OJ z{W|F9twBFm7h0unMFj~jE+nKKY6Tx!rx*BqxzKY;mlu4f4O!?Xr3-?M9Tkup0)lz8 zT+g)XJ|NcwB=$xzm+kpH7ZBtNPZN>z1I^C@f}_)OKGZiakgED}(SL91t(T|%Hucs= z7Mz=U>lX!@djs3 zx#fJSs+|9#lamXYPWmHNgt@ON6;A5QkxtsGXbsXys?^^B4P=5mQ>vqjcy-dtMM;}N z`-j$06*N`#bP*PFz?p5ea8?;(|=9pZ%rBYRGCu+-V-9KvMcpfzT-jMd(wi z_Y|o^D~pO)bP~P@@AY$j5wA|#tq6@sJH5?A=+6bQYTW|4r5A{}~~arBx?NULHbbc@$V3wf#vJr2(& z8%~hgb7M&_&_SN6`ki_aq?6VUeBua99DN$BQ7q&p6^S)gj^On+igj?{^NO;Sn5Hza zQLIT}6l<_X0`CkmKSc=A9HMi24QwRvdf}t?LuWgOQLHjen??fB64r}T=kGLjsuv?~ z$;^%s{niHPI2P+rmn^sNPWlNA*yTu7ZBE^!9HDmI#vY;bVE^KMrF3Cvp>0S7P|hc% zYp6?>BeVu4ls^~CxDDtab0>XPYAZt!pPUb^VW44}9OK#QL zm(ocTa;}XL>4u_}GNn3%O4^);Mu0=S9uE3hLL)^_j=S#ig99U2n*q*>RMiqe8~?9J z<&@|X==EjVxIeU!{wKu!y8(&cF8>(u&0{(uZ5p&OE@%VaUet@@bt;uV9(h7y36yCT zC41J3k&*Q3wQ+7i(@8fLu}Gms)JbDQi)ek}tQxDTlM6mo^|c~@QR}pz8Idppp6h2y zb#@UJeGjORnbzdcz@Lw4T$8iE63~;i(MwIeK&YudAM)T=1Lx&KT4W>=`Z*cI@}R}2 zAS0QniZ4BN3Ur{EeP>T%-JNgrW&I3n_T*}@DAVEHs&>)pKxd$+A}Uhw1wwp|>tzP& zmcZvy;iLI0rLTs%yO$ZLJBpanYP$IhVy!M1>h4ig6Xm&*W1LT1)_7Ib z+l%>&tcVcKbcS1~t#G=aUL1N{3HIBN?qk&pJ=xdaL;16Cpm}cy>w|$adWd>4=gS*8 z=h{f=%wl|u&*=gm)lW?Ks(Sy!WiBY&VK- zn;)EqjMMvig>rX}&~D`(Xv$SXY}+}X!9%EHH%*zF+%lNjpEt z_QB;Ub)cQK3|=aO)AZX!yDPaysFOK{2*(5Z_^wQ8K znOO9;s_MF8>>{N_L%DHSC^wE75Y4UyAC^B)ufapsCRRD;s){l<%adz0rAvafv}H?P zZa`bPp5wQ|Q#c`?HSB#wJ~Iw!DmN}J;zhgIik5KGT>mG-T=oUbypA&G%6QUaFLV8$ zD@Hi!`-~wsy+9p(!N1_{p(eBN5{p`CyQA3AsUVP9WRFDdBf=fT$nA@sNw z;%%X)xnCh+CtW34MQmBC7X^f!m1jqwGayj{ahFH6W2m46!deh#1sa^ zF}*M7r>BhF*NU7H^z*4ArnGA{*w4F)cvV$gE3(2gC7hnMB6ShbP34i3%N8u$^R!6mN5$Mk zRh6gT)1Hw+^G)jkeq4+{r-XgJd00Hpm?Np-teDZoT)>p-@gj7ZXDnJWP3r;H59>@mr4}GJs2z^R5e~87Orj+`5x1=d#V*UJIu%Al`c~bgv2t9sd?%lzD;(C(X3kx5S z)(rO3t|#F%L+Xr3v-5hAJm*$bdCnX4whDWubxpy3E+h+wEo;6=31>s)oav;R!g{N! z97{>5JQb&to-9J2Qc+du>Xc6UU_c0{Y4GIy^^+nub?Wcyc?rKCXubvpa)D1(9Un$_ zv9C=p(4;g;v;mZn`28l-1-MjW6pPv~qa4=h1(MSLuyg&(oL>q^T(>iKkmmvN<>S7) zgk|#FT7=%)x*dGqK}BK-w+qNgN zDv*>uM{?$&+qwEcS?0LEU}WG!9}uYdkb~$ap2Y-?aL2{^q3YBjrW*^Ls_sH#G`&;! z`r?E9HpX(>3A4;;Sgq`#vtr_q%pAgPUQ&kuf z&S|RZiK2X_GiQoYbVPbn(GI8cC1^?`=R;o+;;d1}jN^4wi2Km3_IW}ysxj>(d`&Nx zaAvRexrExHBBYdKsAW9z*PuhKi9iRf(kM-^joX85ydZ2%8@~-L*v}HLTt8LyIr8O^ z@;q2-Ef+K+(vn4wV@h>xVTZH=C&cSGl39clZ7iX$^kn;qzS^}k zJ=Q*{!rox*%HQ~#|9$0rz}GI88SkLM6NrVSh-4GI(q+E*y}yW;=MG_F z@j8+aPxByYEJt|vG(4KGa`vC*8H2A;fio30McG1wyM;DN8aiJBLCeM3z#a{cM4AT! zP1ax(t!^6Hhfgb8dU9A8EmV+^Uk79}CFuHEEYN&1&b zF^mJKNKCVazIHkHvVJTJO62)Q;B!^b#twnzs6YeGxgGKuiSTH4sBenk-TSgwDh*5Q zIhVfT-v63$_Xip?K7fH(Sc>G@(e&yv5nuUx-!xw48JCx*RMXDOoiwBKa;}X|I+E0w zBUObHef44s*BxO%Ilb1Rf4Q$tdW2FjN2&^COOB*Ojc!LnUyUzvQx>#@MGv|>ACz?- z`9WqwEOYc#BC)MiRq;!LPfPg>-yHXq=wBW>?G`NL!3e9TiL37E?BYV^PJN;#!ofAQ zSZEs(5-`n&3qI^WmoOrobX$-)ru&6Lqw>VBP<7Izz^5D+O^FsV7wI*AK`za@0B{jBtVv=s;6dI}!7obJKdQ&xj?cJhV1m1M2dj zfq^33<4VGkhQ4VM#vI~$t$$;{PA-m@o>dNzk&8rQhHOd0YIswbn{+r>Yu^4M;aFJz zByIT6pA0%&8w7H3T9oVAXbepW=*clHX{zdDL5DQ`-o4<9#{GI+^QPW7tY>H^phxr# zPo}{cn~E}$4J-)_$^=jI%YdM)^E3n$h`Qs+VQn2+x!)yiC>_(B-2)%>VVonfPuR<; z=WCg-8`;ci&m4N>GgunCbwKfo8apIt?0q2?yJgYfc#d`g9{p}Q>ZbaAZtV4@MHw1Wh%-@S*P&n z<(>xTOnT(5fDlm7s1H0jEUduMi;jbvRBXUGnwxXVIg$b zsnS%{M>Ez)!A|;Z@TDzb^K~5k1s}8dugjYv+;@NvMLKEm!dKIJbuzl5)dU{6j8E3I zsjZH_z%DWy4FPp572&ohb7ETsMVS_;so}$XfivFV6Z+vR=hNwKHdSApRMz-Sv-V{y znsRrf$(k*a;~+~eGl!ldwBonenMmt$Ly2@Y)p5Bm{`Mjegurp%jk?D<4XtFJfqV`p zS@O`SFG=M_j>OXrsgGaKRMp5LroHePN}8o8nFmA9gQcJ5-3_^(XT6pAXjyp)VYMEi zg}TmKKvB{R782zdtk8#!^FZQGS&6P(&sBA2&@)!u=QJtZ!X9hi+;Vu|LM6>Rg0DXW zUvDF|)=cP!`8=+#UF6n)JQI)`D0ID;&t!e2CnI+Xf|vm5)Tieu8IY^rjGtQ-dYEJNUXe_4UC&m;OuPe#TIVsU@6 zpsA{jLRvJ-4cdtLB5LF5A{Hr~5bW?-qVY0sC!w1*O3SRO z%O5IJC-zU@7ebFTaW%{T3%&-{gFZCyIUpcI*Mp98;zx$iW8XaXYWE7hz7UX421NU? za9|7HI?#M1AiD-+r+{n}koN>+13}Dw)+}hMYI#~0ryxrhM^^?m0u%fA+i5`b8BXYpNqZ~?SG$F{b=5KMRce#SJh30 zHah92gn+BjoL_M6q+^A~Lf?^m;LVVqgtRz5(A-2_dX*9JqHjg%D?J%e&L$7ep4E$W zIJS_7bXbH{Rp$qJzD0GVm-&yi49uHD*RP@jV+5=2;Y$%ZKb$?(zbwx;1D~adgc47RbQa8{a>%8@0Sw<1Y=ys(zWBk{DT2_X;O7i{Bqf#w?p=T2IzNDDfP zprE0XoI_e*q|{6JpD@4kP`2oe)8efXQ>Y#Ytr?(vp% zzyowFPbqU%ogkczhSXeWKAX~%n64sR!JQ>s8h_;iVrwA)GL5E=hSf=@1>0DesPlNG z^s2OAl@EROJJ4(#S|A666g&qE8Y(i z85!94{3@YTFV_Im39<8)T3xxQPieMY&J0m`sw$qFx^3w1>=|NuOwi$BnbC7h7of1b zHXa$J@2ZV!A1!GP3Y^aiEwW?Z0 zEK_Nk&P=>Ugg6=BP)jz@|mE+lc`S8i&JM_ zT4LgnJwhJ5PvQhR_^PUB1u+RxO5ieNF`)sA?{e4;Wf5X@U>6yh28ghvBs_mY5IH^VR$g)xLZO@HzBsUJe>p@gk?HBqVP4P z8d;=ZCtX+2RMjaeFg+nNpEj=`#{#{rJoJ<{2--LyXk(S2!`}s8%Y~VUor5;MN51r8 zc~%X+t_b$LB6)$#@Cjd4g>Qi7vFIew;4&TFAT&VXYfAN@B26hTiw~u#sym1hZ@A!# z>Rf*5A}L`lO&)qm%Li>NBIS?rN$K069{ecD*q82N>4@xHZ%K3D8qkV z#MI6yHJN*<2QlZ1Sg0>r$2ii*tCM!5MM6HNCsTvN`(Nq_B&ZifrpifT( zp^r$96(xUD3SLQ@5OQCggp)LY;No=G^iqVh8tS;+LY>l_IyGD2Oy8*yOEAvrZb&>q zH?|6prii!3J*6u`oAzDQG4L82`4Ib1U-UKD9@A8%}}7L%gPaUM`&>AiYS_MtMRJ?Yt{!dOLTw+?_X2J_B2Y67@V-`e|38 zN3_fh}t2z%vziWB2U(YBkx>F;EY7QxCOGlzS5JC3=l+18n`eG zWy+%dq6SfcATR61zHSRGJ&YcBn&tJCp6qKvpjn&Te7b)ma7NeM)2tKt==m+6Ls*^k zzx12?ntfLZ#`Am2bBTLfB{*$9O=ks{VGWe#5b3SMXpn-`vn$&3y{*PPJf!=yVxo+k>Ll>S19*Xo?JUNr7X z&=b6&CQh&41WSmyAg&kvW5@*)g63}v$b$jdBjmwH0?l|q%o31O^kPfEVfQ(bQnNhX z60i!_Bc}y*p5`^yDQRc#V1p;;au zui16w@B)+osv=Gc>=s1afdZ+jPZx7`owQLg+to>j5nZk^>hFZvz+P7FT^L67FB8rt z4{9R4Afc_VQ<{{X55Be{$2?vsVU8@9nMQZ1v*!_<)(fscSwH2;U4(~R@L$oP`8qPp z_{5ca<<8AcS~H}@+(G8@>*^`=J?S*IkQTo$_@uM|r72>Hi({&L5DXctRh^g{)r-Tr zH_WkqE6B4%nB^QJu}}&5yycwpa9GuOU6@I~ub7LhssqRpe7xeSPV8~^)_Y}U>j3i&4dz3i|$gknqex9{O@$u+{Qp*Ob0bzH)7(v{aZi`$drF*Xo6yTyDHK z@OdEkx|FD)Rd{Aw{k+gDnSJ`eui4HX5Ang*QVc5NEwO^c}<< zC=gm5N|Qi24SzKiJdEZNddoR?(%XY=e4wDAx$KY@zYH|bhBY4h275kDe3?80Tk-pP zC^z0J<2I(TcExX=?(K@-JU8v9*@T{)o7xq>tP*HrX0P}?v1n&Dogek*(Du8nD3Ll1 zUtu^glpCuSHBr;qNBH8N8Vp+tR*U&^^&tv9r{U0ZB&Bx-U)vVtA)Uu4G+K^z((Og7 zl2+*mTcwFISJhVvZKQ-9eDGnuPAOzg3A^*)jsUn$`Bg#FNjUGz(;QTA?xY(+of20h z-czJt)0gQU3oB>#4JmjLgq4d^C$0wnWk|udsTX>(ueh&mA&P~PF%8~)+|?aV&}5Mk zgejsgAh^v}j<7HMPKze@#q#Ee@xecfh2kFZVSz>wrROJnnQnj?pBOq2rHQ^wV;Ytr zQ}+cIyDW6YdPkmBFZ5(zXjOWdKahz8noim|i$D9?G5Gpb(I)AnxkJ97=4!1Qi~GAM zEW9a_(v3wKRaJYEteys|xHTdy&tn0h0hl5z%}f?n*3hf9QB`qQ%iMfa~;cENZ5G0VQnh>n+^-zu-M0DV+ zVbMOjq7RbN1Egqh#l^As0reETucr%JrF}`E^gF7MIi=Zk$3gj}d#XK)z7@?E1ReIW z2~p@VWo5d#VeO5r4I!iE)&Yb<$|vxs3`Ga?Gf^FyK5sa0oq*~ zxi*Gw*Ty$PuDmql?mL6^9vFHzahK?%A~$u?D9HkvzhZqcrTS^8FHm>G2Rw6~LK`Vu zZsPOegt^oXUld;&R!Uoj+F|=pJM3JvQc{Yi&@2&ZSPdCZF4=lTe7s6unJNZ>Gs04B z7-x^<^6**rkV$DW!w`8a9YDu%|Bx{k1oIbg$Hg=lvFCS1z9`ZuO;xRw1qWYrx^hUb z!vdeN%C;Mp?&~+sN6}xzN#oT?7>9(rAy{#TH=qc8N<~A1j%GwE+iXp3zlX$^^1;(C z)`q>SHh|=zo3`M>+Q2`(`r;F5B4;4D`{EpZ<(#ubCwOY|3}@RwY&l+~ZB$*`P?}D# zjg(@);LAjwYd)o~fPq{bR6!FZL6X>{BM zAS2}n1MeB}VcjE~)mKU{hZepBQ$JYd9|T{KW?%?GGVpm= z5RkHdjuv00Rg6&S#WeZ_9H5M>s04y=FVf4*QZXU_k7Z`o`Kvjn`pg++o^#0gs5_p$ z)088V1GQD!9#^Ce><4jIdFTt;@@86%wt92$6>Sw$c3!J!;UgSe9Cz%t;CDsz&1le1 zQM7lBsSo255u~7(aA3CjTZ)C}Gdbwz?-IOeHQMSJ;iEEBEu0CBxOWmAM^uFM1R+Ys z`YG2H#4~kM+9vo?9vXW7KK0besW|kn7cGa>@U18cypWkXb_GJpD3G$oPw9YAlI#;K ziiR!TSo$%;%HquxSi`;5L?PBc&N_ z35XXYKu+nTvoxCY1X5Mk(5)cnCVj(mY6vY~9e}T1IM1#$vDE4%rn91+y*(oqgMq|- ztdTRcF&JS*&I3c5Wd<)?oOfo$6lD2SLVYut2OF{Sr{qjuCkZ9VqZtwF@QHx@B_MGo z{q6;gi23|6v}x}&5=pPe0&)#aT`S0Zw!#t|xfr=4%$iLOq5ng@(35?|{RP(q3CD!c z_rMgmT+HVteWfQO<>}lhO?gdU=vm1kl7ImC3s zAmKLy63>~upwLFDzp<+z>u~vC38RXbcG4kXF8ktOW4DC#dXcIkAJ#dcm!$4^vOMn& z@%mCg;_0Tx5RJFz!!y?4O#64{H;BW!?O&yYM~1NO4#@o>b^b5J{S!fBmxs{r3;H=V zgmqd7>(7Da(145&@v@k!o?i}m`8mp`UWbntscfq(z>jsfc!>LZv%r|a2AOU!C;MY>I{X+!RW!Oz{%aO$$CL#gX<81e(i3EH)0I z?-xcPMg=}AhnTJyXyW|o(LwW>CyCcR*`OY4V-xCpDjg$-hn*&qvk$v6%^PSK%lkSh z*v3s#6IlywG7V$pzUT}J^5yNYJRPnR&LnI)(QZP)nf3u;;z}--c`})cN8)L#zX&-c z#_RK;j*F+`#*^`IrY>Tt+DPd$bRe~ldps)-@_Ju5&CeqmC*|BpI1y1%#J#F+46TAY z3C(Fzy*|n#zt&fJvJQ2|5Dtu-6l`@Vim6^q6VJ?VJ{{Nl`jx)YlldGRWR5GZwhX>> zjsp(NC-$2t>lE79Hy~pI=Uam%#PwQ7h1y}azq={FXn?hs23x#JUp?;P4x9T^+HefbzsO}7YF^s(>uQ!B-}2PW3h}{sE4?}5L#aw zhO~%hhkiEX+_EI0)w3a9<3me$==A)tP@l$g+N0(d3%OumTE*G3dU3i(K0~MHRYU(Y zOD?fPs!ogC)Xq0?ig9{nh5*PwH@_en8>tCOPo~j284irJdS)fDHU?{6ZwxV2U4wH~ zoyEb_zd$a_HEmO54E)dGO#(9q2=t`bvQrD>!+7_y?CPLS&e*DA*|=2 zo7d}Ao;>bN^Ln!)pInD2m3_}t?G@~s6GD8Bkjnd|uc1~$5@r1AZ zU6I)8&Z(y6Yfh$V=k@abGAzO8i{?2pPXnv-NaQmkk!G180WQu3ZBEI{AJz>2LO=9X z2)Y$zjg@l&DaDpv?0fD`Okf+}#hkHLL@yxi$|A3yURof%uH^B0jx7-EZTC5Mu+FfZ z`745ayap~$uETa^k=KU4;mM^$TduNBSQ}A45ouc>Z40D#Yl-$VoDr1K+y*FP(c8+R zc>Zc!5fo2Fem1P_8Q99ApM^Cidt?nDPSfMUh~nB|#miG!BT0MExo~7!8Io6d18pgO&U#lvdsSq$b=Bn*>wfiJt6LQ1Y}Y`-WDus zU@N%ZAM`vn*z;n+o_`iXk88~S8f1+yix z5|9{+$Adk`lZqpsmjdT+20ahQm2#g8U*=tbwnh2A%zarG5h>V7=Y%=3URJ?v7}k@- zIp|EyQj&ZkgV=sHke(rjIAVWqNUsAe>Y_vX6lC{e&b_RM+#$?qe!j34+T|B$9tf5&bJs(j z5@t)E3KG5)W>~NrS5?LuJ78{oSBu&qX#O(+85QQBUkhv1X5@OvokF~@=TY+yVp>%{ zDpI+V77a7^=>ctr1YbZPmc{WO9o3gFND4!b;WZDQ~Gt_^V1Mk+?O3!!CltFs+h?k zU(7ixWA6^N!+JquL$@+^*KBbQTlhOePB}GLLc21S^9pOMT^XBeV?`}S=*jwtWkN42 zW6P4CzHUtAyf0V3n=Q-Za`nPst0O~wTCPqfIl~IBZF;C3b_rpf9pZjSsGG31(8uEX zf)AZw8Op;yhjzlgA*^g6hG_nW1?QB$5PZedxi`f9khrJDoiF9hV(AP>H}q9BKLUTA059~2iNrnxAz^qS9c^_=6JLfkj8 zUTAgis7&a|p)V9H>dp|0%>(l5z$dP0|6XQf9M*E#5mZp$`bN#fhO)9i06it z?Vps+2z>Sr5|$%WDG^CgVjmcgsKY}-Sa$_qS#=?)6X&gB-|DYD@EI9sq7F|EG`++< zw$K&}eEt!TtU)9(T`tgM!#_e3OVN=*tFdL3O@9fWtauhAo1hcqb3vXgD50UoMPUh% z=J^boS_u&63wl|7eOBNc+pE2BUNiJGV_wcWz7qFdILG}CgC%pznG>HaYUs4_qcGyC z-?T;eQ?qB5EthcUkZAM0gcaN2z4)4wX@*YEb7ciRThtw)T>WW4Vp?1m+P#tHj;!eC zux`mJT}FD@+4|0`Dq>#`EMuWDf<5wzF%tK&UL0h`u6e!Km%ic2$O>UbEbjN*Eu4+8 z3=KOfuc>kFrAEspyQW$K^ulN8YQ}9fnq7^>7Je`FSNw9sdjjXd+)Jg*IdujmbF2#n zOB*d`P9IL4wr0$=p_Ys1)XJ9Gm1gK_#!qJ|o0?X8DaT^YJu7gIvFL?!+jn3^a_S77 zo`)mnjYHqz%&gl#HG9qsbI41QX!E^<-u4}Go9{(qL#OBe%Z4i0qT=k*2APOV^NrA( zh;#j!C$YoaV)OsGHp*{&=UlXA{CEgGr((``hE)xbCL-Cw>Z#_PcaS%X0;2SuG$z~W@>zor@VlRGb_dNkqG3LG;|u?$=k1ro z(Lxv;o`b`M=W{fEuhx--p7G}?>gD12HUjt?M0k4w>LIwV7|F7<` zsw6m5jU@q}4auj5$?=?4n964pl7#sH*^qwJ#q@PFxv)0i_EVxaDgx+IKlq-E+3Y0* zL-J478djQT2(b|VAv9~%G>9|efq@D1b_o=IKygPDDFl?d<{2$eaO3_k{^154ZZ35c zU6>F^M@x^hnC(M|$$62`e64LT0U$w(`p8#^sJMckf(8Tjin_)UnTI*q~1Z@ zY4r|PqM&5qOKU#7SvjNVwAwz<(8dx9R#90f-~}#LZ{rP>BwQf9m2m_PpoNvE4zHp_ z2tYM#-p;9UL5MMiSYZQc&~jsm@b-54!Sk9L#XsDO(oa*Vix76AsDTEpV{0!hL{8Rn zKpP!2zp82B3vd6XACxv*lByU1dOQ7?&npQ5&0a*qItC2|RRP_cZu5!uiTPYSqcORR z2KxFi(Lgg;QDP~$9wCtNenKs+2&lD)wXKFi3P@z_RrplhGK!FJ!y*tGQU`uMK%^F@ zKanfAp^eKL1)WQ#CS3QS+d{(%Rmj4Y3U`FI zZewUe+v3!)j~C7Rm=1l|(85nC-gw^F)hFIIr5n%N9J*1DDD=5PqdwczYqHb+_n}}p zNFUT!c>b=NPSE{ojk@pEp1=VT#k|*Y;Id+N)&pu1q`cKj{k4ad1J^aPrXEmQY)n6R z{>qga{iy+mB5sgq4Uvq$2BP(2f6B9=kgUxCY|*VAhOZt_ru!Che^b+QKyJmoH)T@m zXEe_lU`6(fqUmdXLS_C)S7wwjehy=>XteBtmZ3TH_04*KfC61M{)ac}2Gl(s$Y8XX zESx?L=%<0h!20}%!Sr!Jd^V#WJgwJ-w{7V5DDe#pH+vH~nH6Dv6f}K}41gD; z$A$mseVDfCc$r$;yps;@xWN}s@HSe!4W>jm0|qI=bIi*9LHc7cL~5ZnTbj(m`s^?C zL8EfRj^J~1x>;_7U?UiKo7O1DVA6~wW{_uZGItxdIG4m#lr@dC8cjDegOL?O*CPb6 zo7Tt*IDmFw9N-%_Ejg`I$B(zD>llg!_~))BDfW@T6{9GemhgvVwu%}pzRL*IN&(OU z!ELi|8gj3+y>dVruTDRBPHRL4G;y3}aM5X%4ba2dqF+B&GJWF;;Csdp@P&3T>y78M z;s%hd^3LvQFwaFQEI~P`otOkaq&NWLwLTouj8gQab51tc-rMCaD+OrIvX*@ z*=N(o0qqZHhihr@0$;48^C;Gf+#s$8kt<6M^rwRESEER8+-K9xsgJi~@KfXPfm7M- zjW>QERJdJ1T=2wt7`8fF}`k9(5ME;YC6zzp9BAJ*G^qa{*Cy+nIOyu?$~h&TB})r;teQjd*%Za zt6hNd!E5-3`;T-pj<P&Q%Q#s&-OXww(Q6F z&+M@A855ig{!@xe*@Y2-!DNvva)v_kq@KI)`mKlC?NIT-7q1EN@J1$0Uczt zxZn-7le(DH?JIA!4C|cJhAO1r=-!qJTH_$9c zKY02vJhW+n{+2@Je|VdheyoiJY0Qmv3@Y60hF{#i8zY{J($%f@r?Fq;sA7s8ig<1Pt%Xd{tZIR#}UL8K6vH=^1;V1gwGz1 zJCWA3YZ8TVxL45qYE;AgF1>N80YR?@p}?p0Fs9`bX}=u#gl%#PLO!6c&<%7Ra%D+? zBF#a;=P}_QZd{N7y<<3>C$j%VvhLt)$2z1 zkZ211^g@f!wwKT_gJF8WcpPNloqphn`y9H>3V8HE-FQqx-`Gv%!AK@tkV`D>b`q{v zc0&dYX5^HX<-yzZ(ITG9P$-B|FRjk2Nb`^#BM+t%oT35r)~>vG!v(%5QP~}6w^tfa zxPF3eqt*WyQD77?UYdUJ9OuxO>k+p#iDv3?>whf>8FA0Z(E)^qxExKopB>_YwEJI5 z7d$aGZ7I7eAwW@{8>)8yfI~ME+CMmS6+s7+7P?=8LYcbmea~#x%_BIY9r``DtFtzb z%zC4N$nQv{Gbokt#J&d04Ka@(4M+89p93rBz_;(dX3LjrxZ$mbfsd|f&D0+&8S2n{ zS=^2haLF-{F@jc;oV(}bkz3S1tI!Xg<6J#7kha9|wWwSV(_bGCUp-8_P0+@zEj3yz z;aV4ZXj>oyZ-LBO?P}BkThWatR$f`3%&)xC{@Y(@e0OHiB3#_lyZTG{e2^l=u>n#? zzW&9(URIIKYJ4C!awjEGJ+5P8lt9m=8>2l#KkI|gu%-y1%}f~}2Xr#6Ss>7%J=_Z| z(tHW}!LzSfAn*ds>hhcxE$BZ;GQf|G6aw{Qz;MU?MshOSwHd8E%u8r&)yCfkJ}U$% zprg0YjsM%w&HsSjAewt;7X=MYNtEmSULotUkE9(fc z!|iPj)&&%6uQ~k?hkNM9($LSc9Zo(lr?ZSpLp>92NkWa!Gt zp!?B(3v)1L>%e_r^L*3i^BVFF-F~#L%QW`*o;A=t-3;bAf`Zm`5@}(rn)aA^WLluF z&<&Sq%{+n@vxuM_I0t;!1{|g}$B5AKolqukoR0+U{-iVT?Q7l;ngnh0ekec3sI(W8 z(SfgD|B9Koh=Mmz)Ndwlq=j{iq8~hcxjTLHir}a7Hp7kYxUS9+3ty)oEr4z=&uP&j z9r~Vw^!??TJ$D3oalv+m+kCLMGr!VA)2-Jw2GSUHIG%$!mk55V3^Ts#ioD*|zBn9^{Dza*Z%oE)^R?f2=}|r^^hXmUi`vp5Th@V zU;M}FN&W{E2ySxBS_EhVDXp~=W-Kkz1|iO@z7n+aJJG|;l;HxB0lMg`KLkBN-e-HH zMT_rx@pAzA;k*xYJl$;5cmrQ^LkQ&?Fi>7jT=3MrmuThM-pg&o6~>HvEZr4ig<%+Z9?JtJGxmiNcUYbT%fR6wppOCaiGr-X0({?1lqj*5PKGl7Brx> zaRKl}Sq#+gUDO^m`aZ@1>-#{sJP!Q+*mF}b?v0J$>5@^ z&@h5v@<3*ULZG0~>L2Jv8qRrEz49xu8&m{5z;cLcTl!r*tTpoev75vOA) zWoC4oG=}(AhDm+1*vQbw5b?%{kdJqNQKSzdVAcx`6p2EgKsOaSTNMDGiwOE0`C(pD zm!ajrHB+mHnXd;}DQ@gxg7w4Zk*B{(Ms0njQ>pBPEAQpvvkcfD{GK4LXsf7S%L6|W zSBzqE83;cF*8PyB=)cj%T}Yq>PvkN9=YM$9ePA=P9f$gw<$+B2?v2TQx|F^jAt||3 zhwQi^t?dy50zN?f$S&HGK&?gr?R zNlT#JLpWUMXg@9}_F8g`!4K!b;JJ%KFB96G9eR16==&Y*`-v&qZR=C!wa_L~Xh2tX z=;MNpa_AF+Zd{{~5%-_yhc$sWpT|}tgsI?mV*N%;YJc04bO^bxc|fH-UqbdXSQiS4 zZzWiakSoC#y-GvT;{>{)LvI&!2Zv&;4YZ$i=nsZ~FX9LLfp*YSW_f}3-BX@c9|;^5 zpnEX+BIn{pUmInY=FI&lFe@=fi0e;KnAN!)GU%Sa!RoIee&G8O{UD_VlP}`91@T23 zpQ1Q$NC@q0y3NudgSo-i)ZVzi4-UAe?N4L36on2SD7mbsvA*!RvzAS1F%kyaYaF_Z zpuRk7o++6%`9iOYQJjz(*4qR6If^dOlgZLpt3XkALML!BbS?RBr9EiZ6BMO@(V}Jm zhw)t$Z~M> z{%xLDhrZ8_)H|8d3C}yasfRc8jPSHRCf>Fe^w*9Sr%%E4B8Tc+{5=lUnY{@P)s~De z4>YtZ9qk$t+Hl~De6RvZ@ACoB;g<88*CgyKX)&goLNhDp!~Ss3tWlu66dRhdZN|kA zA)P`gil@~ec-w?-JpC7oG@oKdi_3G+XpiIkE(+UNh2COrnEvsz>F3a&5xD-)wqs1( z=AN_kfE=(Q{ov_aR$CJS^xAH|z}udJVn){T(7u9>>7vc$E6^FIU&y;W{ovV4`LKn= z;`(kZ;BzlQdnq4gT->0^=CY)fVNT4)v$j1%OGP_G$6fj}8q?hK`d2r{g6}{^V`ewL zu!kShjW!I%*}1F+iW^!Q`f+xIbROL^TLzs&fEAh^Fv0;n7>d$oJrmI0;y7Tm0j_W{ zz8Ka8irY|V9thg@hC(u+a5WS?JRq%gXnMgq{zh7XrWd7~bp>=!QWQ|Gji5E$m`7n9 z;;sKPF3VCP+IaGl!~|pLn1|tz!S(NgE>)vIa6d&qGd5(kADZGBHnTd=)DMQ4yql7u zVFe#?b7?y7=4aUSM9dF4T17pFvj>?kas_Va7&)VtSt#{`_EfKaV0ZARyTf=UNYSMw zbVDJ}4a6T$U!TsJ^D2;YIL!kMM|oE!9YbzEhOwof*O9eYc^g|t@VT$hj&Zc_5p*#5 z!q(TNP>?@nt$8*`7W-;IXN7ryWhj}DQa;b?oQ%ykf@U<`c>dOY=%GJlRIhy7GRk@e{aK~ZTt+pwOLRV}xs1BZl~JoS zmQmBsmrq77>&@vK`=7c^PCsAt$HCv1)zhzsq0nz#n@T;8J{7lefuiQejd2RZ<}tc$ z4KYqWhbvas!S#6j)GcKA{RZ_C;rg1mey?`b*78@y72)w7npr=*Z@Ks#S;UXOeW>x% zy`j?+zy5^xHIfElWA!!vgFas(-2#0x-BwN@8bG0WL;o(c16#k1&}Ib=usFnRP99N1 zTIg=rIb9FBBe$U5*?n0lUMl0 z_<-%U-9lU^k_%jUR1X~BXG?K~3+`*^=Fs4}jkv<*xeTqtqc=Pspc{TLqs(PC(A~t3 zx?=CI$%_37pwj)j*U^7-#n=E`cd9wknie?LaYy{I<`Usy9Ws|XK%q;Z7~wTqth@$_ z(IrE5Z!YG=41G~(5wf9L=lIcO-OU1x7_HV`*mq$l%0|e*sRdbaqr3*nB_{sizMF1~ z(l7LuNveT0Or!*0Jpo-y(1#s5 zO3)`9x~!o8bm*djzU0t13p&N2BLsaDS+dFS8VLf)7OYW7g_N8`cbl%HgxaQ|75XG| zopU<$V(EG;xxkfFE5G;{>&xyrB7(pkH+ybcgUax+r3SmL>eO+F?DI zc2Ht|fwTjg;M4?yTP+1Bbaw;+)>HW&*&F<5{eZoY><@m+y^!#0uIiUq)SMrKV;v^^ zu1F|2Yb}LuLYu4ZqtqJcQ8?DJ!tcuB7d<4-F+lad|4NtcjT|mD=52LMkP^6|A^wNA z_3%^U_){yatCN>W%|N(*$3N&q>rSMB=?`2%0sR?@HVjZJMRE>YJ1bvk6aNvKaX@(i z)bbxVoG<9!U0Tqnz0J<)f_TnN5k+eC)#d?RO-oOuWdx{i9{~Z)qV$93qg}1yZKSwP zaHx);-|5iJgw~H%ZY}8V9qkr^UhmNN3F=4NKOpFDy0nn_1M~(7jRVjvh4wl}yOp40 z9lDL67dtfW`2gP!3GFW&t&WXe!55xqjt zT^;SE1EAf}akx@Ac*%Ak@sO9+qO5L`-ABp*y1hfM7L@m%LSKm6?Sii9Xde`GJ%=ja zr5*Z=&@SiDrvzQ3MiCNje;O^32o5)t8cc<^gWVgKh@gktp`;naum7tsl*73q-QG^- z^91$t?>`sx!;V%_&jIBnB(uH~SLAG{dEjgm~3)q93H&aOl%P-oMZf zrv}~-3(LJeFLQeVR8yl=9V{W~i~7yhSFJ2QkvGiFb%)>m4%OMt2OWyD#S!+O9XeW2 zKU1UgH`h4YorLyR4qa7HUmv&fvbLvBzp$aDNZYWDPtnaO5A+N{Kj~1Ek)Snu04?kR z=zZOE1G)J4)MJ*LG!q&s~Ls zZ(V6?#acn2-CJnSbsRn+=$Ty<(yvKx@PoRDV*#`sLttIBV+d@wafAgnbE4ReFH=_| z7r3GwWBEeM-_=wP+=R(tY<7RpNilViIgOA1P zf?D|i88#Bs#{y*uT>W|=EeWhQ0~)PGe2ue$aA@UivXbf3FG}!*$U0Ek&FQtsUSemq z=MgsfQ9;jfd8n;L*cRK7Xx78@jnl)dc zuA%CWekV8?noC+uAzv51OXBABplLBJ{h~C+dML<^8E|WnHqU_1BcaVKTGS#hkerbF zKV5l&zL9RAG&m%&06MeB+93n(;UX{WKu?15ed&?p0#|RTGka_s5-l&14F`E)2klrq zThg3977trM*?{#)`2Tjg`5#d9+km3~VkmlOK(}=$M#v(qj>BMl&N$p5v_3S{`rv^5 zvqr0B>Z|0+C}>c^qFlAn8K5W$fZpb4G2RChyMT?udj$Q1LoxmiP7Bj*v|8FPaKlQ>jcrxk`kI3~h0J?y^2sBJ-~wOB2|!2D&AfqPYz1gL z(=~g}%pr}3(@Y}d-H1#UHtF|e>uzm7tE={P|N|LoOq0G+h2)QC~$pIT>bvaACf1yzAmnO5)8QF z#>pjc{g1dF2lllKTn{f?PjIe>i0fVHo2V>)yNe%nokZ^@#rB2k6V7#X;rhIDMXLi+txZpa%P~-? zmL(*29Y^mLF2)q$ihaiLdotaer-33Z@O+kBIrh-YN2wkHE#k|xK(!q)uS0cBi(f&5 zbv59yxT8fV{pGuWHC)s#VS(pMNCXyZBb#ZsiCh3?feeamK#>98trpJi@L#3 zZO5@~Kr^_p(g!jyUrn1O34v5?j(~24XO4j6-=Q1neUDoOunr|W(EA*^hM^9%ZlL+26nb%)L+D317G3x^(H>WJ}N z4t<@Rfa2@uM*E7O^E>oKK^Lx3%_k`B+k7(oYmU!Rs=`iCp0Xv7!F0dUi@L&4)FYrp zIc+Gc04Nz_hQdyO5}2V%%e5s!!i{#()MfB1GGVn*2Hl;2`pP6joda6b3WhEsD32GY z47`diKlcwlaW)v9htSO&@OC`$!}F^E>lozdp$^sEOW$zlF~Y(4LXKnGw5YW?Gt&Zg zi~C?o3$!BWQKvP|$>Q@6;Cc)hJ6zG;vauMr07XuKMLbHk`B{ljxFV;SAGAIcg`Xqp zaKH)o_az){!8}G7yTDaBYP$*HnQJ+k#I9=ZOOOl*k7J-{+hXMWtolZ2V0N_x-dk2iWjI z^oE#U>Chd8??!N{OJ&gBE;W?#1*)qiH*~aj2<=sl?+!!{vJD*VokDAI17D5XHI9So z>}rR;Px#t;PH>1bQ@Ol87Ao1V9N!NJ-?f~)4~e{q9yQ4#!cWN~ARe3LVaoepi zZrc%x@^nKg8cl{fNIO7xbm*@IUBjXG3+m5AyGPJf9PLAbuIjYiNp^peU z(xHzDy1YZ55cJ&+y-Cp39jYh0z1^XI5!%HZ`cFZZap>O#UC5!jM&7T6SM;NfR!?8+ zW!<~3vTy!US}!Z|n`OYb4pQD~23S672B0>s0~F&$K$oN2Z0B)7S8?bhLDzQZ1VJ}& zDE7pGFUoi0d#j-Da_EhMZsE}D1w~0?9I#3dd{KHBiqZn;M;v;dp!+-YCxRa6&>sr= zMTedsXzY0)v{t{Y^}*Kf6;htHBTR!k!bF8zT?anj>bKSd8eerjc6IE)NAOg?=ntZ7 z?WJ9fvJ|u^MXfy3b19nJ)ps@0qI5P6s|w%y9IByt4k(Qw17;PCgSHW^EeDz%gx2q3 z*i6u|jU24y2oBnE9Or1a6%M|Ix4WPy^-VHe8{X=d)2-F-@UdTvYb;9EjI!eOu2lm? z`w1voPW%rj)?EUIrH17eoVE)T`%MfTE$9sn)x7ER+g?K3%h_7{ z3GGiEU$hV)1KM6J1LA~zJV4tKa@G#o?Uq9A2s!HlMwvgf;w(E9RWQ$c-8LE9zGZ4F-teXHt}v2?9P3LteD?t81uhq2dwycb5+gz-R z#Y8*pssy$YG7_;8vuiUw3PJ$G2ey(vGrI;1JqI zbmQskd2L7e_Kw!*rF z8GMg*OF^%5vCy$A-$v9n%q5OiYiU37)LhbjOhWsa0n+`&qvIt8M%H9S3c%`_NRA;~ec45}HqAO$(prw-Va1 zj)RV;`h20NZ^iE-9Ika7G!He?`ZU&(;55f!6XEM)yqch`e%Yc1re9cdyS{{Twa!Uc z3mV$dnyg)4!gl7@tz0I#uw7rmbAU5zeFYm1QW#`635Jy$m>G7_?|zL=GD|^md`esdYxH9DFR$Mh0J79fBBRbqG+j zk&FZS@j!7KidA$#tn|d&f^^SCx3x=vA{0F5qnrQX4HusOBL0RVG}QApCI*^z%^qv0 zEmOHHy_{?UR??QKTzbxWYxbs4KAxLW2&DnrF47CR8|b0{>)a3YpMoyu(8mQ`#i5e~ z#Yzg}fRO<3MIXx0b`2col2*U0haZZSe9>9S34$K#&~FLa+V9Y;F>LkAHi@x2lwA8e z>-&kI2RgJr_Pahco50tvjy|UmU4{x_l*7G@6QJA$ElOM~X?0$@c^u}hMp~5Q#-Uv= z$9iq`%X-*W?97h}?=C1t8O?Uu^@yx99WnH?1iCU~oYPsWU)I?UVo`psV>3a=I-Rxp zWu3iCv}3(|)Cj5_KQi6wmvuHL)Ng*~wRvuFwAhZHMbt9Nm+N~8?O?8Zg{A5o;Bb%^ z<8td#DTGn5*Sn<=Q1o?y4s5+LIMBwqLFWto>N@CN+LLp_yq=#0Z?1WD7Tm9t)bYNv zx+xF+YHPdIFPE)DrC;BhX;)RUw$)N?t*Dr)gBnJoZK!W6>$-~O5ktRDSJxn)?Q+1r(!v|#P_473 zzlYLll1t}a$}?S`>c=uiOA7fBmn{YL>r-_M&s&MM?Y%u{>(!6YH?I{Qymi~H9@rk* zoW*+ZHrbX@td$v4+M|xgy$F^4SSNusKWM$+n=|%Bnp0_*5w8ChSDZI$XL;&5pcspU z-$&tCa|P!Wg|oVT3nX1v{UVLJo>;qrJ^bodWiV=Lr; za|?ce!VkW7V(~kIqMf^fqsf?agu}_sRb_llIQ#FKl;2?azVoYJ>ruZaIoHpKRC$`1 zRBf8%DK`71$uZ6r)Iv3%_^&VNdBA<=6SjHHTgtKTd~y^?Vfp0fBA<8}k1SmKwsmke zYd%K&YEJN_gmP9_pFh7Se(BLIyNvT-<`W-Z)nJ<@NJ>rZTw1b;}p`D>vj}N|olFDlmeBy{(%0o zlt4EZ*NOBWe*aGIQ+LJJ%FK1M!tb-rbtiFsKsdkPTw$#UU%wYLmi`;(W$1tIdcAX9 zs&Kubc7@%;&l2+7ltSPf0rXx$w&}i1j>l8;TQ+fMT7bp;}M8$)VczLl{P@ z=zI>4Vd^kw)XGvSi%@U6&FT=mdwZE$F=tT~pBe z9lE-p4?1*JK_7DH%7RXI=t_b<;?R+TKI+gF1by70%L)3VLzfZs?+#r`(5D@`grLtl zbTL7nbLb+1zTnV>1pSvo7ZCK{4xLZXmmNB1jSl^fpmRI)RYB)<=*xo6=g@x(x`0FfCFnv9eL>JgYE*Oni6m>A z^C>C&U2ZQWCG<EGWuvE6pj<>iWRb4t8j;3f!oD z@?Pj;wM^Sw z&Q#n_e#{=UMS8m9@M+=u<1PwY*OMMDbLh@OYcU4x`vh(N4v39cgH~r&E_ZzOG>>M! z>m!-!90(3~QK1FE=B0t^Ja4nSzj2}I+S|7}zIO{>((Whqi{} zFj+X9UbWMleE9edm)o+}<)uDF_t^a0-e)(iONBdi$UDu)dJrvL30ipF@Ntq<*Hjcx! zg0AIIjm0R3J}k6bIaI%>()|4vFPVN1Wd+AUzq8`y)vvm|*U|n_WLVarPYSxCL;oh| zk`8@Z&}JF@zVXL}c5%ny89_I3sD9O@S+YePt$yp}Z4SLn_%7{G{Q`{VfOZ-zmAuF= zteP@Fjn3=PmjzwGp*Up%92RpZMlyh69KvdbCk0)>p*Z&!v@1LGAwf}F8;1u4UCW^p z1x4GyXwe=4-;Er4o1k`@2V#uVJV0ybfdIuA9Z)-y1SrlV0lFpK7TR@!q7FCoT0v1; z8;Uv`98hK(it-#N_Tm}(OF>co7>cq9v^Xow&~pU+ghS61^pg%fL(tDS^hbgo5GS2IyR#cNpgNZ{*`X_u3tXGO&NR`{V$=(?zFmS5 zGN89R+6@KuHCgjsL~XAX|(yq@1bq@npXm(Cht+|Tr& zGaxoE0_ia(h^Ng|;B9X~&vhL35%g?_s&?jr$2zCrPlssm^z*Sw>vc97^mO-<#%epw zt>RaSi^=e2k-?{?o{-es()E&QYtXm*FcJ%SeLGcYn@ez?LdwCTI{$W+)32fzJ5)nRk)dd}R?Z2LIxXFcv zxd@b#Lsg?U*8zTHM0Y#;dQ*4f`kFy&r)Cc0T-i}P_YiaLm5gn^&u8;j# zg|-QNeXO;#r`2;fZS?}uK=m+VtVHd>uUOI+UVp5_wdea-gZ%f5Li6`>E` zK2v+>CFQksRmq(!%T8cA72f7tSWNE!W(B7;M|o(Z$J5hEbviuSxCY z-{)wXdk31DzU8RB4sQ?2*V}_yiO=1d13ayU<|Whqh3BB@cBI{d@n)2SxunGXy z=krCol8?1A)FY?ODc{-|Yv0@NXtC7ha>v(XTEF@J(6+*1oXhiD3+l_L=8=Ep;Cnv1 z35WaXX??wR#VG4SYo~U_DA)7ticwyX(5@I|J+v!ESr0R7#poNT%wAr~ZS)7Y)B=iL z0s86~pEDHgC!lB}8T!Y5(4s{S4rpH+2aF5>wKbfO0X+zyXz3X(Mvj5nDp1g(R|^!a z7Nf-~(5ch<&|Vc30pZJ0jR-+8q(BhjvE<>j8D)+*Ep4{f7Fd-4Vgs`H^Vn zAkqofLD0^rf_}lF?T!f6*+kI}M)*u;7*&LwSxXF>Y^{%V*6zn)J+!;QSP$)PFxCTB zZlTnemu@RdUKSKRD?|S!=wc3iR?uY}`lO)kUPRU}R;rjL=RE5>k7AGd$j=WA-aOgE zWN)V5l_fMkBc?M~6C5pSQAp-zKvoyj(<%qQwr(|{ZJuLop6&Ci3{(%Ott}Qhd*(Ul zI;Q3|TK$<*^Ya&T-YVOno>OaWl5KE!J6Ece5U@bhfjjv{w9z1g-tsorJ8jw(e#$ACYtO|jXu_CUDv02zN3Y&A5GGAuRe_x^=;2>g~PbU z&}{t|ouq{g0ueZAc*`j=ZQ}^08r?E#hg__gtVwc8RJDuiGtuNZNXww3DUdyvr z>A#JEv^$vDIy#hhpe5hl(ekXhte*ankv1(@`)wK3mQigPWuE?9WrxMxiA+!r_|*G5Zu^hpeR!J2mX$-WSQQeUW&6a)BS9AjkKSP1X}c*4M@LQKGQ$Rw5LB z)zyFbRKGE*uE#rB4;5L}^(5!2Unc%fW4QWtB6VfI8c+SA>}%xL;`t_$6S4wDP><1V z@l=lL%9_*kR9V%Pzx1rGUzM1sD}T>dr8z)c>5)kTS4aaC(zF%=EwmP5_B!J%#DC3L zzgMhf2!9h?t@-O>%|lm)_!3Q}(Nf|#S4!yD&DE8^EM4YHEhT)}r8!<*zgKfEdHAmrTM~4E<;)ifpN^r7IGq42(0Cubn`#tf}6;8fwudN zxlM-L#s8q41?Yx$rnTP~v^ZN!^=lkj{c>Ay`u1}pZ{0yZ*uStS*)i)E=p%IF+1yL^ zV|M=~pP=>q>~)1h^JsxzSH70e4%JxeJzeXAoXsmEb-nuaj#g*vJO`aU_2Uh?dhlrq z!!)@R@k6Ngoj$zjyp12n(38ThaWXW|?rlX}!0DVWEpo|05?b@VYwVg}$v~^~OuupH zcEa~+hpG&IU;CYs^7u}(@x4P(n_)y~dO|>dWbo(A=ob&0zpvOFV_Rhc8Fa<4&8Y&_ zIaU9)LH!P*kFmJPn5BlK*+m;(E6_!>X#I~&NLQgec+&9 ziM-Oupz9hvU;R3wog@ejdx#7+8wpfTPiju%<}WGQDRbcPA>rGso#xbB%UR#OVtqe% zant;EkwZTyp*36TnvU;%!q-;uK;AutLvt*eLu*ds)m>=!OK4sO{qm#F^Z3RZJU7d* zlH>bV;XB6ZVPE0!Glzam&}L1JbbKEXz7`ssccvfIE&i*E6G?GE{Wl)R3;HhV{#fbx zCqbLPKDmyg)vrWu>d>2nga2;heS$WB7xSHt!=Ht=`Lu@SxV^)1xLG)?=1~29Wpmu# z?r3ij4y!s;zcbk!x8_rLnmKq)>i0occA@FH49&4<{>EeTmo=MZ*vN%;yTo`UhfWsM ze?wEh`MIH^y+dgI*E0VqXmi|}PqJ#}u!Re4obdJ9(G>C=9uZpqZO%sp-OTa*eWSek zjnd^Dt$xSzJr31xiF!?{9+q*m`i0TvTsYFv>UT#yho?kd|25Ko2-=)4mU4Xc+zW3d zn#PMc+GqO=&0C4uum8fRexq~=$5+3e>a9ezzKElJPGo5QdaBO>YQGCR4$ljR=D0Pd zTQdi*$(N`+M@d^3)pd^x{|Ky6^scO=C4p-6jSj^a4LH2np~@E{*v0`PQ=nbMq0b4r zghQVebXkW!At=u9GQN)qx{^aD3u zHZT-5IZ&L6Y$#5>1-h?8#|ZirhkjSkuRHWuLBHY9qXk8bEHnb^(AsokJLUc&q<{tJWN^47boyqnql(dK4-0!~2anK%XfBN08k#r^l=G!1|^X%Ts zj#gKedC7DY+BhfK=HwH6k#j9H?FrxDP^Gor418*zVXULop1U7Y*sRactp5hS+Rqy2 z_--j2u5)PYjf2BJLTmjupxV!B?&Vvb0kqmfu|5M(lw){WKPyL1canWtXn*WbU1ic* zUu%7^oo&TRTI*wbXv;p|XkJU#JmTHU*tV{vYhEkU9NL3U z>$;w=`D~Ns`mH}TZ}WJyud{S)+t*pT?(TXguUbj7WX<(_bKUK&ZwpBwKkBaI)y?&> zjftU@+ePLgK-vahuLn&b zpPFwGS}(80*v3=APh;U}b#&aPyv`l;QeS8rrk8ffXp*DVnYH^`ov}R(ww-DF_fA)G zX<6OeD)u?H@A|^`-&PT;_O-}dk~jS->rI8$_p{~^TEF)YV;{&ZzI<3-Xnl@tE~~YK zv3VTu)7dDSg#oJh*z?t1QgfN=%P1|YeQ4T$JKLqjz7n@F4%L3_%uKhA=-1c&>xsNR zHJj^z6@-J=j^klYg+gkeJQaWKL(=no6W5& zZ)e)q8%LaM#6?Sja~!JWWSefBCcX~P(#YqQnVoJlnb58SAss*unikg51sYqrK((dY zt^;9PZ`Xma9{d`Nb{z=kEUj_cbs&AMacQ3K_G<*%bs(J2bge|Y4umbLT?fK?XxD+T z9tO4!IP=oY|9}F)O$~nO2LN3_&{rHfzo0L6QTTk7-q34oUJYxrlc3cR z!{0a#IwH`nHfBw>tBqL??P_DzL%Z6T+Xihbvu$OfrqQ-?yV{uT!S^Jz1>LSTX6-ch zzWf^5cC|5=u)30ZV69B#&6lWUht-ex&n-**Bd}eyN_uEl8?$Ag2LUT-SBbM8+EwDL zhn2`Ctkjq+R?@ESXYI7RGFT5-gJ4#IRUs%#X3VaPb15X$Xw7q>e#J+-VxM)jx#-N# zR_lt7=2d_FSvTlcI_R2)aby=}CAuE5d4-^#@7_n`ZC=gNJdfVX+N5^HKHG!t4Dl5@d5PG()~7A|*h+L&PrFx+_0e3?wly!0(6{YAwvx8y zWj(YtFXs!teqCF5ZM%=HWcKKF4Ar{r<~8(wz5UwKQ}cV}Rui;&x1C?Tt2;OzaJ|Jf zg+udhJI~?mLfgDT+xH>04c=UvHuo%jKUQ09HaCvZ2yLx2k4m&D#QAMzrcm=95Is-9 zTU5Ibkkf0lCozob*1~%TAi^zdKsT=b;i2VRf?_7SRcNZuRCOX zYh|c(rmZXAhIu2EglPBlr)KD7*R1ZU_iZj+pX%EWughtdbB<&>kK?tozSPo#tp^_( zRwd(Jgi?MkdXn;M3*hgiU~K_^1%Uc7T#xxLyS|D3+jj%t0zc$f`@!k{_U#eYD zABI(PgFpS6iMsOFMc{(_OC$z3V?6`B9RWeQe!nl$ye_WCIL`XD7Q_zF)rXsZ z)dWnT1)l4IRIL_z*TT0+eP#>#r5R0a{^o$Dwx)@?@^^34^~?RT1^-Qlqr|VKw*UT( zemO{8Pjq&4p!lUnH%Ii>7KX1DH0S?@qL2UB-C$o}0D_xTGl1Oe7Ujiy1W4M-2*>-W0qxPy&Lf>u%6{SS0!y1~IlE`aVJ=(=#~ z?i$f^%=>fRc=JAyE4wL#(DZB?zYAAGvvwJ1wbg3pNdVPT$eUx_yeq|@O|EC2`xxs9 z>vk#zLesM{Y=r|*JtxSgh3-4{Czel?bZg#k+n*RWr>5V(s^_Eo7^@66wuD&dDeuiO zZcfcLoR#QqSs!CP$GrKxah$4Zc80Y*VEJ>0>h9AOU1)l``6!1@7X8}!B8c0^g|Cgo z0{y6<&HFBlF9O(;ez5w;pNgz!JNt8g_2l67sRH4+fx}%CBsjdqp?a>eUx}+H2fx+P z-rXmM=ACoPI}VQthvr!LGurip_2yHjn`6;@viP!2h9^XZ=JT-q8SQ#{ygzkX&#i8b zn?IxdUI}e!Cxf0_-5fW6M!TLK-@KB%Id1-p_WMPKW)5DHdM_Tlkg{gyiaJab$sGYM2{kuff`zDJpxH94(T z1w!k)zdbO%WO!|Bg>(Qts6Di;70#P&YlTbNwza}-qvjgDZLP3&=H%82N>7~=_x&vG z-8Pr0R`Y^G+vnwasO|Hz9@;)H>p|PUZJ)QVlGgXswCCRTd0A&|pO@QmGqW$dW0WWeHWrpCtRxW&4JvjvHU=VymAznV7ZHRMzW?m(d3Vu+ceCvL z-hbZvzhS@SiGTeZ6C6qgIuY6vzO$fJB7_bjPu%-7yR{z}oiP7IUwAEX{DT+DmlxIL z`p=L147_Gja=gyjl)7N`NXOB~Ie_<5M4r9~}+*jKr?Z@LIpJ!T5FfcVdh z-Y>nZ>Gj^I| zd=D-ogKd4^eQ?0I@{kcU)UKLZ{`~3Og>F+LCJH~BR@eNlTE887RX} z!O13)@seoA_d6c_yYg{|Njh^1}gvn diff --git a/data_selector/db/data_selector.eda.qmsg b/data_selector/db/data_selector.eda.qmsg new file mode 100644 index 0000000..7bf8953 --- /dev/null +++ b/data_selector/db/data_selector.eda.qmsg @@ -0,0 +1,5 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II " "Info: Running Quartus II EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Sun Mar 06 21:30:43 2022 " "Info: Processing started: Sun Mar 06 21:30:43 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=on --write_settings_files=off data_selector -c data_selector " "Info: Command: quartus_eda --read_settings_files=on --write_settings_files=off data_selector -c data_selector" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Warning" "WQNETO_NO_OUTPUT_FILES" "" "Warning: Can't generate output files. Specify command-line options to generate output files, or update EDA tool settings using GUI or Tcl script." { } { } 0 0 "Can't generate output files. Specify command-line options to generate output files, or update EDA tool settings using GUI or Tcl script." 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus II " "Info: Quartus II EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "167 " "Info: Peak virtual memory: 167 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Sun Mar 06 21:30:44 2022 " "Info: Processing ended: Sun Mar 06 21:30:44 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/data_selector/db/data_selector.fit.qmsg b/data_selector/db/data_selector.fit.qmsg index bc98ca5..4ad3426 100644 --- a/data_selector/db/data_selector.fit.qmsg +++ b/data_selector/db/data_selector.fit.qmsg @@ -1,14 +1,14 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Sat Mar 05 20:41:33 2022 " "Info: Processing started: Sat Mar 05 20:41:33 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Sun Mar 06 21:29:26 2022 " "Info: Processing started: Sun Mar 06 21:29:26 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off data_selector -c data_selector " "Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off data_selector -c data_selector" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 6 " "Info: Parallel compilation is enabled and will use 4 of the 6 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} { "Info" "IMPP_MPP_USER_DEVICE" "data_selector EP2C8Q208C8 " "Info: Selected device EP2C8Q208C8 for design \"data_selector\"" { } { } 0 0 "Selected device %2!s! for design \"%1!s!\"" 0 0 "" 0 -1} { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Info: Low junction temperature is 0 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "Info: High junction temperature is 85 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} { "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 0 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208C8 " "Info: Device EP2C5Q208C8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208I8 " "Info: Device EP2C5Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C8Q208I8 " "Info: Device EP2C8Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} } { } 2 0 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "" 0 -1} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Info: Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ 1 " "Info: Pin ~ASDO~ is reserved at location 1" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~ASDO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ 2 " "Info: Pin ~nCSO~ is reserved at location 2" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~nCSO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS54p/nCEO~ 108 " "Info: Pin ~LVDS54p/nCEO~ is reserved at location 108" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~LVDS54p/nCEO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~LVDS54p/nCEO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} } { } 0 0 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "" 0 -1} -{ "Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "26 26 " "Warning: No exact pin location assignment(s) for 26 pins of 26 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y0 " "Info: Pin Y0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y0 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { -136 928 1104 -120 "Y0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y1 " "Info: Pin Y1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y1 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { -80 928 1104 -64 "Y1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y2 " "Info: Pin Y2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y2 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { -24 928 1104 -8 "Y2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y3 " "Info: Pin Y3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y3 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 32 928 1104 48 "Y3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y4 " "Info: Pin Y4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y4 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 88 928 1104 104 "Y4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y5 " "Info: Pin Y5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y5 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 144 928 1104 160 "Y5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y6 " "Info: Pin Y6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y6 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 200 928 1104 216 "Y6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y7 " "Info: Pin Y7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y7 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 240 928 1104 256 "Y7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b0 " "Info: Pin b0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b0 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 312 176 344 328 "b0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a0 " "Info: Pin a0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a0 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 64 176 344 80 "a0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "AY " "Info: Pin AY not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { AY } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 576 176 344 592 "AY" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { AY } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "BY " "Info: Pin BY not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { BY } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 600 176 344 616 "BY" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { BY } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a1 " "Info: Pin a1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a1 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 88 176 344 104 "a1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b1 " "Info: Pin b1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b1 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 336 176 344 352 "b1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a2 " "Info: Pin a2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a2 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 112 176 344 128 "a2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b2 " "Info: Pin b2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b2 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 360 176 344 376 "b2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a3 " "Info: Pin a3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a3 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 136 176 344 152 "a3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b3 " "Info: Pin b3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b3 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 384 176 344 400 "b3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a4 " "Info: Pin a4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a4 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 160 176 344 176 "a4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b4 " "Info: Pin b4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b4 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 408 176 344 424 "b4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a5 " "Info: Pin a5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a5 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 184 176 344 200 "a5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b5 " "Info: Pin b5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b5 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 432 176 344 448 "b5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a6 " "Info: Pin a6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a6 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 208 176 344 224 "a6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b6 " "Info: Pin b6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b6 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 456 176 344 472 "b6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a7 " "Info: Pin a7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a7 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 232 176 344 248 "a7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b7 " "Info: Pin b7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b7 } } } { "data_selector.bdf" "" { Schematic "D:/projects/quartus/data_selector/data_selector.bdf" { { 480 176 344 496 "b7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} } { } 0 0 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "" 0 -1} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Info: Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ 1 " "Info: Pin ~ASDO~ is reserved at location 1" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~ASDO~ } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ 2 " "Info: Pin ~nCSO~ is reserved at location 2" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~nCSO~ } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS54p/nCEO~ 108 " "Info: Pin ~LVDS54p/nCEO~ is reserved at location 108" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~LVDS54p/nCEO~ } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~LVDS54p/nCEO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} } { } 0 0 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "" 0 -1} +{ "Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "26 26 " "Warning: No exact pin location assignment(s) for 26 pins of 26 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y0 " "Info: Pin Y0 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y0 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { -136 928 1104 -120 "Y0" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y1 " "Info: Pin Y1 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y1 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { -80 928 1104 -64 "Y1" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y2 " "Info: Pin Y2 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y2 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { -24 928 1104 -8 "Y2" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y3 " "Info: Pin Y3 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y3 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 32 928 1104 48 "Y3" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y4 " "Info: Pin Y4 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y4 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 88 928 1104 104 "Y4" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y5 " "Info: Pin Y5 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y5 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 144 928 1104 160 "Y5" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y6 " "Info: Pin Y6 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y6 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 200 928 1104 216 "Y6" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y7 " "Info: Pin Y7 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y7 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 256 928 1104 272 "Y7" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b0 " "Info: Pin b0 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b0 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 312 176 344 328 "b0" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a0 " "Info: Pin a0 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a0 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 64 176 344 80 "a0" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "AY " "Info: Pin AY not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { AY } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 576 176 344 592 "AY" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { AY } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "BY " "Info: Pin BY not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { BY } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 600 176 344 616 "BY" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { BY } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a1 " "Info: Pin a1 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a1 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 88 176 344 104 "a1" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b1 " "Info: Pin b1 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b1 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 336 176 344 352 "b1" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a2 " "Info: Pin a2 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a2 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 112 176 344 128 "a2" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b2 " "Info: Pin b2 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b2 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 360 176 344 376 "b2" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a3 " "Info: Pin a3 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a3 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 136 176 344 152 "a3" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b3 " "Info: Pin b3 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b3 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 384 176 344 400 "b3" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a4 " "Info: Pin a4 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a4 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 160 176 344 176 "a4" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b4 " "Info: Pin b4 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b4 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 408 176 344 424 "b4" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a5 " "Info: Pin a5 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a5 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 184 176 344 200 "a5" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b5 " "Info: Pin b5 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b5 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 432 176 344 448 "b5" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a6 " "Info: Pin a6 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a6 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 208 176 344 224 "a6" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b6 " "Info: Pin b6 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b6 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 456 176 344 472 "b6" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a7 " "Info: Pin a7 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a7 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 232 176 344 248 "a7" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b7 " "Info: Pin b7 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b7 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 480 176 344 496 "b7" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} } { } 0 0 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "" 0 -1} { "Info" "ITDC_FITTER_TIMING_ENGINE" "Classic " "Info: Fitter is using the Classic Timing Analyzer" { } { } 0 0 "Fitter is using the %1!s! Timing Analyzer" 0 0 "" 0 -1} { "Info" "ITAN_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." { } { } 0 0 "Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Info: Starting register packing" { } { } 0 0 "Starting register packing" 0 0 "" 0 -1} @@ -34,5 +34,5 @@ { "Info" "IDAT_DAT_STARTED" "" "Info: Started post-fitting delay annotation" { } { } 0 0 "Started post-fitting delay annotation" 0 0 "" 0 -1} { "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "8 " "Warning: Found 8 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y0 0 " "Info: Pin \"Y0\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y1 0 " "Info: Pin \"Y1\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y2 0 " "Info: Pin \"Y2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y3 0 " "Info: Pin \"Y3\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y4 0 " "Info: Pin \"Y4\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y5 0 " "Info: Pin \"Y5\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y6 0 " "Info: Pin \"Y6\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y7 0 " "Info: Pin \"Y7\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} } { } 0 0 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "" 0 -1} { "Info" "IDAT_DAT_COMPLETED" "" "Info: Delay annotation completed successfully" { } { } 0 0 "Delay annotation completed successfully" 0 0 "" 0 -1} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/projects/quartus/data_selector/data_selector.fit.smsg " "Info: Generated suppressed messages file D:/projects/quartus/data_selector/data_selector.fit.smsg" { } { } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 2 s Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "306 " "Info: Peak virtual memory: 306 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Sat Mar 05 20:41:34 2022 " "Info: Processing ended: Sat Mar 05 20:41:34 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/dev/quartus/data_selector/data_selector.fit.smsg " "Info: Generated suppressed messages file D:/dev/quartus/data_selector/data_selector.fit.smsg" { } { } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 2 s Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "286 " "Info: Peak virtual memory: 286 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Sun Mar 06 21:29:29 2022 " "Info: Processing ended: Sun Mar 06 21:29:29 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Info: Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/data_selector/db/data_selector.hif b/data_selector/db/data_selector.hif index 00b7a08..fcb3c76 100644 --- a/data_selector/db/data_selector.hif +++ b/data_selector/db/data_selector.hif @@ -26,7 +26,7 @@ db|data_selector.(0).cnf # case_insensitive # source_file data_selector.bdf -c85a509528fd3f5e5f3854c4318833a1 +bca46c741e5dd2513eb8c7ec51bf2eee 26 # internal_option { BLOCK_DESIGN_NAMING diff --git a/data_selector/db/data_selector.map.bpm b/data_selector/db/data_selector.map.bpm index 368ecc170012b4fd02c569b1d06e2762402e0053..86fc3a5dd782566a19d83fd09d00aac4334b6e94 100644 GIT binary patch delta 425 zcmV;a0apI%1m^^hPJi7900000008X)00000005%^00000003tK00000004La>{Q80 z#6S>@D=La0c=05DfEi6^TyDZ#Jn6xs5Mt7YKqehCJ^rO=EU{W{eK$Fuml$FMW;uDYlP?4T@C#SRMo;U{FlYaX0H`OiWcwcMBK!tcYacMVsG TPs{m+Tjwb3KTCTBlk5S0L?pmH delta 428 zcmV;d0aO0x1nLBkPJiME00000008g-00000005%^00000003$N00000004La>{H1~ z#6S>@D=La0c=0s&0cJEkak&l5#giVqhnVCL$)sb_^O>H#`4u7}jO*~M#7++IPom=+Y&4So=b0n_5{q&jao?3G%Z_pewfR>BJv=gk<}9oWdl^CE2Iw3ZE_wDz5-HnjXJ zD0id@Hh4>CTTg174Uf2k!Wwl@@KIvK4$3NJ?4aQ9KOrLuj&TO%KMHBoGGC?(zYja# W4U7_>mh}yf)>YVlmi7vh=>dLxB*8xb diff --git a/data_selector/db/data_selector.map.cdb b/data_selector/db/data_selector.map.cdb index 87abcc15c0b3bedfaaf7a7198fa50924ff428c23..d765179e03741ca4b4fd1523692519503059bdfc 100644 GIT binary patch delta 928 zcmV;R17G~>3hD}wR)6RN00000006uK00000003$Q00000004La>{`oi)i4lsFO>HJ zp@KjlA+ctIO3T)Nme1ig`U5OjuwX^m0IHAxiB})goa1DYxw&!TsJWsgGt$Jbj^NwalStN7D55vd`dqKw5R&D>)}czXdyi; z-E>!_7WsVnh5qdMv$7*S+hx{=@DxX)<*YxdUPuZeZbQGeEU|t`;I$JKLrZ`}fqllv zx@ai9*TY3nX}B&NAe}J{T#?`bh2o$Xs~FIhXkY?6t+^>O3@4oi-wCA4ct{ygSt1{>{~Uk zuH7f+uz?m{E6eS73hqAbtMfRzD{s^Sy81lu9>_Opt^eNSJ$oU@A2Y%F zeiOiA?MScSZRacN`$$txRggMK;nw54UU$v; z?(j0^>wn;6hB0qp(@-+20s95hFJ|llm+z_7zU|p^JOg&A{jOxuG(CE|MOxH>441-xb_FDHuYEI(%1-_2Z~R1?fMh!XqyQ> zSJ@xoCvFDOQI(&1{X;Xv$76XI;M4pxK2K zAocS^zs(DbeA~?PPQ|=_`Khj5&5AXd$aL_TKz6Y7e<9zMTxxdQJ(Q?gdSXt)`l8ry zOAK@ujOVlSf?uHdTwTjaa2xRt@Vcg)ZF9ILW^TAwE&dMi#=+mp6@AQl%)MUMfhUgt zwtv((Os1ZVLpYo&-oGv!>S)6ggDocJv6U6~8&>?oLr{U={0qELMO>FXboY4ijP1xr zN#Pb5IQoZJD~_R9W;_k{`NvolTh0FC1zwA%y->nQ@;I_~85Bq16`iHNe zUbtg=R{roK`=tJDP3+(2iT%4jv45W`{}kk&^o{`oi)i4lsFO>HJ zAwVFIkXW+-R8_F^pXGD-js5@&7A#m%Hh?N5K;qR0b zGPV;ZA%w8uoI9@4zf4(igp6AtBeLlZq)n>o^cr&1R~PE4<$ug3ier?$<>d5cmB6zw zfMGNJd$zr`z4c=2>C5LddJDRbmMQc~hONiI{K5H#^m_;eeDf*&w4y!LuU!vUDnSeB zVd=WNEVanz%Ww2&&tH`t>Dex`K7=PY5-n%_S@l9v5OEXwt!0VzO9HQ*s2ExTBns>^ zM%G0`>AfBvd z*7u7@u|L1`ZH{$qN#S<(N+dt4`a3v4+I`14&u>S11#dfFS>HvPa;k#VNeZ_f=k>a4 z&i99xF@IkNCo_zB3!8?LSq<2)n0_&17r1;+ttLMv`gZ~pAwC|e}@u*wHo< ze0JF%;U{he(NUG3di_H)#K&WKSiz_HX?&_!vVZtgL+4+QBkhXH>y0~9S0h*f>TGLf z7(nXhiD8@P82PT5=begq{qj>?yP6eiGLh-wGlA@3>Hk8$FS*q0xVtD(wG70Zh7Cor z;g%TaFBs3K=LNq&^SQc~qu@5;AK-OOIosxNP0ZYIuUq`>mT;dCiM?r zLA`Lt^tAlpNA^kmyFIagUnchN-o*ZWt`+=~donaWIGzNTx^E8^hS(G?C;m>e;{%5Q D3w6|@ diff --git a/data_selector/db/data_selector.map.hdb b/data_selector/db/data_selector.map.hdb index 6ef49cef85251868b77417954d7e758558dc8942..0ee64e9fe8ae8c8661e0562914c08f4aeb2e61a2 100644 GIT binary patch delta 6629 zcmYj~bx;%lyLY8Kq`O6FS$gTNrMq)MkZuH7>5iov0a?11k_JVWUg-vbMWh>~?>qOs z^Ue4Cb7p=s=RBjrr^0F45Zcoh|9c|T|D^}^f9XZ{Uk0Ha77-LO8hu2Z#IT?Rb8w(3 zpj)zHy|=#q+PxGNd*gJ&8B)+4nA06do)dR)?4($x9x&k{+-?;5xfPubeg4{DD&ezf ztG)Y9Ip3op7cRO2^YulwaTJ?CaPDc^FogZ`rXa5+(CN7FqGaiiXQU80Dee5UEBSmO z`|SH?d^``)cl)QnlWD+5fepI2D6~2wJ=@>b?-^u^h$rm{PnzOg6c!{I(=&}}>LHen zoAze7XFbL-aF)%D`58oX&q>WeZe+@h9bp2c%|#Rc2(nj+FgFasdaFu|)cpo>GW;rE zXU&9LRwXRPGH~`C&tO0+YNy zWt#UFYlR`*BiSvXl-i&NhT<(cdM-g}=wdA0v42J(+FQsH22p%;F3#`F;h*bZi^9W!g4Kl7`}+njAKs% z>chDR`QpS-%>ywmidp^H#EfvW#G*IS;TH39_CM2t87VE=6LU#XH;`&e>~cty7^PNva5z_7#G&Fy8;HHLNLV zFubn)S@SrIX8ampH={#{w5!tq`y>?}%uF{mc9EzW5bZKXp~D3<*k6S;1%XFD1Adfq z{-Pl9E*PVL@fk=}`~^YOJGJc2Ml%Dz394G3xtO+CbGSejupo`8JpB{V=pQ@=HCbFt zBH^$gT&gd0asSt&`)?2Qe^SJK*8h8z-TlA4-jsan)O1rLQ6mvu}FW{%_$o?~nza8j}p9&qfzM91!ti47Z5=gmk zVBzpsH)mRg3lJ=V%v^6i+ZG#A6yr2~_EB}v(d}%0@<|+mJPF?HvOG6=>NniIak#GD zbdMxDe#B*qNj2-gMiRuuIpObz{!E8_YZL3ENir?GxJXZWpiZ)vcds?+?(nO$!2#ez zfK&k{?@oAMY5rJEPtk{Ex(7HMUv}%c&o@onVa7?SR_wABRHo!j>KCNnZW|2Xwin?H zS^io9zcPt~X!PN-l@avu=8TcMmxkFuq4Z!>t=y^eyA&A|cHY+hJiSOV5>KV7QiXXwKYt>`;^|;`LlcM=Mo_4465m-B9{@PN;V016GCJ0b^OCm+L zAi=yD;td`9NP{u@R_c{`3ZeS?&TsjSA=)9fLHHJoiS=HexP+Y(Ce*NFDiwYD(E`bY zTb&_5pw9t(B*jfIXX-c(`RUKcaFBs|OiA*WyUsT?C8=NXoewq-zKSKV-m_JQv64O7 zbKF&^U5v`lK*bzUpOU=r1*Z8&p|7T~jr7EFV~E&_B^W8&lJ=&? z#DT7~p7GW{1@*>q!->jBa$no>lvX0qeW)NRZI%{rX#>|iHT!p3_AHZa0qvZcM-%O{ zvg0zFmTrZ-)NkqIZvP76MEUi}F4*M*e$;Kyw1wf*O!C%y@Q7}TXChx~c80dIcgmQ! zsy9rc&ZT-XeXjL>*1WIgTS~W>Nk4pt+!rv_jy4BQdDY1f#dW4!$SFxO<}n8+Vx>Sz zW6B?C0Y03hzE_qbcuXJ zlZE9gc9XGT5x}__?QLPwVK!9Yg0JL;Ca&<2c<*h%HU(XJ;g zmE&}1HyDKg_@pMS^03Q7q4$ocFxp@_l`w90lF?yBx$%%)UwHa17-ht=TPA=7T3kYm zTrG_+J4*gx&-QCSxLai~brlULRx9KubN)mcfQXh0+I8?34Dk-E>1x{{gA|QOI)W9; zl)uB%i{!-bcJM3Qnm_mFKCp^5o8e#~#)Dw5EDCNEBNele4Kq-ox!mn+F)g=EyBz^dxK^YbPQW!jpD)bV@o zXiL569KYzP7!MC?&`Q{-@V|&~r3a#-;pvHvs3JgmHk6RGrLEQx@@hgZh*;W3hiq>k zEzAEAn&kIlbDKlaLM*^@eTm+bEb5hQsM^|K*X^gmlr^@TJ1*KKq9|m`>@0u%GlrGk zLQMGe3xL>|Kg-`J^v1_?Gb@(`jPE6T^XJq*;2vpNq3S9gm(v%7)aYJ`K>q=8ArE;E=URFrNclpvS3R|hMLfckE%i$ZTp1_C-sFeWa5NH(&8g1b z`tn)#uLBTcV}Eqcir}a9%gw%ejFtP0P6p#qG@ZDbtR)r+3smr;CfHms)kb^q)8eYu z1`+z7yob>0q{|nZFJ}7Rd)XqqZd8tBkc6dQwNe@;KCWR%LSk_O_Ys(8)Vl`a&66wM z@6X+9y`EdxEx7IOS}>*un&|Z>bpjmC>n(!ni-JKwKast_&G+&JMLnt<4dn3$%3BvK zm>Xe=D#xT_x721MRsf%lq}6iO+1C3=xxe>xM_iMNY-cChf^Bo=ubvNBV(@lsMu!_6 zQ7>tO3Dvv;AqLvFZFMMb>9STSJk&d0*vOFcm1~+q^y9yst4A!Xr1#WI@X-wynzT>M%Z6<5UzP3c~ zfCucqJ2s33@F`8Q#Ehzp6TcXy$iM6`-k?p_j70%hJB?U7VQH2^;?{PwC+yCO+!jQW z%Vu7X6IGE0S!?nL7renbEQj?ybLFz%q+D0Vu^Wp@1&XtC#*PvZ0no3eycK^*$J%y< z7}@mD2MO@%ON&wx=&m?H%E|K*-1?LfDYm`k3bI7k{LsH-@tR8Zk!o%)<8R`J?v?F% zaQA*UY0Uy&D2P|nQe=h78N(G-WcaduE9y`XZrVHuj%^a?Q({IeXYqCnL&h#7fJmeJ53d!htU@b=c03Y~HbIJ;Vy9k7%?KL{Frxg#<%~ZOZWU3OUqL8Mw4+ zU)NCUO^qmp5p{w_blJY5UZ}GEHDqDpbJYC3H0cBFaY7$AS!4W=UQ@)0ZR?-YYyunk zeGi_$CH~S(!tT=>s=yztmnNi@2}Aa=zjRmyym22tUQ*y5D&5sq^u7=$(H-7$|Lj&u zJ2LPV13}^|#K1#_h##_$A7Gi$D%l33Fq;d>mA5#cxm;u|N?VT5Ts|^iC5U~4S|Js_T{1R1*h4C0A=`riwYDv1{#7yd)u4xf+Q+niE z{!6~Tp^Pc9VD3fYoUKabEn3p~j~=^})5q7K=1iepst5VMI`-Ao@73mJXDeOl4-O9A z?`O{of1%sYS(p>~Eim_c&!1$wR*=6oNj(fWbEHA;*33DOB}O-Y|3>9s+pY0yN7bIS z+u_$UtF*Ndf1-BT9U!tb6Oh;65U;;JUuR#+@nO4UNTBgWM6GQB0{md>uY?KgN+-pPpmcaHF#QgJLog_^8nRmc$wtULtt!I%HG5(r zzB8*{j``F~+P==!m%IHBcVh1QC$$GBM<=!F75ndVZY<2>H#W`_>20HS)@PbhAS~N_ zUm61!rma@mwMWP>aG2v#%c0B88 zLSA|1guJ#Q)rA}@ABr;tQ-slp!k&*rPqU?$rCjl*D>F2Aq|oobisMv4yL=??c!xdH zwXKR=9MWV)-TMJqY8SDC93=3(o=LHQPJ(LD31)0YfjK0K+KOo`!Tg4$xIGz`#A3x+ z;1`y}ooene8!)$2exL&ks~PbRyEhu>t9h?#U?aG*2f0pc&OF!`n_J%0Z9)iJC&MNV z+e_z_@?{A*rMuc&Z+3pHO8uw4KXtSXy#2fUsWN!oXW~Op0$s{NG9R$B!Ln$>FElNb zE(s>(%Cy4jdfRn9H4^CtXoDDr`1NRebRZAK1WnRauIlAN+$5xFwLKPU(>uoMGY^8S zI)P!3IuuZ{e3WFmSol%vdF6vg{f9+hyP3P~$j2k`Z_m>b*fBdFAAOZlt@!zx#vI2* z{v&m2r)nS1IP{w94Mpj}7a@5<7MH1CEkkfXcFtQ{&rZBb^Oia;wrT27mu!;lums&i zVK5H;MU8jt!KfZrYXXqa*fh1(h?bMVvl?CH&r=JInh`PuHn>OMnF zr$6{IVeb)!l<={nydMxF{E%;b!uT;obug;#^l(x-o!OXY12GtcZ$u2^2%-|DZq_I{ z*6{7J9+8M{obC}da9L;5b(E~o~RDU`eWFyA!O{bo^MOnK?12W z;C_t++I+huat^9LwL-Np5z;p$E%d*LyE2#2L4@Tp$bP+->I%-k=lL$o`y_hSvRXnL zgfWU_I_&D_O`#^VJB0uFFmOH<6WQia<92d`gHVr2wa?=g{TTq7lwr7k96s-c@cs@a z!$1ZTw+sC9zvxM{WBVCYydZdb27^S_$1bniFc%8|P8Tb3o0@HMKD0*e#@qRWL=nKI z{!7opz2miIA>q|tms2OD%V_e^?rJ%Zhinj4Ta41_cw#8NX~$GNzS*`0q_ z`^wInI}UdV#93-XuiLEfygLZ04$D@Hue?8*E zo%m7v#vjj$cS@7>Tpbtlkc)V*4wFCD(vPDpsq2>~PYV4_{YxK@`Rjro%_eJCQ;?#I zNU_3`J%?GdNg;QWyg>0*y-vuJf3)maZ~^z7heWC;M$n2~*&|@;$fV(ZbLq9pN?2^S z4d^QIA_8%s_(P!l=%So4ggEv{<)B3oENQSIZMaW5sa=ef{4BT%ncb~SF9KWiAiHKX zVKR0#C0vb`)~x|=m-Oe&f>QufD|v1bhUXxeg1ZL&iU$?#&T8m)`iQr?c^SQuX^d&gBsBgx3h z!U^yZ_yohhcbA5kGz|+wXh3)VJK7?hYt}QSIju%qmDcT+yB!)5sS@%>l0a$TNoGG5G$1fN2um6mpmvCn z;^r-zi^=?JZ!l$H7i8$L$9K9rP4DYjnEtq1EUxWXZJ*`v;(=QnuHx9&$*zN67dr|1~gs6Z>INo&5XZ6!KGd#trU0kgaO?E_n zI}Cgndfa{)RHPlIhQr)I)6uMCat!5G?S5^zrgS@yq@mdyA{nL>-P0|QteVdZpe5;T zo;>sHj=U0-m6T(Ih0#_#pJR}5T5%(9S|-X2=35CTcDjAuoAx^#$O?D4*KhG>f6Lcl7$ou7u5S49FM0O6 z-z?1M1frW4+P^gghF$azfI?pHdCDPl>~11Q_$SniI@s+_v}n;$&;5Bwk)lIc%FX6M zjEBZ=mAfS%cY9r;Muh=?1I&qnSN0&oC^bF(w_G&8)?SVWjxtriB(o0|WBB%JDIAMd zhZeu3&fswZ&_jjOTZN#fG!YDRAFrFTCxl+&_EWrd{>SN}->!B0s`tS|K#>@tDwpO8 z!%IyW`@k%6xrFLmX^PTr>X8EfpI2(cOb&|x`(-#d&6JTJj`kmlTsC_5<`u!po5C=n?6oZI}s5Cel@Nh?vvF9mC zGlQ%pO~V&SqWpTculH-rSS=26mOrHHJ;87*cmdm-D~7y9-}g2<*s~ZprnkG-7ir97 zM!MO4_Q93tm?6Y7hG!4Wq^X>&Vbet8zNhqYOa85w1+t*Cww_7uB-i|&Chg>%)i<^8 z#sAt;_zZWdfv%%u}*r*=vwicWzcZhUyNgW-aiTvRru>)_Amj%YC^=OP}T6is0ICfD=aLu6|u@+91x zcL91yv-1h}!<63`BLZQuIqy6T~W)4vgI4@p2KmQN&rRe$q delta 6596 zcmY*;RaDds_q7NzGzds{cMjc>!q6Zf-4YT*i|`W!>4Bj`K)PGHksj%ml9uiq;{SZ_ z^W1#rW?$^J_c|AQ?RC~VC*WaloCaWb{Oo^&NBS?ip#O`i6#t?x%0VV(B2}N?fIX=t zni3s78e#ki8T%hYkUyUtfr5ElX-_|P~j+9*jtxFSJ2j%79C>Zb6m+D1kIz?fUNL)X~L!W zge0pw*Rvvf!O}883qc(@oDG)T;{wtO-6vE$lWA{bNhS9obbP}9Y%^V8b9BgJJbJc4 z;7|9DOM?FMm%x8kzEWD*h9Lvk-!MZy1Q>%3?6HSeDA&q+kRYEn(wF8dY(PyfZt>7p zd%hA`Uz;8j2lU~<@M|hE)S4Ba?@L6fev-@(N!)|pv{9_ktggA`rRPpq`3$F&MZR`P z`r*PQB5O;F0;X3S*y^$>{HRxKeWfP-wAuPb6pEReF~ob$HZve@xA`B-OcmEQ31kJMEE%+4@j&83*8!<)XYOJotLazUmBXcvOR3vZ^;QW^f$#r%J|gnxBG z3V&MXuGr#Lekle=+F7P?9BWQBh*%GK&i(+KzSEtVo>YKi?a>Xm&xlw5CNE*---D7Z zN8Gr=7b1SiD5uZtm(+UTT0@c2@szm~Qc`W0^_%(C3SX0UDSvsDD)2-^3r^AUHwvo!}-`Qz`UzDI* z{TArcjT}uxZ)E2(OB2vdTh}If9~t&1umEEDAEop^s&-X->iB=0ij~BFj&u;`;7(Dx zI4#s*3ZH{z9P;7Bb`@RqPT>F9lekkDj;ZY{eFM^YwzUot@6gizW zkBr5|26YeK7scTVF$P&5`%+^UBYm!3kz}U#?4|aN+tyR@V#{1CQFev~a-LufzG2Zw zPimS7cs;7L>44M_H9UvJhl0S-$9&EMb$xw~*U{^=EwtpwMm_kUf7i>-YJo%^g`j>Wna(mD(I zGZ*sRB>43+euF!4qoE3cGohMquAePHdZfIzl}MJ)3vt_k1lHlMNo_%1yI_VIY2BUW z4r{IHHDVA|)_2_@#n>*H(RW;1uf0t*>|Rfa=J{*Z_g?p5c|ZArpWZ3afUI0DS!GZ3 z+FCgidUL+V87*Y0k;WSxo;)xKi`M;+v(K@%rC(mj8mWSK+tq`<2F&j%IESJ_I)qH$ zD+=&QzKTZzxJ|k_IfBbGNu~*Jylt@-et0W?q5vOb=Nm@~+(VdV#+0-lOqgaQjq%L~ z9<*`%q~rHU876p@a?K+ZI&t2Kj^1l1nZe-(wwprae)39q!x%*;42QW?MhqXcUV42| zbtX9dwcAu|3yCu8Kh;L~>Rt$Fgum`<@eCHiR^N>DXUbaC=Zlj1E)T!G!Q*|#HsV4 zm2LRbC-W-lo*cs|t5jX))x8{FxflT_9XXjaaXivZ1(u!BZ=S0y@#X;@moAN^;Wjg1 zv$-K~hDkSxdCV%$XAoxb$bfcULIC^{qEB^_=bH<)kj7A{^e6=eSeY^E}v*Qhc+oHeStUlUg7?9u9yB>gqXd zm@8y`@uZ-dgu7jFaIXh=v?t2i29vz8@P$iDtxPo&MpV~LT+5fsGZZoERwJHEB7s^; zjBNXoN+Yu;P)lwlKI-zb!6hz#*>OxiGvt;)%cKk1SDC4bt+6QEWhTzs;OHfs5E4>< zaWPO%-3`gaH)HBtC8;X?LST=R;Z&dCM(}nkBR4+#iy;PcTiJZZNF%YpVcIAD%za#U zq65Gdl?J^h&%<8Xpk~f3=qb9~5uK&L?{VcU=+YRy5tpgXs66p`7KJWnogB1b@Vq)@ z_=gou=eKISn&ylSY_wm3x3^I8UDO^FJByvGZt9=yls}M?f+6d|;y{aV#W8go)EAj_ z$1gYAcT22Me(t?AY%+kg^mH&x9F_Wb5Dex!_?md0V7lwQPh#fpgO`9O#eHXb^Ksmc zb(N0LUtwJde#3>)-DsWwm*|B)D!%WLo0C4!gXEYy?tweK#D$N|DDk4D^Hei(w`J_~ZF7{URv$@%-dE!8mcLX=* zQ$=razekWz)9JT;!-c4ZagBGHsA@4zZ|k?yoe*9N@weZZ&PhMNyh;s~4Q{W%k~U^q zVIWOFd+oGec9D5f0ZXTNAb%3e<&Ls3CXr}JrFb-{0luFrtbeFw2iQ3LTFY%BqPddb zwgR;#N~0i#OI2wq*CXf*RIXk?hc#K3mk^g>f{k)=ldkzF_jk#?yC4A!-&eY@zV(Hs zfgRRipi+f7U0LftF^h*s*=`cjNhcGlm);!HT0Qt*ZnI zu<#~|rLuPkBN#BuRNmtrdh6+xiC7Lh{^-}%Hb~yUm=))^n6fw~j-Y`zL6~MEdhOsh z)^Oh{6=xKBYO03Fcj$5$tU`;5%m4%D0zD&;O^j%M_ZAuxZNkaeYPru( zQQ;^`_LubtH&o0V4zC&>{2*}VMSe>{kOkina1szZ3_qpTD!)2A_d@Nt-puX5SNN?Q z_@|g3+>LL$iMNmnys&Z^q#aTX#8D`nSguX?e_h;68ojWBl&xl76s%ZqIV7J&S@;I5 zB2-9Wygjn|iEy8PO1VV&Ei^5&5t=d`g&h;~kfbIbnHSm5AXVBxrBh2Pl2o8+0yK=e0{-=lwp%Aj%oQ}zBIBFb&V z2>crU-fQXUvz3Yy>k~zm;wsLB<^p^2+Mg74uI4Jfhs+|S2=2*`B0D$;t0H>ctAmd% ze%PNTW1GwE9S-hidr6UlKTNJFi~pp*Fd(px?9~Yy*QDq5z<3C_5GRd*ELWHz&59Vrsrja>u&xJBWhbmtSO>uHRBpne zs9q|#s65jsaYQo%KYqw~i+zOZc2w_kxFH)Qw4s=ZozV4FgolFFON?&&vYMYKSH9=9NM->B`kQV97Ig4kOC4?rp35qM}Q!?XCkS z+iN3V7K>45*sx>8#aqQ&PFJw%PN5@%F|MI9=#lacUh>xRruyx7(vib75wsu!GY~=$ z?;H(dg__4k++#NxCktz^ajVw1gufH25XF0HbD@6qVsTC9K2b#y7wBnWKWsZ*SWM#~ zt}FEMT?IY7;S&1mp(cxSXn{7H=ZFh3@oD}%hw`ROMUJ4w&$O#JWhy7Ya$ zAYS9?u~c;3W@Qu^sULLOC>t8`B&4t6Ri-bmg)a2*!3Nj*RX`Qx{Jhp&V@*zTNnuTA zLy290{v~?fQOhN%NH-Ru(}TXZ)BiNNwgcEX%QSBMQQ{qpnlmpZT}op(B1SJ{1>qerrUuC-7jfT@zkW#Y$qCN5t#`t+;KrvBI(M zf-iPAb;M%30BN4lmyY?BOE78dvy6OQ1N+}(`LWQ&DhRXAy(Qn!7iX5L^BB`3t5WsT zFu4J>OTk;kl!4mZS*h@%4|(j2Dk(!OnY4MsdC8RZre0Tn?-F3yj?m22z*p5(d%q{9 z4Iq9k4^~`TEqChm-lBvD{4oZ34uY*8iiP0o_+Q?{0+rl{0e<7W`_K(N_N}=76!I z6cnZ$WFN|*t9qQj>5E0Zm{e0?qOy1q`c=;jh_?FJx$6O=Ht3T+!Tpj|IOngNy`jxV z&nemJ5xc28GmP)Xm-Ra73p-|3=!jhTqE&p*IkKZ5CN${BANFGYn4dEI$1YCKaW!&h>KQQ)I_3i_$m-^ zbGEtROD?gpb{^O~_*t_W%iW0_c%DVce;vzG-8_O|QA9a*fs6kV2LR~c_V+762)ZR+4eS+(_=m9X1s`CsN03bK^FLyd7 z2BD%)xqCY!xMg6P$$^>Khu&f^={H8B?)Q04yvC0|zEq_;B2^YqYmrp9E+d50M<#?O()~Xr}Jj4|67wR!~D45^#VYH;XYWQ8HnS zMf_OhbU|U!!7(hNp-(SsNJBU4jXnF=#|W`Ll*}N1ne&rFv@s#7EiObLOFsB2Wpl+n zFW>&vOySK>t$5>Waoyx`_~KprT-fH}zE5T#HK3OE(2!-yCD2EUo)Bo-tgG(QXu!N5 zU(2yIjY!_Vf6D{x@Us>NC>JRg$+Uec-+MI^7@>@dhZu_w1e=$y{uV5Xr!6!>l6F+O z&jf(fR^3#ZCFI+JvnVB)u27V#n`j}y_#pP z`0H8keR%J>RmEf&IZs#qQd;hQpO>h?Uk{YU?|q=V3Yr0M*ZKKZNXubywXO1>rt@jn z>x$+w!a{^hTB40U)^kKjgyS}9k7un+Lfwvhe`pOX40KGh){}|;JtB79z`*l?u z8At8KGB2OpT_c!u=y zn$A@~i51|y5Ab`gzQ~L=>d&OVi{t6rzn~Ayx z5w7qO1Fj&m(|Ww@w?Svxbls75zUan&W}sB8XLhpk#B9P_(ZZqd z<#^J`lgN2q45ng@%IIz{HMEg)LVgyG=mIvjRAQXJD;V1g z9cnqd4ZHKnmY8=OFN`EaglaA}JYN%%qe@e}`OOSJA>$DPKOR`NgU1x4SFQpy-o)396T4H8e9Osv;$6L`?$~|7L2pblVBc;<# z!JHVC5l%LK-+?@w5}k;KzaiT}C78H>RWm`)jniPZJ}*lCTo!{XqbL;Nkfpy5cZBhQ=aU>C&R*q>zDpuja0C*(Rwo9 zQd=PRiGKhf; z&g<+E@UZ>n^BlcQObtx~L*l4~w1n2J&_N=WrnS&GZptrrWWz6?ZS_@us_Q}Ucym8b zT$NgbmT95v+A1@sGz%8HZb;}j=kLMF)$xsS=7&b(Tj+8kg&fmnGEnpUdjc8rhHpM9 pQZW3zpUy^-O60R)ya))5=Ok<1qo6(o>b+EB+_z-@`OZ8&{U0XMEIeLy?$P)AQll}cs9r~Zv WUlKO2F0>x_w6KZzY?) z_3F|;JEP897D+FcJf6|Rec1P1{{DNnGZmLkVLP|;`r`V9=?^6vt(_B$irAw!2YnNE zxze7fx3yXD(tC~a+XeHUX&*cp#D6$-UVVO8cxh?0@B3%+vyJX;ms)U0H}$x;NZE@2 zZG9KByW?uJJ_qk|JMnu%u&t~=`*yRge_Xp9dF3C>{LVSAruX}&7q?gTM;xDBx~`Bv zeddE-CXfEF6>!og0-%LBTW9G?osrf0|H(PUbcQ4_6)cixiu7e*!^*>td z@I%9{3rGVgy^HspHy6mYypzc~e=N#z-}IE9lQX*Wms{>WF_HCN)1m*$lm6RfDegOA X@9iJ+{p_4~Px;?H<=s4)(TNcNm9wAD diff --git a/data_selector/db/data_selector.map_bb.hdb b/data_selector/db/data_selector.map_bb.hdb index fd925ed9b51340513c4f3cf5e882ae7ac1da4621..686d902f5765cafc9d695a7fa0289146f74f001e 100644 GIT binary patch literal 6218 zcmZ{IRa6^Lvo#cLixm%Ef;+*T;!dG>afjkooT3F1B)9}9E=7tK4N%;RyStYF#RA-Z z>wCFt{r8_eXYF~Jd6~1%Su^-3C@590QBhp}LF!+uXXD}N;Oasr!o@=;$Xdv9U&i4H{fL4{7=#*$9n+QI8z8r$)|68{XJZU^IwuN#kg1(d}ZJ!^RMs%+v>_F#^?e{ykDJ12^%eUMJ57W4z zk;mYhGlRD8Id`YSh}0@R&of86kjuq&bBQP_cH~dA4-Di z7mgqZ-$*_wi77_l3&9twSVWl{Shaq-4AGTSE1_Ll5FME;54H`&WNYEY&2WNi`_?df z2GZxr9j4zd9$o3i7=H<}=C;^m+Rj~w`|eylyQQiBz@p*&{aR;8(=8Zn|M#moFyFMh zsr1XgdhQlYf(H2if9TAkfphvYur~My?-2-fAQ~ms&#QT=3~FB*J~W?N$sf*LO{mM5 zPLw~K%`0(rZp58E>uG-cFO!6U_T=1o}Hc zTUft}H}GyYJ20zx*Eitn1hy-Hn4j;AWRC#m1G&9b?#2;aIfyd%+n}jU|?KHLIeFlPdpTr5vFd597wQNrv=fsO zp8JXQUEUkmAVkhaVXw-gRt1BD>jsWHgOo|cW}7`ZEF4eg?~<=2Y=Ks(*%^u+Ee8%w zwXp;2pG=D(E{jo}XA(woL4+!goAORPA|KvUCrZu6bus|CM+QTF$=S{<&h0tI-CklQ zwj|oMerS==*JRaY+e`CF2NgSgLO+e=lHC+ZIp;Wt_EXy1H7zNfDYx1Sf8!J&MBu4# z4oyX7mhF6}BW&F`_JD^l0z)`~LPLW>UpDN@;!%qfT3V;HT1gsA!<%DLrNTkK01;)7 zKqEn*OH&#a^qaMg4kEhJx~6Og!*Ga$O48}jS>jGy54!2gL-o)U=wyERd#+oDi>xaU zt&!-R0?Dermgg5p_7wKPrSs_Y!R1@p6sRQNj)1_k%9NeGMP32Uxp(=K?tn|+07247 z_=xvKB$`wdOr056QCwfho<5P{aldv_T4y4a41EZ70<%!|9Z`Q#?c(aWSbnEfqP4px>l5nP zq#z^}-JT#HS%eow<~}cHrsZ6zI6)46)b?uAxTUIK>d^j&Vq?kWoK|6dN6khXk2_-v zPC1qpqngU%ah(7c=YWbf_U}^oxi1;Pdr8c{d%rfQlO_sdiT<-#{Fl}LUdOr)UDHk%z9z#Az+YEd8WNU(%9Z|`iodaeg{RS8h^R)v;}5D zKKCE52993=hK2`ehX$DdcM!)|2APGX%5f|N0uj8apwEbjJrRam2mw|%s?~9NUGT&Ch`@wW}ivUYO!Qb`7OY3)^(RXX5dRk z_R2P$@af8Q+@{~>iVTzGG{2ETH8F2>&nlZcuQS_HN$-d8aWvO!A#I2yX3N9F6hxd(PTg} zIYNehmYb=-Ohv8}79EVikB2k;<|zb(sDQLH0c%DEvq6Y7$R9zc0@)OOqZpqu<<=gY zlSH?*zhy;!qiVSW%Sn6gUI_7c<*WgYlf?EOahiaaoR0!@cAMtW%^~kI&02)BTX<$8 zFBhhAs|VLee=!P6VBf)BMkJRx_npWG#Whl&rXQr>jtndO(=9oA+^|X$+U6pIK8p<) zBdGIP%dK6n>bp9i5>7~LT%MP`fQlj&K1M5Bx6zjXR*^&v(_eSSHjs~!!t6;(wup|P zLt3l3*r~ZGg$cyU^gRIY?{UD8tddoIGx^@j!>0V4Ve<7hH92d};0l{+s0etD208wRvq zr&9_;Wp;vbei~mol#9XNxQXfO!0h=O=M~d1>QEvHfl~=g^HCDdvyJ zm^^cyoDem^5-m`n)7|u!8|`(0Hos$lqszGU{j}M^G zOZguCp^nF=gI2rFY2-=lyzzcV4F?|WPofvGA;svuf`Xji$EXb4he-6g^<1Wd5T5{k z1`0G7gsU{EW+CkCergFUoB^9e@kOr;M`1Rv|8wV==~5Mnz;3nNyx?7ta7O6@a5aaR zI)g@fy)tTkqk7{#>4k=-ddJgv51@eaJjq#Py1tKpO-gn2V3q!YW-&#IIJI40&!Y(f z0|HfTtTQ1TSoDpK83w)KDE{L$OGR%2zJ=T@Zq|AH>_@rN%{+{E;a6Q_N6fm>9*b zfTUMSTzMrfEa1n+yU@X`C^u)E5(!Mtx8#@a=HC)xB-medW)SeSPXj3$wy(LM%}S%i z2XELL!@(|4me7qvg-`Ygr3D%tNpDzKgy#I%C5q zb@~en=xwx;6Xx3-s-|e8C}}q*2XbK-qEzFz?0|oQh`kHc(EImfpCmz#t#|wZi>GhB z@3ofZLo>2ZgmwerjKH76gX@EX&LD&=o%VO6on~A&_cJ~4^9$a#vvqOCsHPw?f}xcF zYk>gEvKBJP;@X4sAGoq&lfZl-^^cR}vAYh4S794z{6i9?oi@5ft)nhU)p|!8aEC%r z&Ll-1ehBUkR1z$eipm0!*WX~uS#~Jlgb_IUheM3HfRr}Y$q*+@OP^sQJ5t8ekZ`Cyn(sj6Vkl`3`c?KiGb|-0Yhd6{k!&H(vf~$bP!L z&(}fYY7+1%SQpJF7Ds<%b#V-YFyXEV^f3{vp)L!iC8ln1@+)E`SbJ;Nh)*ttxP0aN zaQGspz-CafByFI;(Mrp^w(QLOY@I-+T2tiIIz4*x2<=Z;}<(Ifcmy6wWFbP@S50BF#`v{ zcQ86a=Gb0kdftx=8l*8I^t}2EdojI%P+@rn(&%0p0-4iXHa0^BLa@ALjMJb)K~joR z`v-<`f|$>t1c6Ojo)s6Xp{>{h#HDIR`rPY+;#Zsh#vbUdzSB7^&8lRb_#buf#$4U? zvhO-S$SF#956=P%JGTXq!%22z$4ZOYCsx=aD_a<}Y(7;osV@65lQC9+OML6_R7G<-B;4Ri_8XREm5C^bCO!jD*l&i=B;~rFX}WUA?fQ{ww0bT` zlHPL2!$r7X3hmcjo8HAAEc$uYoAx)1gIb!^#zClY6Yx%K5j-#baaXaoM>NFTbmQf& zM_NMsnlgdhJK_y2`e(2>;b#)kQ=QkBI8^Hk8dmN#p&go(9@DsSQsVWa*tkV?UGd0; zG0RfSei(#-iwzd9B)eVlL=qPs_ZWwU`xs6sh=a$ElZJ(LL_wK-q>tBX6tlkm1g5me zKOVdlisOIzxT@=z&MRV-3gQD%?{vD4h{25$ z>~~0>si>sT;Dxy?CPeD0Mr7KO_&t(bYni~Yd)tlRE5np^hMZQ=^k0^sl;fj!%n5ai zJCr7HqH@Y)GcN}s)_|0;5`W&^H^n2pig$fGX4HB7>qXZUBl`0$UdT)}f|d4A0=m<0wCa@4}s9Ra-DQUH3KT z_wE{r6~fFey0GLrw(%G}lKq|Uv7QLsK9RQ-Td+V~_i9~a2mE$1+6qqSshjFX*^l3l zV`UCE{oITUdGYD%M@5I@uR|B%onwWWrG605kJYb1RJMLNe1GPoGb9#H-Aqq_QRGKB;YAp3?mno(PP9;&vL*Cj#>^RE{wK|((daX>A;F|tJCmI93$}FDuHR*q(n9G z#wP9w!z@6{((+b)Tf^d;(e)I5ap`R~uLqOIKN`We_KSl5Osw~pr@ zeya1$p~*3}OE{B^)~KG&)2W`8(nS>gJ-#ikdsZ6K-~U;jbc`$!Gc6e&Kg_BbpQte9 z*}{ehrBLm6DfwwjR@&7ek*c4oy^y}jrDg-i$il0L5z0PKd*v3D%kv)oBkgy# z&L7kYjq>-SDgFE01-acD!9?oWc-Q-`d7FX_>(nEC={*LwiiZ2a1})^ zUnMN6c@z(XbnMU5rX61?nsjDgvCybd_%(;NCjqm3B5(PqcZMf65o~_V?V=(RB3jqq zx4k`ba*I5QpUp>#uX?Y;dud(%s_C^<(s(}L&$ng0#v9Rncsb(zn5~fzKToa5w(cfz zbak*JDk0iphA%1XKAW&c@n9;mbdKH@%9r3BeT|y%{@*sL3(L#@-(SxOm?)B{GlOne+(?ud2UU{B%a=?-f{a!!^A$6tqDqvGQ%<5l82i78EE{5AL? z`Qx;Ul4tQU>=fTAFC(}0NjjthH=Dm6ok+P{Ptt$6q&IA6%*&(k(8Rm92%U;>p?Nig zQSy2h5cRTcy;9AbRV=yEZo^y$yrk*;Fwe&6ShdZPu*ED}f`H+e%($~SsXK00m5pfn zHkAc22gKDxwAti1b$qRd$2D7(oIH4p?WA>5w_ZG-Od0bfgv6G20>qyfjg6{W&hI3} zE)4IkPI-tRQ1Mb8RraLj~#DbQCP5cr%aRo0Posp&M3j+e3N>a2Bv?uPvHSE-t zcx@p_f7wUcKSG^H1oNMt9&c@^L&QXNE^>5-_untNUTd^#{)I2uo+KnSm<4OmYka(c ztC3~a+z7M{HVC)kLh8m$p8vD_e17&t4b)Lr($OM@XD!*MeNfMG5PUR9CU@iqqrS^? zT^kk8xakS_ECckNtmY`Nx8howS(DeQx-TSn6+_P>gB_;V=rCEQ3ucIwj%K(QS@%01 zr<8AXTc?y*e>nRL_Z(Lj_&2;av^k_BtU^mvr)$ylF-uNW$L8X?8df|mcCVJz3*x2o z{zQ{X?j#@!)NjLxZl_)&vSwN*@K%<7mCa#))O3x!QH^It`&bY85|948zOo<1wWq1* z+unupL~=WdOfJX8aoi{+__jv;?zL?uaWe7SsT5+FSD0R!=$ARMisgs#yP{1Ns`t8h z{V-(j!LJ0qm!u$^z=otN+qau`!aIKk>%YcP<;FdltGQ{SAGT<}nLaBr0lLYX%7TMBjzx-% zn6)R#vha&Z#Zfx={15JJUvme5di14xJRwbRUShU2T<>u>#sel(o7 zjGzI`<-_tUfL**_tOkP3L^9qT@v^q!2<0^H0gT1!Q6Y?clmPEdQln^ z2eZrS8f&l!GX<@x?8jUXE1vxhc{lK8#AG`QK$WRF6KUYcFiXYK2D`lt*5Y?R5qAHE z++g+B=!^6ITHWk&CQm7g^|EBNU%XPm2R<~+0G8>~E!H=~(aswexD@b!zIO{}cbb|d zi9bUw%#^PN(j66*yb?lBaKc#{k;jm(CHLv8usD1(Pak#E!7MS&H7D&8{{8k_%f-9b!yJ0s zOZDGc&~3R_i_5o*qMnBf-Zsk}e%DKVkcxGoVC;45TZ{JwVS;h-F*MlSlnM%UrexNS zQ$*f;(={Hr(=9ysjp=R*-jO8O$|E-J> zA3d0Z2U8rH*pl0Pm3ckqS8Tas)%2RW&NZu=WBHjoBHqm(hZgnZQ~cXm*BtrB=Tk0b zO@c|aSfojvSRhAT!l@bT;Vi2(+!g*o)6J~a+SttSCW5JhTxu_Zx7c55C2AbIny}_U z4wN;HdA~t+K5n;6`-gGunm%zFY{&%C$qM|FbpcyByU?q>D^u#1V2wu!#-Tfe?7dL=(JBl@X#R6nkhw#64Y#Lo zh2UcC2dIj7d8K3d`R4_S$Yv>h`CVI6`P{C`$A%$Y*(W7#IX!Aoxf&1Dpd}XzJ?zZw z4QsZFZ?TwJfBHto5m!m_0&3zOcm1hslT_By9-*@qWdO{3>D=w>L360k&+v;}8(w6vqWw{O^&4`av~;DA=BpX%oRsg*!k_~j2^WaG~wY4%9OXUKq^+QE1J<+$nVZ_XLe zwK;6ghZBtFk#jly=S|VC_U3=jvp&H+C+m=SeftPX;#6Ggi#zO7iwYJH-42A_m?ODN z{htB<2_AbnghN0xF_X0R*fh#!k7{w(e&~|3pvaDNDH+*$4vDei<^GFjmp%y+Sa;Gh zjL(j!?Aq(%;0VrC3rrX*h46S6e7p0G{yP!(f{wlIP zc!j(G;?57c^GlpU&Oz_Bu@9bx?Z78JHP5|no4HHvSp2B)C+$0txfOqFvZ+wC$j$uX zx&AVm>MFgWhFJZr4WP4+O3LYQB9<*&O3Qsk8|J zutwf#h|*YoMESjFd@vZo78@Y3+%FR=L3`p)v6BiTe84-qD^QncV7ZZLE&)6XI{XmK zWujS*a>mjPu=(2d3yvuM-PQ($T&V~b{~h&zGk-=x(L#tP|9aY&C2jNZ;RUHc#mCoU zk2kRSdd!Hk^QMn!a|n7P%_KpXc;?Usx)j2d%UQ^UgwGa> zylwHVsv%$?b;{P6fq2^aIW1y7ma>#f5^@FUr=T=+q65>0zdw?#+xpIbOfxJ7ynojC z!w{^7W6q_l^a+v?lZ6u^PK8ToB*ZhXY4+Q7Voq`8MZmG!6cc5B_mN(~lge{;iWYrv z6%00p7~Hn9OpWn<9MNLzoCx<-1$R6PNwuw*{b*f9<$fDj*@-pqtwW;GaX)~c{MvvA zVvV6zPqHP)ERv5b2NGrcTpk_-E-W_$DOyPIxcj2#R3vnIP(jREEeN!i@`PBNO3r|$B04RcI?*$2z?}PQVds+#d@6jR z3&Juq2buL-vLdJpjR9(&WNu#OJ5@0*eXi%jRYp5&ULQ@s&2JW?>^=mxva*mmdW#>_ zZ^fkZV0DgB2Vk|1#_{XCr*~=pUcZhU;ws_Y7 zsU|S<4m5pz-bQ+i_wMBAxW`KOn{JVE;00pv!E-3oX5bu#I=+*xe40*Y*^HQM^tQMk ze6c=XJ>Ht;wnrt7&hRnn@ZmfRO{v@DI}C&abg}ro2~Xx|1Ko{@JvUvXk(p(;i#WH7 znMQQp=UOsvnP7ro7QqDl==W7m2OQ0|&3tQF>er7tNS|yDvQ3PlF^wpQm*Jk{L2y5_G z=xDAWC_91*JSS-9QC>pL$FYdj%f&@7^uE$t{HOOhFIsxCHFGEF;&8NHf@_AM7$u!2ydto2n^FA1vkKU`sCKLlJ1=y=k%VA;vFjebVaq7A-N0y`za{$j)QHzlEV`2JTc z6fM{ha~}6wY`hHzqJauqhbhww)bB^dO-Ig`o(5g0w$@R%7#{>!RXZS^tqMX8^@R?H z`A&@Kw)oBvN?b6Qveky4R6&L>fL|mDLSxNk1(Msbn~p5avjW7y47`tIN_JHFAT2Qt zDgWH)xrcrG$(419j`@ke%ShUYz5|A15xnk;f5y!G^8Gjj^t^r+nFwECc&+};hgc;& z7#U7xLV4t%(cxnx`MI(oK6mU4+Wu@$^rSqqM$7WM(jn3*1?KGIy;)CL=Y1e`)|U5Y z5WX#&@o@D30(|lbtbHw69nhT1HO{6V>1(;0rTfwEO&R^mY4T{hC^>6mKHJ`rXZF2~^7Bp<>Y@Au3uRQ?YwjT0!43k=u_5?ZU) z_r|@9Y>w)im+B&(^yug1gH)uAxiYx6D`e3Tqvh6Q0hlfwF-v5h3`|Im@nvM6IZXGV zOv$2_ER(XFdAh=z)Mvul9}|fqJtO*T8C?IN!8%i*_|-CX38#jc1k^KN#g=(_(f_Nz z(lNb8d#tbsAKy}^pQ*dAm#>#4thYCuWxgEEq;c2Jb7GAtKKn8g8FjF zFUdx%9_ZqH1XVY@xUh`c%-XRs$i)o)u{f<5BZDQdxa*OuC}-kR`j(2`&3tHnQOmS= z;Kvj1`m&76P9}k1!}_KcLQAqjLU=PJ>1m!t0iZLXx-G}4M8$lE1J(1I{`e`W&#im& z4gDlT-%pa!%Zs-_&RX~+O70$R2(cRpOZJ77_-Kg53vHK{$}jqfVI7MnpvUtKTihhp zZaTFav^YoJ9tBc36xV(yt61{HYuO2lH-bPziC!sK1yWyGWmu_E#|RTC8h%uupD?7b z0jO=r^%r@@Yihl1wCvGcMKjZmvE}Tljb~8Y03Uh!9M%Fb&pC!o`t<@WDH{EHAC{?}H0wPoeb2F*^q!X)ZH&yt!h|j`yDkclO*Z(T8Zy378UIuz|JY- zS$NzmqWrAhZMo%ZZDrMxJ0u%$zuLVlz<59thdjP8!&ydW$Cg9);<7vJ{S~7^*eX`9AGqX;dgDt=1=-B~6pt!DHy>_~3gav^I??W|_Xk6CXE*pcZ5FSaWx zqTGtKe+plht!4RXyCNgXgse@pZx%Z)^&%|dCbAdhrmiIV@f%XtjXNH^)4B@|XzZ>0 z)^OH4b=keVg&LU@%MtscUP(rKsXbZUI+Z5 z-6y2@VxG<>s4rTymDb?j;NNGKJ<}NMV$yZ|9D6{p)o*R6w^g{qyhM(6?3fapmN3%3 zx-WFN*OeZ7%xI!x^|Xe|qd0rLk4IFiSr62d`n5aoZYU+N_V`Y9o|`rxfPVC4Ul&mw zJ67|RgB%edR$^Q;$lQiUk zDOS#z@~4(GI;Z8j+Px7pf@Ckd4-C7{2^*lNuk@y$f~jopuhbAg@A`8l^`Y=H6?iUi zhzwSv;@&yk^U4O2b6mW?c@c23>Db=+rGbr>X8N&HiT( zQ8XHcK{t=pNZ#76HtnfD{i|c&In{di`NN$3fxVW0{UUeK$s9k@2Q=2UiZ=P4o2Dr7 zw$Wbex8-%tTum;3;I5}1oszJAe`RL`(#ouEtj_Sub66L&a5{7(QH{gw6s;v^zzNS!Q<>*>y04O@>P9 zhLQ?5D)rW7Lsn;L^PN>oy$~bGqOG{~lh$>)SqYD;-3<|Okrore4m#J(&JOPtQCwT# z>plp{GebXvyRYdeng*gB-$BLA7EhJcoxZ%m%ii@bAFGc0Fv&CQ>Tjb}L0D8yy@H)% zyD|FH^$<91md#ufn!%mH72|Obt&ylXpi?FLWzmi4YQlEzvK)KbBw6EU4#i-wZ_flB zCPs)=m5S`~zx5=!0qXa3MO@lV-}k`WxwyWVS(z{4 z&hynAe~+8HnL9FKfjY|T?_0C$WM=ol;%Zl#1;Nv17x5;}CHJ>?TLa{47-T1{WW>6& zJP^*_Q4hYNV!y6jLR=u0aA#s}H51Q=07|>@DVr1zr<(mUt9j}3ZevbF| zURb^#m2F5gN&PAvRqY!!E$kgDkF{b8Cq9iZXxOkMDe@&;@zC1Jk-HXa%erc{xqQ3k zBK6oLEX*U-42dFr)D3qs{O-Ql!w{wM9EiSb*+#ajyow@RYF9i=M9fXZd*~4Crr>xv(UN21b-t6GT~762 z8i^j2F%HJe`WO&x^32@wx7p6%0fz9|!3n8*s9NbvbJkbE@!d9$*;VBTW{Hk5nA^1G5 z$jjD>FOV{TYM`=cOgI@|01Vsy(BqF>k1al!enz5BLMc4PA826P^Qf_6-v1&pl2rb1 zyfbe-!mQeL6hD5H<(14EfkX#_s`|ZNZ_U*|QTO_k`~WvV z?diMIaL@Cozd0tUqX{(?kUe@2bUY{J(z6xQcY z;>*#%YWpYFDKzCopIxDrCq1T=rO?zP`keAzYZK)uh4#MB1iqQKrWBfbHt(UfkHj&UV&4I}*!Q@T?*Luwd#RJ}0A1{R(#dy#F800L$#;OhS??cW zFtx_0$2GPh>$~4u>v1dAltNR_qJuTIRYSq2(A2Z&V3ic3gLz(z4rXdGI+$z4=wP-K zql59jjdA1~nEX~jH5Rn9#H5!D&Xls%M0(mO z|9n9+OH2bNSjVZ3?R_(+^D}k&x|!2`Gj;m1nbU(ab;^IsFeoM-DLm^jU6`rU2hE%w znW@uz&72-@<@B1LvgkMLZ|u^k#ECz(0m6;8={@t8_Y1_t+u4O7I3Kr#PP z+kdO{-1ct~m08U)Kex^N)&_y#?nkzXW0?lhxIv^t%z;RzY$R@Rgh1?jn)lpxscgnhyL%)SrA8iCm+RHytv%zas;Yjk*IRe>Pt?6WB|pFo zP<#6BG~Dwn>TeKDqwH*8YY3gMRW(MbSe}7Ln1=jw$IrNDFMsp(ekCW)A>;6_G)5quFRWKm-_ZK9>`ZH=&W(&S{ps>C$ zk1t09tL>jyr_huWeQuRnp7fYfmO@jH=<~{Vtxc4t6x#bf8~A44no?-$*}NCDc5&nZ zy4W{ooKUgv0DoQVdr=&NDfS(pi+zte`3}&Et^=->UZy zF_>Co)Z-dkmG#~4t@pSUYf7Q1XVJkL+peMDQ)ud0bg)W_(ZM_~Mh7#s7#+;DVstQD ziqXM%-@!QYjq$>M*SiJZCGq=&V?kYdyLjpH6+3VgvVUmec9SwdUA~lPU?^R{q12SK zKwYsQLl7^RoCWIoB<%d@l!S~#yvyc7V4EZ%v#_)qd=l0`rzB)Tv`#`6V%sF_PNja#eYJ+Z614?vlcyybs!r!-9-t+a&CK?v#W~h1N;P zNVZMF_J2aBBxF&xO=2ix$!UenpT8{Z&PDzWeu~^ifyhvPBg~YclTaI$6Hcv`%D0b= zDtDDNQK*@Q*8Gcr*6yXPX{X=~e6Yl%t${aaXNhUx1au1C;E^S!O>0q){E>e1=UWa| z6pw!N2iXnO{MqaOSL4}_9mGs;rdJl4El~}9Xn*j)5|iI5sK$bJmYDRC!I@IFnn+JO z<)1HTW{GLw1RFTjvAu8RbatvvUpI4lV5&}EHgkG-s!sWD83x6~BZX%@rgKwu`mmYP zV^ei{znRmMt(;!>Qx^S({f%8ZkvQ=uHbA)9Ho0g1@_s>hH8D=NhP_B?wqc4G5h&(g zYJYo`p4zTn5MdwIR<_ z&Px~n9M!z|Nyh08E)m2&8eCW<<2;&(1%JqFfASJ&k~89PpOcJ}7epx>=Sphak7sbX z{^X@p%HPLKobjAhHVk7-#hLiYNO-nCc}b>xXx8vVms&@>(9_C_Hy<)_p32~I{pn63 z%8jt*t&3A6j-kDojK6ol4O~0sN0Qjaa!s8b0%iWAR8k0rV@n8fL&EQgDx<_^#tpZy TG+G)hkLFi~H2Mp(cmy5+h~*MO diff --git a/data_selector/db/data_selector.pre_map.hdb b/data_selector/db/data_selector.pre_map.hdb index fd44e2d5eb09ae8a8666815c5c9cc33f3fa5b60f..b7cde317575264bc10a521d9132d687822e74619 100644 GIT binary patch delta 7137 zcmZX3byU<**EJy}ErK8o(jYJ@4TF?)OQ$e^bTcFIBLs#nr9)}}K^ml6nxRu1TDoIs zUZ3~*>;1m_&t7-0bN4#;u6^!WXPq+PW8rvp!1o&Ce^(v#f6)~8zj#dhUxZ@rnG$@V z`vqelu(Uos9bZP?PXV^O=U0%&qT_##U052lrypP0J% zHZ|>(9)fqac6O|OI{mJ9;;FyWE}4ovfx=uJp(95p#|h^*tSe5|s@AgOQwehy9YSII zd`A|WFK&8BmBdq}?kw23*VN9`oZ$!?i&9#Al(dZxjF9P83U);8ofR z-s;hWCX<{fz$=Z>N6Igv%7OV4663q_v%Ru*%)=}wu=OfQxpkF**(OL?snASeL1nIC z$y6x49@vqB!Ugrz?e)0NS$G$NV{ZOH@;@pmO2k8%j-^+Fdaxt#o{+v^_&>yV20OL7 z5A=a5%sgR#D;SL1?4eFD1&G(O4`4va6+GMX3(`0Cd(#OicE{`5{6Q=eElr!=}8Ty(h5z(pv|6dya zhX}+o(&mE#Egi!q2=}HOyYeNpW^UNqIJ%kvNaM zDS;7txViGjT&4Fu+uN3~h7!NSkKZh7IQrBkCl*;J%FKj?P}9p);@?w~ zN8vfrQ7Z8XJ%tVC6-2*JbdQ;~-%n@H;HC`y?Z~i`QvS98V z-uNjRp!#v?k%88CDfynj_f}5Hbt}44hMegTO1%~G-8{z)tvblGK?Yw-c3S=QUD|)+Um0cDRBaO(hJMUes6r0u zF+h_4BR>uNho>d|56@mTGn5NR?Myir@1)BL_j@LtAr z@^7%o(~{aQQis_`pR*qKee7-~tDr{hZNi@7rEjYDlz57E)I=cB!yio2D92KpK2JlX z=5<5iB2mZ088#SqA4D205$#%LvcD2CK_1 zQpiDw?5kUR6ChZO$W)L!70`Mk^UFgZ7RwwbO}O?0o7XZSPo`A7fa8q@{+c0B&zu7Z zh`7zK1WY=Pd0rUx+VosGQ8-svtv!iT>*fFK2d{X9B=Vk84P69WQ@Xa?@xqhp@lw+5 zT<;E&_*;G;xK-D*DbZq;Mj@6q_J<+bDQ|O>T?Joh1GFo;Kog;>PS*tgJGRim8WV$S z4x(E(mCX8CV-Kj3xcqX zduU^$(PC*9(mw)Prwv>l)utaxphZMhbp8;K_Nx=(m4!~eRE>{=5U+YWy@MpWC9Dgk zSw|_JX1f}gohoJbZH~{2ft4^W6DM7Xe}{fyHT$nTCl`?u!I6CyTX(B7lBpWD7(MC!R zaT=>gZkI16mV27J%=mS>i`Z?;4MkkyOYaFW5XiM`_m5Yp(5-RVi~eThk&VUfaaJ*6 z7kwL+i3=CYTIH^wrKs`NHCPVT)8|1K^pTU7c3@uiUdH=y-X z&^jT=I*F)}oXocs#hc_O3xxSSBU7J%o@XBnf8A+0WV_{a-8(1V_OfChMh7NvOfI2Q z9d~iS@W3l^i_bR4XwW*Nbw4SjUjt*MHR6L%(&%}vPpXM$%J8`hXx*8{J&x$Ags4$J zY>`7YfL-<=g_*QJ9uLw#3h9>xty@a(wVuM#)^TV~aG5yr`9gVtxyH?b)9SRsZL_j> z!~2JC>KbKpOHAik<$JVPRt=awA3&clwXjYb4rhzcO^p5VYE#-c2hFDzk2k1RW0J)N zG|F%p^QQ8%gK_EJ)%S+g%J0TuhdzFlGa!T&2p-z`!`4sLa>>Cmkb{*wQzAB^(wT!1 zmW-W;m6yAcMDCUYM8ek|K6aSf2e~C&SHo2S+|1?g;1uSZWab=9x%4A5a!e1%qhWKo z4!@UJf4}3WmaQkpL_WO)3UnR_`#^oqjY#`=nkcQ zgIXBx7v?DIej3oaDvdi8lfdr@rqN_2WCHVH3Ue&c0LF(|?<&;^O#IEUufB<$dYY1h zgC+p4>@~&;tAv$<9#Q#%fNmjauX5lqA3v$kmT%%q{GM@p!yQ}ees*aTPJJ%|_T%tJ z(h8diEsiHZFMVO($bc`F+u_jeH}hg2x8umRx$YLCHKPU^(6{a%3DjJhkmjFdQhmO} z^4+5}yjbGip0|D(U#|`Mu4wab?zz{`(z5n;6AK#cahdBpJ z`7?4r7!K#hV!mAa4O%DBLbeaS@EMBtweD}Vftz^)_+-~@<01r~4CHQ`IS?hCiYM(1 zJ=NcC9cWHyX-wooZ4!`c#T6uGOa(!Qh)m!JW zSbM#cMm;U^%pi<*^eUSzq?m9m@0{0SprH&XWo<8R%pOt`ea@+LXB9~PX}Buzv#&9s zl)4-pf0PoojFU0#aLkb;gIW@-7YHsMil~0c0c)D$zPHvI<6>FWHT(*GqJ-i|%4C;S zPhs|e^h?ly7|BZBpBxAHCOLe;c{3DGrKMg9x1%5@w3es(6z|u5%rL+rEh4pil^P4& zdrO$6@ppk7!v7+awbcsr zG@PW5yW|1}!RY8GuPUCG{DL*E#% zMzs6JFl!c~$cW_cl764a%!twBJQ=9!-bU0Y9cF?L*G*!K45Wz;Y*f@Mq6@OYr*1|k zN5LssK2YQ5BJ>C2qaghNH%+xsSQ%!|!IwJFfLOwMj(kr$94;=`V=Rr|w!|$P8wh@s zoP=5ByZ<`Pnt|!We*9LkI83p)SFsqOSo~M9I9aiHMzOeFvDi51iT$CM^pR~N&vh6a zsw20D$#j#Xt;I^=nw+tTt$=N8lQgn=oLfRQZ6;8W6o5Xb-50!;$v$LJ;?H(s% ztK*?ugvT9-E=t#7=h%U60-*AH3Aic=i0~ZkOGX;z;nokyh<)`puAK4e=wGK?b;bBc z(+u2Z=@_uw>v3d``CP>ek|1{kI>5x$gnjceeT$8d&-+A@23z zu*Yy&a()}%)3gg-hS!{)e?6cY)s+QZ+7vLcfP|5iPnYlo5;e< z{kr8iAP5}uqpI;oVO3RK;YUjc2M4Rumfrg0`Wc*&5vNOskA$^N?~dLu+W*3%6006^ zKT@{IabtCD5ful>&>66CKQA&wtlg8$ z#nhH?f6!Y5ytg+b(@&1wKDqDWU61wH0sHcVopyJ#d01HST23!7z>#g7EG>4X3#q)Q-9G2CaSo#2`D888+ki>_t%K|ee`AK1(gKy!F@Gna$^L2c` z0auLCyv|~Daf%*8dG5wTt}2ZXm9J*}o0?6QK0 z?Fa)xo;|Jhvs-J4ahRpcS{P&&m0_Bs*dr^U(3!oFQa4w#<=r?6x8$C@g4F8n`094% zz9f3@A8G8iB@mOFjx?w}d(gQ(hw2{yrnuQ2B3mNE))w0$muVf756<+DAR4dZcrE=9 zo=QlovA7He(`mir{L!vkcDHm#M69Kss?Xh^!FxR8PpQbB48OHO$&y$w5&yjHX%tsn z)2}*7BA3!<8PNhSX{Cnwg&xw6+%|fD=qH)z_<~l7jGG2r;=<0}>qssvMDn=+Ea!By z0Q=4A$qT3tQUk1-%)i)VNvI@xYgt)GpkvzPf8|N#bJ;D;pu;F!s4@If{WREpU!o-- zCQLYn58;_)lC-3HS$USGnZE6Is%jPXBU|myM`uI#7ziU{{RYLDJSJwoVz5R{FJB`<|!1+;F(-bW2>(nL|Xy zMlk41hEue+*W8+kQ@LjMbcl{#)Q1{5Bb%wcd#OiZ^w^Acb7taz=arGKh`IH0ql#7D zfkWe2K7A&K!bYk+os)$xjhPk$oskX$Eu)F(CpQ`bA`)XVY};Q(lSZX!eHPuW$s+>f z{LO5p0X`$1(|WuPV&~h+Nz$M8jkt%%z7}YdGuqEoZ`*8aZYSTXm--|}M(n(w#KIFoA7S@8LF^L262SNcT3_-WwR5>hrCOvF3lDSRj)wX!FW z6$D#Lzr5#L2^FGKK`8-m0-TtISU8vt?e~WJVIzR#{~KF!4tnXadt0SF@h^ z-Hf=`h3P-5%lGw8FE6Ak7m*h#bQ9!6|?*K+|K3}elobmRdq|MtNsJV*;hyT9r_ME8su5vVgxtv)XP*yWmOG-=_Co{%iIq8ah`6bLyWWPnnv(a}bKA0&zyo=RHY^GU*~D_Ple^$@I+ z_zIV=9QymtJ5uixi|=Bx>ltEb*XqG+Gjv}~1QEW%!KyZtN%Ycv58rfc3rf3ls;oh3 z>RgS6Dyh$l&bLga9m*`qOkVr@_pUDhLJfzw9Oy4@`f~S;9S=_oT5adqbFu-bW>+T| zw#e+|70I#L?te=d@7;cAa1(VC9sVG=dMk{%HAZ4q)K=^5%kQdn!vf2G^iH>hpfB$E z3M-4aW3=Fae-R>IvY>X~k6Nj(u(h2IY$w~&9FT{{ zitbK=h-g!gYePk1X3c0RPQZ4$q+Yehice?11Y63KTuk8s{BQ8^@Bqd1)74OXYWehm zw{BYX=fu?O8t@Ezm=TR)FcOJ8AlbXM{QFxIpS|g;{8Q6m*%UF)Q%&8XGS1I3dHB&} z!QXUD#XR?>-dYt^25pA7_}lO-Yo>Nhd&s4_F+H zw<0Gic-~Jo?$#KwrVviA`UxGD=mG`Cr5|4J=jDxfw=orn| zsS(;=wmP&l#aMZn@y?2sU+P|DhrMoMnCjw^o8w^~L$X(=vQjEOH`AMpnSZ5%jfe3v zVwb&Dwn=(O@EIUu`l+rmH(90NbquL)5$_0bCU0mo0+(1}E(GIiSMZ~Wx?2-XSI?MV ztGlr72`apbb3`B|K|q*{^c##=o{P=v+@~ZlAu%O)&JCDvHSMO|R zI-5S6$$uc+oL5?Y4tn}_G{d>-{LGHR7qPu0v{AL_RUQMht<1~G!`Ya*+`jF*?+q2< zXpOY#JjK@TA`l(rY7#uG8)_kL?#)Wy(!+Wpc9q9xYzgHvW3m6ZK)bC9XI z=NMl*ohaSt_hs~-Jn%{Bs|gZQN5OBVCIvgepPLL!M)DaF%Zv1>fCDSy?jN;uYEvhF y4uT|^-Q2m~mKCi?3y6DNjIs~K}X@-;-I%LS9{!&T~Gl(Ev0)hh4-7!N8LnEMc zr?lXEKlk(LS?~VxTkBfu>^OVveLkFHiLVk!T>x`+?_YcU_QV>hP=NH+Ti6I@nm$mdLRik=xsL1hW5{)%#U>Ma8U(im3@X((V4o)m7B%ZwL1}nT2=(1%J-3rAn>6axn`EKL}RDK@H*A`46{Ng*?*Z z8dE7M?f=)``?F4whvcFhj>km%9vfMOL|+Q0uV zjsF31eoU4gZJV8V3mLH{?56N zhUVNe63En{O79GbA8QBMoLv&|G+Q`h!Ff;p!5WXC zFCpeHZfCT&)#gYbrP=+baV_%r1$ZmmsnLno#9GzL5<cV(F0R;F%0|sHeVv`#Dziw7?%bty;8kkn zuO}lDZJOzQxV6&swUG91=Q#0Q%}Pjna~_ZRD0H4LH|w3Oqi}`mP{}dpCxWTe%1zpl z9pzHeLB5QFDabyJ_zrJEv2%^5QkD|&*xm zGU4Qm_PsuTJ3?2fRvORKw(y@=G2%N+dR9dte!7OWS+(LrT<>}^#pAu9se1PBA?73}yH|B|Y^W2J)lJPxiT2A7XPqU8dQA$L}WzuWV>uXWqKJ{*$EZ znuk|EHYG6fxVvb4gbnLB)Ll7iS^U=t0gdkQ?BHb`GHHc3+=*aeM?1Ru@0ND7_iuH3 zpTTKlkvYZYq1;`!%#KmCOIF#6IibHW;H;LQoi!^z5CzEAmh2gnU^}m7H#3=8o{W-X3#MC(*;$&$scR+4d<1r#vl(55{=GC(JM7?w?)!4!ro?zf1rFQGz1}ENx$XPT`~W z@gV@T(hcet;^C{zQ3b6+`=-mICmUOrU6_qIWyjBxl~~uAcW)?sWhi3W^4>eJro}6^ z|A)X|tH2TiYhBJ3>=a3$=E>e^QpKUUo-j$dw+y}H@za6RQ|EX?^Au{4v@xgX14Ln0`3z#j&VVi0vm*K2W4y+b?xh{ ztHOjH+6VLhd~0~9bo*!I7J{NAbVfsTh=(mq3n}CE)B=2Mg7t3M6~==jrdsCR1b_K+~ky(5R_ek&1G2 zSn~m&z-i}L*d=hQW_Cw_YUDpRLjLB6BhmD5Ajh(F^v1KBysK(P;;Ctcm@q)1DwlqT z;vEpTIa&n`FNafP^eqozOd}`982XKbf zhH2@9>Y5_+O0DL4R8}6KBum@gGb@xqGACS_nfmSt<7yfVI*Zc&pvp9 zl|35_%Sgx)V0XF~K9@=WFXmh0qm{Byod74}*vlaZPk7#YE5A^_UKs;j8rU!RS=_>0pVNkPsG)dx!tjT zO9%_(VQ$h*ZseQ+5jHn6oDJ28L$b+5C=$9uW4-*6={;GG)rLR_zjMp`%15!gDk}u2 zOI+YV7xOX-wa^E<)1iT-CH&xLzxYV;eE*IGbS(#!B??mKxLf2eZW`cTYY?zYe|eXk z@W)z}357zx!IzNOPeDUj^*&PYuk*09*j4Fp$~n(TqU z-zQw9@i^rlL7S2zK+1t$CGUA<#tjYY~uoyrw%I8mK^d9t!fiQQLA`4N!v_2-PjLvc0lgI zo4Q@BgLlagh87GfPRP0+RT3k4ilJ&AZZH`m8z@qOr6Yg*L0X!sSI{$5e*1cXs$q>j zdt;b=|02wl7vd%OpzHVBS2Ph-0nKtK?ndP=VW(=m5XeP~vX;-&Qcf@n;{=483WOJ=jM(EWNm?I13eDuT~K9EPnUju3^2FzDnrU^yF zcMw(2v=2>qF>P$XGm&_F>8RJh{->jsmMe<(l{AvTw`Kl+e%?3;Dk6kJJ_z&nJ3 zpe#8dt$F?0s{f?z(Dt&-NpPsHL^)zZLYvj zNna=bvk`Zh6x9@Eoa;lCy6A#=CH;m7O4q}O|2+Rcs6!1omMCMb^6oE-Y!Ch7f7~7+ zI$qW$u~ypVrsUs%TtP;mLK2Ef|MCf@ks;v)pP7xcbVkeK9wc#KG#p0=~u%IN(ILIhs zl~h)QP?8K$FI&`N6cJRhg~}_=5R|<#s|G4CSDk^E5*-c-sRuE>Z9vOxivJQL`fH5X zyyMf$AV((yJF?|9@u3;*b~j*yfc=`r#8Th;%V&$d!FP{C8W;P&cP2p(tFu^S@wYn zPh_XNuwuCDj#^*+oP2jeV>{~3{_HaSS@Tup7gUsWd#D-DXzU~-^3^sn+?t_{kr8| zgaz zt=W;@?w=w)CM)@X+qiW#PH`V?G79c(@AH^m@7UevxyhBqBB|=9?`);9SBy2vEANb0 zb*!G0U6XqDJ7&0$PWkqC7yZpmwi%OIUvfeE(5PjLiqJL&P_W_s25C0yGw2F2>Q9?4@Tbi#r;@+vcS#-~l(;qOZ~ zKi`SWJvP=Imf^bn-D7msT}oe%RL+nmtrxc~(<%|n?Ow$GvCU&JWR@t>8x;U@Hm`>2 zhJM|5ms}F9|2Uzup7Fg@jP<}ox=3#efeGCbx&{RME14R>!G-e zApePN4d6C&g0W=>Uh?DG_Yt#kyN2$h@B18mY+4OEwwak)ua~vUgpX5X<3J<9|tA_sIP_q89v?V#Xp!28Pgk z;}yw0a6-Yo!Xgmp-?K0by{L34SI7J{4ryHLTr}NrqxRWLtj@3ZJgEi3?r@in~ ztrDLKD>Piq6b(-cKTi9B?>b=-JzOnpN&WQdbSH===7(KQL!V_=xpwd7aXsjT`wG1#RHo zg!ZgoIp3`;;n<^|!H=E@@o#Mj{5(ks0)myVjA`=`5$Cr9 zf#2t_sKeoKgjc^Pv{^2j?h@;^sY-;5!lOR=zphalVUxawZITzYJ2!Pa&t021mpFjt37sHSom_$2fD~BawU5OqXGi+=(Bw{G z#T1r-^vNs11_x|u`*&g-5_h7`A>(ElJYHz6UE6Yuz zO%_^wrBd)ZdVj>lC%|^-aLm>EHY}vR3*P?A*M`0j7yeFH6mwWUDxV-_6l3{tmcjZ8hq%4g{lqI zT)77WoBP~y3rj)sJGQ~QcD!T7CH!NYH5usO_fu6_ZMpEvpV5bOh;6=?Uay+cu3UD!x;AD1eZ_c9 z^7u}|%PaF}b1L_d$wlzP8=jtH*TKs$V>2wAB(|K(LH zP9>TwHuOyeMMLEF5sr+_YeLaY_sT)A+sn)zl-LL}LGJTsFP@^M1|L=h6xT-g@(X(c z#s^1~7hieyA;*D?7hfBlimV>@I*GkjmhJABdj-TSyx5CuWc-e2I8WwU2@P3vCzhx; zm8x4R%@E?J)Aq^7W8_3{f|mO~e2gfeHKU9@TM`iAmvYPRqdt_(l^PGybxf3@ zaB17RJ*y8WKaH5?L~OtKWAteoT%t$=K;22K3bz93cd=7ShZ0k6t$&O6vRs=)AWQcf z-HOj{RC^}p0ZxbI`{|Z72{KB9xp;RHug)@V#DjnLihx}HT=aSvm~u6*|7lRWll`=~ zIX8W`BE5PduacGfTb`a_DWbjBUkb-^wq0FHPeIjesrT4I`SKhsPH(Th-fn>f#+fMR z{pFlKtxn9hFn1^^EiLrdt7I)l%+bK?(-+zg>Zj3vV0h5kd1%V{kdu&mwJ*~$@6_>p z-Oawl7u~v>s2?WKYWI*tw%6`=z&~FGfBy#Jgc#|lQ|nW;XUt=@ZHh`=9Ob9ANbA5z z{A>vkucgjRX2*jlMWOKuz5-{!USNK*AUnRm9IC=fMXB;C3WQ${!xlilh*L(hsIaMQ zwuoGeoU&R;`fRqHjx;SxZOTYk^*HZy?6M5G&Arrb+MdlHQo^1$*?kFeHuvlblsT&k zHwJC-G9A0-TZOFAi~sV`-SQEfq^j_>+-vEu%GvbGbiX-Bux)=7sBG-VH&f-8iM3!ImY%=d>aHZ=c@gsD zGO)D*RyH^kFTIq}Cu{~Z#hdKAfe{Irv6oAPGYTrrPF%8H1*I2})MF1oGd3SFe`cQwwXM+hDUrV? z{tDN`)RyZ#E5G9}xP?<#As%b#aDW%0R*kAQ&r=Aj%_+fhjgq zblFX31@2fLhM5s^+3Qz6QIg3VphOt4R0K<@Ol^)wYKAWY3mX*j7xy&{yj zWjL=5s^lfeoL)NvlvK}poCmyX8OO}^IF==3EXMLosSf39$fl|71r04!a;)$xo+?pH zwr&%6IQ3^lJH5U0NMjmW{5ikHoUUc8Y(|+(ML0i-?g%lr6YCDhuv5^K>^jQo)Cp8G z_Fl}FaKYK<`p74~k60b^?hZ&X%_faF9_!a9(s?}CQ@QN{n_49aYb&3OWBjtbIY*r ze(y`YCq4VLOh6qI-)Ahl!@3>h=yA0fmT%iwl)4&g-ZmrBC_$$N(|qCn>DNoKvA>}q h2`a0)aptzgHL5aU&ZRG?eRNNfBzk!{{t;U-X8z} diff --git a/data_selector/db/data_selector.rtlv.hdb b/data_selector/db/data_selector.rtlv.hdb index beb808b579f99d4583a7f3b9e51a67c17ce28aee..dfd6a95cb7e672218f84afc90dff19bfbf942259 100644 GIT binary patch delta 7135 zcmZvBbx_n%*FPQ75>gUNh_rNrGzx+s&4RSVB8${gUqE6}5v04jTj_?Sk)@>Br8}hS z^?jZ{e)G=!?#!LfoHOU1`P_TYxo76w67fUv=r@4xHO7BNE$x31jQ3wWWcV+7WA1?o z;~0BkOoWy;2d@|UX$8qY=e2S`8`YX?=OXOv6aN^m;-YOm>lJG}%IbMc*&A42;PnUc zk>YRmUUs}kzwh^qv2SNwv!oQH73>iheT|}3`V}I{OPpjnc%ct?jku4wPc65aI2h*a zLbMGH{m<^yo`S*tdkv8J21sv%1$VrOIg$U^2}W!sjBwgHmglf=#**qsar;t`D5KRlw+Dl|{cag*58-g8-U z3g0R)n$tfD;R*+E305vwal^OSR~pKo0=MT+5@>uzdC0580o`Il8P*VEqs>pNgMSQXseS;b!uky`9LQSin?aj_2e1sABkIC4X)McW*S&kJ@K|R?2M=^z6 zUTjc??fTs2YW!B;x|>IBI?n!`0e(O@ppR))*qtH8Zi@PxN5T$aalqDKm<7)T$~{45 z48Wbf+hqPOefv_%>+h**2} z{~_}Km=SnqaZCmG14J#jA@%E`YzMWt9*19D^;glm(*TZiTix3S#R%rCCkz?gD6GzS zT>Kuoq;rmz%???X9e?}+d@SLqzD#>rPOn8!U^k|P$7x|PM>JjW@{S^+R2VL`{d48k zmaft_G?be~VmR%4(LEiNVZL+G`3An zb6<)mil0~q!k#p&GfbB?vcqS{#p4fUNkp8_*M2#er1&bQ=sWfIF+f<(^@CGPAY6|a zY96s!9S26{f{J^?K-$J}an-MME2>S(!AKju{2bR*l6*63YJ*jW1n)ruCffvkYe<5= zj!HUijFb{!U`fry^J=OPPFv+zHc}&&_C<;78%pWYMwA3giDk7OM1>@OwGhiPucAd3tZvMw>O9IHa_d1t~t#L>dr zMX$T-JXCYy<=BOh*8NpCQljuwvyI}WlC}0pa;8e!28oDw{!`E;=iw{o%Ag$u#@#nd zTlkBFYXD|PBow@<<^9+QSEUz4hFwkWo|j*h9ats8;S&S}(U5r)ez6v2Tn+@%e1AwV z)UJMEH=`=b(s|BOm#6kaGs8_m*H)-U5y1(fj23xx&Zizg!hlvL#winPEQm-Wcoi?5 z{i?`m&Mf*XDBj@Gaz7=oN^eyH;{60g-JNC?$W{%dihgRX%zlYpDcaT8gNo)=zviz);Z>8Ji(Dw8JI0nXz-wz{ZUHNjv;V zgfZ8+$?$nCmqKZ+Mk+PAs$QLO=2&@JWZJIXI7q7SdCIf>=U~#K@zgoqzIbEING&_uTYC|n@koa+2Ac{H z0|i>G-*zK-IYOkm;sD-M91{M4iL*qt$eXn9?Mf-jX;?yK7O9_QC=&m1803M_Qf7Bd zY^`=!;0Hi;9z`S(5UM;h`%R)9(zWm5Y=){HxD1UZJC5&2?);uo9DPG}pi2urSaF>= zU(ux`saW?sF4V!S1Z9qI;3PP#x$m#z(HREgw^Maw1JWMJIt8abP6&|Xe$JbY zW4WCvc+|hXnnd3xZ+zW9CH%X;9I7YFd9QJ+ME1*4mD}aP%3_l?|3>D*Sr6@`de}Ki z6A-1TD2(gBo-=;`_Bh`a-UX8v7>a%f)TNq4{@E7Tj%vq6WUC|o=6?!Zy4P)bH$1Y> zWBck4sr)eW1HeMH9_kQv?U^92*1yS;`Xnv+UN`DZ=^``Ux2*Z+fwQ>j+_7f-F@ALL zB>u}1-o(VsV1J85R`H?ej~K!7uRVy_#5JicKAXA(UOGaQ!;T8TNi57mjy?Nx-v`;z zpaE;40h%9cD#*rzCen@ zkk$6R=+mMXh6GM=Xoi&+XQs>H_QA-yaDEzWwo!X}H-PR0gQX?Qb$z;cokM1eLUa`Q zM9@QBMzGT7GwumFi+k`7^b@9VTO2?!OokBNI-Q9XoM?3C|3~BvZtq^qyd&#f9k#DTdO=f=Yp( zyr~C6G@t0K)%<8aEE$c}CE6T)sxHcmQo2**-C6Ec{1}mo_*z{AFcaPB^8OvF9O;!-2Y+xF1OaqVqG9ErB;i6?)L;^|MPCNgwuPtd zTkAh566+X$_)h25hiz|0E)N;idNb`NalM_L*VZ4jtXW1qF1!j~w?1`o+!wrw`^o;P zig$%vVb4BFDJXj5=97%3Z$VPIzA7^_9_wC|UF39|LmZC(D%{nwd^JRbSd!i_T8 z0ziJ6Z^GM#1fL0TBL{f9ZK>=kc-?h;A|iqEm?Cf%BJ&vTjr@^oohZ?#H|f?OZxF_a z4_{2E({u|amLwtfkm}Vp7w5FwFRJRmEw3;*(2l~+AQHUS_1Rv~&O>D?RgCjh#IPC; zMLXFbHf<44Y!IAuZfq>)KCQ-_S7F3g06$Aw-tms?VlvMZZ29%2>B{Ub!-P}6X3`-h zn|49_;fp`hL;>WOr(PWdBd9}Lgghdec`!N?qsy1F*O@bOSLsl&af?5Bci9H9D73~z zccMq95n^d|9}i^pTt6g&TJ`??};Tk^#Oh*IX)#hY`Dm3D+p_2 zkRYiY9(ZMjGptV`XsFg4>Q=r2n6%Jx%%wy#5G`zjNETY-Re0Z!|1yIwHjPbbNkEB_E(WuwV&5t`51V{6o9BZ4 zb9t6eTzuobQP^M1E;a8y0|92UM$4jC%-`ZM(5B{0&f^#CU~+h_e$C_(pe~^jY`oUt*88qymAxDK}Yicg3KYfU7c%Ig-HDF;Azfp`5Z*o0v*ObSh?cUw)8z z#mgj-HkEgq24rtxw~gO-Qb8zFg_W_5#6vjy19nd}%PP3H(=59+X@8XFRr2lokkt3> zG1T5T>|2%;$A=k7 z1$b-&4?g5W!6%irh>tdiT%k3nLRV_4=OCrfK&&0y4n`j>Tm6TIGE*4Iq82)HG_-qD z`-paC6pGvd?5*CR&EC_w$ZYy15a;lJ`#N14$bqh@_zv9WZ20htuYbs5Ka0Xt-FnfF z_4ok7cPT*uZQz-|Z#1>>*2|N-K412)7^|0o zo7Pg-^T4sYZNqH2^!xpL;^YHOI=2L3Nz<@2Y=S~~63ph&{)TDkVHErm2qi=T{mO=# zV?#*=qNx+k>;GD~3$E_vgROZpC&@8@k zPas^vLfMQ3WDo%-`wEYO!>1I~pM{HphNM_RG)9WlPu?cmv=TQe#(m1ybc-i$GzoK# zVVxO_-nBuTG3EW1`EkR|a$dbbV?6rr(f?_KfC#PqyEHG%)o(JH;DiwdwQtNADz%%y zh&Ty>e4NT10eNxMZLXSiaWD|W>V+6w_*b|<0B`Iq4*5WiuT4s(YF6VD+U7QS>|oJ9 z>HCN?279B*ft?n9rEAv!3cQjS;wuam&s02{m{VH>uF#sILSD+P4$km6pVIGKDZ;j8<{DyIPbQHt^rcfS{q5WB%vEy^#IYr9G}LWJBt(5!CdSseHAX)4Zs zZbT~a)VqCAx$?zqW!&Zz8Ae|x;@9;li;yIOcXIjgr3~$8FKZ%1YBWi{DPS>NEv&zP z18`S@-Cc%NUj;FYb>_l`)L<84B4uH|yJ}+n;fn+zO<(pLEC5II=l)b&8H&@Drs8rE zkKzp?D@}eTh#YBxy@%0zyW9Pxg2R0`Qt`gq^!E}vjOh&XGx zozZhaZA>T^s@M6W95SQ#GhXPZAL*e6O~N}PN04^EK#ims8S}9)2xZJN>F;t#J<++O zSsho~N^|?-2q>%;75iB)BG%9#!siTuK%6sXW~V2no3a`kNdP`bkii8(+lEs4Wrw1g zr!gth_OScr3HD7^4eyvV#^`+XWMyHZ0C##+ux#(k`m*5~=Vg!bM3r+DJo89yQsM?L zTQ^**sWaz-af=6aA|jpob4?510_=L~a=6I~Kgn7xVAJ36V`up9)MDfKwLMXCFHC1M zkrY+F>zob#L%JEB?r%&WX|>|jbT=|;pkN8XuvoIOzVl1G!qdn^yqb(EXQu}tDHxnb ze@9&|d=qb|FsWa}KhOwqnI|)SU)ERMT?wh~^|1(3D|^h?XA4EH4FI*6n3J{ZGHnVeL8ypmgpLcz0HR^4X>6&L<#Z7HKhgR5lS?+Q+bJ`_)0 z6a_1RX-lrDexk-L>6Jv&t3PZ%6khHgS1dFW12UVgl*k{=r`?xH2iNh{C8Q011=AzMulnMBb)N#X<~3s* zPxP2PzB>8RE*S`j(OH^_`6XF6-;1uI2O~`@IU5VkZMw97y2mavk!+V1_|(-?n=UEu z0Fo6Cn&-Xi8b=3dnE^?>7QZ~YX=2MZQ!oY z!MyM2Nj}n>YHo8ja<(TQS#Aqwiy+Y($$iA8vZ*`$aZClV<@f08?hx_(=Ce9UT_CuGoVQHV0owXU$6SsMLD$i&O*VCLjKI8HSPnv zYeZe8ccyOaQB*0JsH?5p7+b8FUQ7%K|{O0z4^u%}Hr~~#GdsAk9p2o3J^3ZCQ&RS;EgV-<2(szG+9dO!T_4^UD$sZZf zRJlG8<>{J18*g0#tqa<>wSmE0KX|~L{akyudTrSSC7fRpr%^j;IhETneJ1HP7VB1S z*-LQ0S`}BC zyYp4s81E)=;3Mb#FJPN9;DX7>zg;Z9Ki;V;^|FwAG0%SCZ}9|R_=904Q=0zcNosMs zW`*32&(iAqjt+B)#@n+ypQhu~+r8-_roRzN%Yx(ddj5^uWAsO6-2`Z8rB%{^&e?;5 z$5?vb**x2ltlPNMnqAR}FpZ}8D)?b?_i!gS!@yI$Pr={`07#QPy%($!K`yqOUWU|m zyW?&A;2CaXAKQD~F zBS`_;twhJAFHH@mBj|bTPB-a!fvKkQO16I)c2+iLF7PoYty!sYaZy0*CPpR$xqH)H zfl7=xm!H1`YMM8-ChePFNt(_t>N~>=o{gPL-!r=Gk|8z5O87hN(Vsu%Tvw0UW%5;W z3z*Al*7+aox#{2R_&1cU{qbw4J@ur{+;^6RoK@9&R1ef{I}FuA4NkouYNQfs`(KbP z_Y;_PzeulH;Av%9(jq&b;?_6SFtae|vGh ze#%}cI)C3Q0UrV07 zAl?KxWLkY^rXh*J7P$S(NwL`8vQTvtwtHmWQ_WDa*{d$;EJ=HN{RHFBbBy21{*l8v zFT#mWTjLUEUrL^&iK*+!(}#7xo~Y%lx9326Ow`6?tb6mT+ljg=3w3eIy$96iUjFOs zJ{`x7og;Ouw8dIkY;lDPAlm#2`6B4-s#s9bNj6wD*Ip8V2>H!9&<9v zJBCET_o`m>v0tR8d_Rw#XgIZGf0}rH?{=F-a(pk=z=pal8veebCE?|_2&s&^A|!B| zowH3lAHo-MHj|1H$lUSXU%JUk(+3LYgeaowHR}sWFWptPXzw2WeLFlncw_p#(^jXl zqO7-r;l!;11KaX-LvQ^a5V< z0SjZ%))eG<^a%3b(8hpgB>tSRZ8;fB@;yEqZh<#Uu(PCPW|#29#aZp>`j~hMvv|V? zMXwpoH^nWFBY!_hAuFJ93_Kb|tj?Pbgr0=%3XAGgM|NsFTzGTfhdu_X0i1XL(r1M>%Vf*r?U%%?*%|e}rFS z<74ng>~gj$H7N|ec%ldfeCn&R6JFpuw*^D+r_}3 z)SdlwXOq=m6)U36KN>6aLR2ruQeCRg{(hkJE!bWbTd!X5sEBM^1^y_jz&Ti-L$mhX z_J#^^-;T8DQDN(L5lW6dZ+dZ9#a$tNDK#)I7hG&*%`^=%T_!`|jZ!={OgHy^q3-Wi z6;}C!Cq!2&mJ_V9x;2{rY}E54Xp53~!By!9(U;Y_@DAf0RX4wh?OUmyaxTT~rPvII z%|Vvto?`;t6ylWmOQUa#Ir1l^!V{!m=NDOEv%H<)kR~IukzA&jib6vg;J})syS$E3 zYw~3AAV`)K>c;)DtZ-F9eC*EOy*}9qkA_y7OUT}4`qi)YUlX&S?~gIm?y>(jgZ>BP CcI5j2 delta 7066 zcmZXZWmMGB_V+0P1*N+k5RgGax*H^=Ll77kI%MdOpR~Y$jL6Wbw1BkKh~&_cLnGbY z{dn(v^#XlupLKSe^J4E8dtbCfG(j6cUt;~&+-U!&7Wn_u5{Cb&ANEe>Lode8 z)Na+b5AE+45+43&#di^E1bYmc(3@5>$8|W^J|!drz3H01h~ee5OUA2W3wfN70@64l zhmoqG8+!IoJ2PSL+3|3&ankFj>Qxo28v5xxBWC9Be>FM-1%U;nC^yqO+tJgp(Q(6H zcU#veiN>a@KS$<+7#{^9^eEXa3cK1dBgjql0K_7ht?!lzH6xl z3%O=@v>cGye9!3kuC%0V^7A1PMzT*~bcr;O^-OLjWD>WM07BBi{89S<00ZeiNQZVQ ztU?7OJWB%Qb68bLwHn#G)5^ln1IYP2`(CWr+#&OEMs5~=NrbdMBs2H%#xlQaYRpe0 zS%uC2jhA@Z`tmV}5WC%B!^8e3UodZL$lruiT^!)G6Nw?L&1K&nNY(ikDAUp1|9@rt zA0R_g^UUnfF9eVb`BBvj>G!Y8*?Uhm_&msEJdMPrGg)NIlIsvBplVTE^95B0A%09P^MoO2U?9DqK#rb#Xd^Sh*q+pT#J$!39NN4` zMP2eC!4BWxPpEf;IpB1!0$m?XQkctp{=9qUBunyCXcyR?b`&&7cT#Lb#v3J>IBt#wXwEis^UtJm!no@jdxGh-KrmNG(-hBmIq+MF1P zV|H(qG9bcm#e~~1`>BA-xJf$HRgB78LaF-uKl;&Ix2{*W7WyB&>;Dfc|HHdmXP&Rr zr=Ba*LC1|5pt~=pi>NEVeljB(h3P>ulgnUVOpW4dO($f&jysa24;Iz(^Kx=b_)k4o zP5p16(`=(c<(;mt6Kypac9j!-Api_VR`!EkS)296DmDxNzg(rjF>uiVPlc?N$9txw zOEgNPd<&CVuo3njV##U~$W%Q#H367Xana4>9%-VpD|?yGevA4iTl+KRowe8Pt#I>s z5uopJS|dCx)(8B^O&)N>G|A%S;Vy9@BjTlesvE`?6~1_sNJeYy@GzT}h@gam5<1 z{JM+{TCP6$?*%ES~nh+C?fr>=syEYkBe=+7LRd#sQZ zi?jnHE$XSAI5iRsHKwRGhZvD<^$Jr|V-C03Aaoj>oe?EvCs6LxS9tjB8~!-5Vx4Ya zOR1Q+7o3(iZn{e?vc&^0aHw`u%uswZw39?^+zXxjqxV9|d^|}9cw{)?-T70nw~$Xi z41RPa(pm^mP8ViSf-;_rON{@4k$ThTe)hzw3$lEWD%ohu?fsXCN9uh}YtE{yF72nQ z>c^L}>!N5`ob7JBcuTfnsEbm@g2>_#KDGAYWbZ|7!lxyk5PSTYEzO9k`wh(qj}tW; z&)$iId^583eVMy9$t{Bj$BdFCGXft0z(I4T67UAvBK@C?CYX>EDh#Fl{f3us8L$3G zz3@ZMi3ah}*WSexdUl}X$LgTQWzI~3Lc|{ zxFp`@aLv5bP!vqJ#@8T7oK`pE`ZxxDL+}M6e=99LFWqujAHoA*Tl9e08T-y4hViq7GK_W!LEgdKZ#&bDmzy=dPK7>XeD z@oP)fv4*{CtS3rNxxXfpdpMh}uJK`)n%wrxK6^>0jXElO1Z_l*3TGv1XYr&SSEL0v zm7_isg8&W?2~I8rTrhaI_Xqs%r#>`=+)nKsJKC6>6WL>8ov4*8?0P?xzc$|&?ok7*Pd=) zN*q%jNlKs%UvB=gM@~neK*{P=B5tbQz7@HmGdZqDxqA_xDgB0zo^#X`=Nxw`?h4Km zJcw)nBc6i9g6t%;QLxjcOfcY@lt1JB&L*GFqS%)qPK|~jAAWzg^0D+Cpl9Vss`(3S zP*-KjY)j{Cjr!yn0YTLo5mJ#2sa?ZZN`eciKYT|ztgRXkZ|>GD&qIE_9TtQUlQ!|b zGDTMk!URdZ#X1e&vS{}=zP3gYnOT&XXOln3!leZsN_+27eOt;_F8{+@{uxmUU7@GD z%zy{IRS`j>e*NFcA97da z4CI9PgQVEcqZ0tedmY?6@?&`7SaQUdlUMITOYhWGWH>m;M zbcrD@IIu1>Qa(Kt?a2=sr-EdKwkT5{mGFEUDB5;@q3aJkB@DQ3-+n4UG|n^jt_kji zRx5;OJOdT>q3PtT>hk5YxQWCyR0ffV8o2F;$=XalqD}lZ&y8N`R{&3u8x(2^JOhQv zT@VgUuWG0u%NWXH&b!rHFObQxaei6fj>9JDlQnK@;4WBI4Bzt8*6VIxS zJ-G9%Drynn9ymTPkEP+Wtc8J7`>emxANsC2x>~5`Xmp#8Vvl}BYqF=hdwZBVlAhINy-dovLS_(k?lxIg_ zDF6rEzP2kql^H-{#4HEMw+}6Cr=-&)OBjyQq0au}Z+pwh^OE> zlhz-1@V-37?wIbrGVeuqPb1_07Nqooq9atBGCI-L@XmnpDA*L;BM6Iu_ahJug0SbL z#fC?R!GVbyJr8QpYNaOH>1s8x9a5%o$#1jR#)Py2(O7(rWig z$aHp75Eco~;0D=aFLFN}loE`1aa=ZDtq9vpFn`7_D$9&+kzv7~1E&bQP z{&!3EwEDyhkph1UTS|o0PG-3d`=b}bB?~vh>P8c{FNR0VUzJ1?f9w#4a4RRL*h^*8 zQAU#!zEz{8VuC4uJDJfFj{JK8?8G>f^?6VXdMl?C{+3}SZ-45MrIx`*zWnrX{pq1K z!sLm9gxGh|{O>qy8Drn6BZ8Eut5j5EKuFIB@XB+#2DAS(vsyt|Cft1gDfe;oEat;x zciNj37>Wb5fJDsLt~C9(!GVVCX`shlWXs(-4rjCaTDWaGD|vThz{fGwyB;c=;!xn< zWhitH3sQm3?o|lJSE|}@GwtV7_}D-Iw^*)_~G{Ei5sT*XSkJ9G6^%;Z}Zz zZjwOZW>xkx(r9UUIkp+C>=s*F_sWd-N)SuLqz?NTO*F33k{m)zjr|FAw4&0|YlN64 z`x64Tu|2Z91d#F)7edU;XoXf+O^nHo@@)dZ% z!IO#Cwb(zijF*Wi(^G%9Y`2W5Oe=Fht9Z>H)zB1mxXI+ySxD4a9Sb{9(<$IfW|^k| zlA!)#*kb#1dcW?lQHEa3K0AEzMdDU_eO)G)v7dc!(XD}+or{R_dChV;Ba0xrM~bII z+3yHSCX(oOdcD5Zwe9BFebs2c1m}NE<_r)F^RB0>xtmh33uewcCQ?0!@v8fi?-F$! z_9SWcp*ZBPYHiEFU|kr*^#iASiD^YM&?f~-D@3c0^%;>rMx>&z(mgMDWmb+5-n8h6 zGA5RVt;hBqg)?Vede=%iY1v(nSZ~>7ZS5!_P;!RvY?1aP3t2W+FlHOw@xtMbfHgMu z$txu@)xO=;F%$ce46|8@x|){S=9-r3um1B68mh-j1!Lp`Z-ckp-9xE^>_t2PTI!oo z34l9R6*FY<3v!8w9$-vV#8VH*kbdf6z7#N2xKg?a=NP%MP8swH?gk?+*bLS5X(CHx z!qO{K?dTPSGb`V1-WRT5jtuP!#JD!;de~k*IMFuEr63-VKT6rVNUAJ(Qg_0d*^?f${3S3b^M>_p)zBJ^KC^eM zQy{5(Da{)?`6`#71WT7Y;X~cNIJ1fZnAUDj$54$|;sW!-jnaaEnp&zi^R`>!CHIezL_{|>eb^TTF{ z7medB@X~L1_AB)w=R}2T;H1dEK%m`dsNhNrdPm- z<$ZxycX_}(cfHJ9hia1hXA0rDZ}wv+MXM7Bj#o|7^Qf7FKyMd)@0=0mt;#mp?>{4z zOi%^yjaJ02UgH*OmN!6w=a?^UpKa7D5MQ4K#iZ0}P&Q9e1ng zy>!brETvOc%ij4u9?tY#?WL*2v+ll*jzd$YzE! z#$$eX++!YUb;EHk6ypKjVtlwRlre6&Xttv7i;rq;D!U6)1{+^WF}a&<q=f24c&fPd!3<3vI_Rcd3WiDCH0xq8fvese5K_9K1cmG^trrSN0@R^&0D10n< zG-QWiL7TC^v-+$%9lceGIBo+*E}=x%nRxyQZWPO$c>$oW)= z02lwPtr$qMT&S>53rft+448_Lc{M6ZT+?M>seM(Ak3uvRUbeqZS~kxWD}H;QZGO(^ zXz)vVXZ|>H$E)S$DnQ^c9@skdJ<-)+xn7aHIXAK8$P9cr*CUy%1HL}u;z7&o(X3a- zU2Mk&TL*eh&st0#U00_W|6<8@eV64fHizlrss_^RXDVde?TfxTUEjbmWlQ}vwx`{M zKULsfY+DCjLjy6E<7b)GTAFotK0d!DcVdr2ySJG-`4U}^6PW^iB5$8&t z_Xi|nhU)6G>H;R`8z+0KHy!(`d%mw7&f9u}?f31OW&9SKB5fr4U|DR3$J&j+Y*Fu~ zFxvQBWwhV6sQ28GMR2YmShPpwCwME50wkL| zq@C3RjilaoOY`iRI$|Cinm%SE`}CudWRhjchxD4&jo_s9OI_HsGo7VqdRyGCp>~;X z$urGZeEl~YW9l!PoT7RT#XIUfI12tc;v&HXiLJe!q*5C2>6WudDs_ zbMWVl4%s^A?GS!ceGXrlg*FyV9iQGHMFJU8)Z>Pl40T&M&_%1?us`8d14M<_We(A8 z{;WCM>)!v9a9&sGO155aRTnX9vQ}5oRCX%xYgUe~&WA4l_K1!+9h}*HuI}CJvm1Op zP@=yp!&YyY3TCkUO30Xg&w_<@fA5DKWT2%+rAvuQn;zA)%rADdlbz5Yt`$tc%M^X- zKHr+oVz(Erz&~6L&U3JN0ZfnOWya>2L6zAk$(60bN%6{Hqj}I$5%LID<)_N)O)t*} zj@c~4Jl9)}2O1W{*Cjm|?==0g$l0=S;n=+(@RQy5ld7T807zK0-1cD)ZjGYwQ!?}hV=BGVN8hmZOH5yEv{evs%Lmb3_~kp& zDCG^kLk}a2bxI=wCGZ!M@thjn_2NTZkK9woJ8^MfQuv_;AiAd#!B{$! zc!4~r{Tl3_nga&*flofIJhPKJpKB3Gq~^((Rz00_JA|GiN7@n}C5tBS7}jFuFvzzK zL9`k>VegbHgN{JEeO3=PxYXgT!=9t znS{(LN>MKurC=7l5Un){wgE8_xf7epoB4CNS8?#os`nV_=a(|@C!Wr0`Dp-48?yov8> z-<1|&A9?4R%-lEkcY1>*Rl`upg#0rl!SrDFuOKssaGP%$L{44o_d!OhmY=Gj$6T(c zBla$53Rq+Y)Qm7$bIqu9xHw^*pbt8G#(XeUG7*acIuJzA+LFy1dJ`#n-|^_wR$sl*fou eAv-y0iWHb$4k^;_!nIX4c&&*0e>=DP`~L^;=I>en diff --git a/data_selector/db/data_selector.sgdiff.cdb b/data_selector/db/data_selector.sgdiff.cdb index c811b4f8884c11de6a3ffcee7df1ce340dd01dd1..df0bde8bda32ac1dce090300448bd5142e2a0931 100644 GIT binary patch delta 829 zcmV-D1H$~u3CanOfq#oSWBYplET7|7=r5??h9Zi)4EQ4MI`N$3R=QH1s?I=Q7)dp^ z>!$9h9+$4P5AVM&##{;J+XmqZ}My>jp4CtExn3$txdn zJ8;!URhMVYStnj|*57BDaLV3gnQ+S9W|?rx-ej3@%3f!gaDU2Xd(oL0K0>VhU<3Gf zaImxoUk6aSw5GJ07EgC!ePKb*C#9aQ#R+y{$=B`k%l>Ne{8}7!Y%HFx#ZlMV^K>n4 z^6SsuI@Ew1D9t_-g;ifZ52FZHF>%gN1gpz5p_@3;wIhgjn!k!Z+-gb@#bFR zy7KikQe{S43 zk+~(oYEHegP8)DxoG*enk;=IK@YjeNClXOt@0`=NDo$RM-n{p$S(s~n#&KQ@1k^7)Daf z?YgOZYPoxLx~i&bRusjy@W0^2jFLa7t${237KA*X2LA=o80GK)TN}Xqtf~^BrKo(6 zcHpXyrmoMHvrfF_tiKnTa?0L`OgUw5MW&pxHzHF`*=vz0r+;j=ADx-uqr}<|Hh_Nz z2TS|#bqJ+PYeuVSiF6m%7Z&V%QX1)coMIQ2V%g zzw!J|Rxj;aCz1gt>oEbscEx)#egj#x$1bAJ10i@=6(M^OtUWb^hvz4^b87!vz z*GF}FzkloWj_x|lGjCaR%HzxW>A!UiOq_nc)gk@ipPMjF zWNt~ZmQ(M1&<0#K&KH?Dk;;Vr@YhHfClb+6??TYFDo$RM(Y*JpS(s~n#&K;%^L{jl zh2tp+O>M`0Wyh0wW)$a%jK8m$ID6K59TMZb6xakH8|Oob)Afyy=~Q5o{+Rg&`#PP> z=rLUm+I*atlaScPa@*t%9WV}nVkd>l=uA!M+)CmrgbawyjPJ(gd~<$tzJ65`feh8mh7q@`Qw?iR^Gy1R!S8tHm{ z-sgU~cddKA>~;RX zU`xAXG9Fof}*(80iFU61h_2Y^YvbdTCX_TZ&tjZ*^4IQWOg>RiJI2 zN0+{js2AsZQ+x{zPAk7i7BGu6?et)!;(}t;b{4y{^XEr0od$i>BO$p(EL8`=j?Wg- zRy&3jFTxn&zVbqEIs`R)X?M~V$yMH(me3LyD_Gg!{T`Mq0=}x=IVSo|CyFIYD3G#- zzkWQf#waHW=K^6M$#_JR*)bbls@$%+XID0kdKvrs`CP|;Xxk@bvda9Rm}e|AA2Hjw zq9K%eQ9jvrOm1G9KKWO34_CL5lJ?T8|NTTQMBKR}^tckFcG(myKIPixWy`*@@u{axFBpOMa*>#dx`-&w#>;D7u zf9O{Ir!_h0;XKDwyagoXy9iMLEPy!x20)uJ~alm4PIe zqB)iUd+=Q)_d=!T`Ag~6kj5hKBil-s2zI2(#P}l1c&VX)*t7+9RmGFZMJw4)vz1!Z z6vX(Bbd-u^LeF6Rxj!Sd;#|K?*&U=>rM;#MUU1~tq$#N+`WVrzQGd!&Q%YlBQyTDk zZ!mrm2(Kq9IoADDEGgfet!3_*ShuD*nZlE5NvXX?fzEZ<{8VQ-rJH7X0HcIbA*}R* z4-U@V!txv}KfTvGpL(x%8!1#QzrWL^ZI)V~SbA3dT_NSa`vvqfsH%1W2En$OY*oVp z+Vqx*|8YMB|Bp^f_8;Awv)! z&N$~!*#R-Luk%LtkuIb6O>PT zi;d_P0WZO+H8oUOa$(7ZG&$>{)C&F;0fRu}`gF15U`ka6Fm?Ssd(cU#R9DOhf4j`X zr&%es)ho&;+~&mVclCq~GnFo3NH$cGjAXYCmNnix`+S*0sb(cJNK;@J;XBb`Zr;n@;IrxjCG2sjCvooUacO^$87A9x+pbUi!E9z-6 zd0he>S-=waK#^-A>q-1Md4<;1>MLU%gp# zaOe=uWBFlDRcer-0M^QTptUl5y1jG7WpNfDAfUE4Wm|XKNJ)d;CZDzZZWuPgB%~#rGFE(a3t3#>O|G|N#AmH=YF_5H&@-{rO z>r#Wm*`p3*Qsw@MZ(<^zlk3CfW+ZzEH^;eZLMLJVXv(Xv%rrEaQHa|Y&iDa2JQFKf z25~Bv))7sSRVJ8ye~IG;R;z>@7sG|LpKta(DFMkYVoj(qZVlR2H1g*old9Yefky}) z^Q=aGt8CC6<{3u96a5=yP!zH-5v_7*`C;ka7Eopj=x)mN&x90JOsJH-u*&-TMu;>K zmktYYzwg39KJE=q4BhQ}R#Vr%gX;2I+cVd3s>0sR@s>2|->kXcJ#)=FghO=LlD2pA z65K}k`=`~Nn%^}PzFS&JdTUZiN2)J2=E^LIMc(pF0gJ*(%oVc#1nCj3KYW4gk3nHn zEyN`%s>U!CVCJe8H1?xAx=XPssT#AVruy%9U4GYK_L_X)$+!*EygTcoZ0`BazwsLA zS_ile09@w+=D1|P{JWA0xbPp=l?0|0?4Wo-Hg-A}U<#K6ga{Qu&bv$;f+H}LvSypKPUr%X<)jX4Qx zfD!b=q+G3^ig+vHPc#-XwycQHaqPw%l+!tAUHuJXb)?$Uov<30=OG1rx(zCX7QZ=D zKSuiJZ2A@Y+1S9Z9Crq{MWC;tW3b3mZ@PMNQEl%6yoLXtei#O4ASZTOAqat4LD(#VY%t z9U#BBX6lEwv1B+iG-Ff#slgD z_C9HE-Dm*E$p{$MfJSu=Ha#J0uYGv6u_B#duu7(tc!)G(ly<+m6sN2ZcIS3fYU*}v zTY+jw65Ltk*=QZcT*;;w<4zD(#r>&Xd@UNYE9+qTR7XxvxS!SJJCiV`%r~V#AHzUi zTko2}VeWspS8gw+8sxeg{C{T+@vKlPKHwwFr8`@~Wt(Ia_!i>16Zo%l2!uO)xjBz{ z8O7gSo?j$|a6P{o>DR}5v(VA8FD6)mM!ttf5CQA4-NSa zrfh7?>86j@7?&s7A2eR&S62Oa*-_Y^*DfCd{3Twt_`Opqhx97j`Y+B+g z;FJ_IVFn*TzK6 zi+<6+KN5_zODE>)__pnRl*=?3>@wyF7pt@|9A8Q?8C4(I%%>ws`1!#1n?Pbq7u4BS zhReXte05jO$VNg?=V&h|-0AKmIJ56pCiW{9E8!g2-8q@T*E z_%>FO!lj%eZ-;3MxGiwcoQng;;bDyoC-r!ya^qM;BkSyY!J&_KZ4EjTCpzPwz5Umf zB1BB&3>lfV!x3a*2(k!-76{B9I>kJo&lohH{zBgf$d&xh@v0cAnjFWdC5R{4lxsmaH^?{S(*jKYJ zq|ovXR~mnxf~kc3Mcpw2G+zQweL?#|B>k-012M!FeZhX&U-B za3yOh3M6ltQH@vJ!eFkT8u}Jw7a3$19%L64WEU1>_iq{zWEUOOjLVU8VT_FfPi)Dp zh(HL-bsLWc9Hso-I8G!sk*3+>PR@cZG1%-`{ECJohw{Qrr0L!;t6q|Cz1*0i@APJ9 zqa=VZpG}QxV>FVg^{qQ*JLEha8|B5`Ci8mRsv)<}$$Wv0MKt&2W}iovlzg*QNsf+# zne+*TfP8lc^Ex?&OCcipB{S($iqHrIi(Gdsh@j3Z0qk0f5P2_0$I0oM4vwRuIHICp zMKPCw~#afu{g<=&f(1J@`?+Vr%X)k(>RH6Ae`w^xT{5Y;1NKx7QBKX zg*8E6nM=w$LIXBng1T@{-*X}PR7cN ze)76U)&nhOu%lMrb!}#CLM0PLeU zp96FYmFSTIn~A1G5Y=w}&7iso_z0_pYUC+Fv<#hv7;p7_Eq zE1ZI!coPdlafI$@TeJVQ-n82ehHZN`mto9Q6j&+r)}i2x_n5U_foyR-5%zllbZJzY*XoE~9X z(3zR6NmKoZhh9lIoY#tWhi^_7q#e6ltBAWysCf3OL~}Oa9gVI`U3@j@a++#VnezWo zQG_IVFblQ^%lFLGju1tckiB)bbRA)dH`HhjLnat^{h0O&*R4}}uJGm}ao?lq!nm-r zx4Y~jupg{W{3WjrO)c-N>UL?@Myf5Myf7xA&GyFVsKs?M=))wvGaU4e&Jw_q+3?q8 zKOIAQ)h{7xD_M#7xbkub-6R4ZQ|s&)G(s`XtS3jcb& z^)hdwX|f#Es!)o8FQP@9M0g(pg!4{MC%p;JXv>d_ok>n@@v%+Fmh~PPSk|;8-JeJ) zM={(v(hn_~!7YPruExm+y*^ny*aIWKr>e ztZpGKi1Od$bjkFq`-9EP`6|CNR=i=@*IMpLSGVP!O3q%m$1s}uDsnDrK4)NNzU!J9 zd&G$5Db!>jkKtfdobNT#0%e1}Fwj?hI(l4ux-&a^3Vogw`+Kz#m(N+d^le#PDhwb5 zu$}{;PO1&YH{EBHbsV~?^EI-{1qpx7l;>3gJ}KUG-{e?vr+v}(&9vKfYVZ`kUO5l+ zG@beMow5+lBiTc>Z^G7|a)ruIyIS%xJ-?q%pw}rUbXw0Ug~VsNOt33GF3Eo}gdaMz z{&C8G;IFzVM8iS@F|obYx=&{=(M7#Zr!@vVUSsT}K3yPt<@3#aDf8cMBrK80ws12x z*{R2ZDG7I)87DHY%RXszOj=I=h%WC^ko&zxMLWDC+Eagy35cy{bmu4zx$62ouIzp` zjCwjuIsr^pDCfP66R$CuU0xJ&f4;PH9O^GwvW1IIfq7GP`p~Zrf@q1i=K80$4+zh7 z9JAmm!eV!3*(SxX0rdQrx6w{yI0FZE?V9NNUs3-GY^ui2tF86K^^kD$0@6OauXO66 z3a>aKYl$%FU3hdr4P<5t8)qH7*>rGpcduef%@kiax^rtRlTv;uQPPZ)^^I&eY!4}x z=2PEV-0E@8yL{19GN5%(ayfSxXMHwTKD=P$U0-ruJJnS_-NQDLU%)-mt2czYAK23! zrbmiUQc}F)U~wa0B*; z-kDXNq;06yK^lJLk5MTAH|I9<)9$phhTT+k@1vrT-3$^wx%=TI?Lncq?>4N%7^aer zXAEhprFC<7jAMoxG2Cv_8s<9>ONa>U&df{4@I5O(0N*w9HZ5cXR zlejjo_QCxyijPOjO^&yD;-=1b-$X^hba8@2Q#^4|e6Iqr6@DC3{7J7VdG^*`E$zom z%tEByzM`vPgGiWr@%AMsVx@{Iu2ycUl5OOcza67y4`d-AB~rtbPar)SftX+?GHL$r1D!jq_F2+U`H1>IWNMu_~&dnfnP zHsxuDd{jn`J>3f@c^~7o-JAiHzw|tRUcF;ab$@+kgfOttZ+8+@F1@!7gx|h#cKrx+ zf4`AMa#!Iu<(24ou^Wc}lI|`ZnW3&z;NkkPfRrM$y}XX9Yi}I!xXNN2hClTqJy?bA zDcLt%Vmzou( z{osEWD7?0K4Q==#xy8=d2RE}%a+&90=&N+u760X3jH>fG3Ut-Zup5vQpXQ&+6kDC3 z%rXD_h>d~q_~?P@t@#oB%&FSlk)AFU) z(f#s_F1O7Aqdoh8^|*h&(nJ-u4qpC&($Ms`-?fV}heI2oo}Z+%D?H>%QocU)jm!m& zi23uhG5%02yO%j?bBrvGsHC+)O8vftA>;ka^xnrP7HnmHbg)vh1lCFhSPfzEWsk~0 z!iD@fi0~i^IAF5XXoT}q$Y7PmrF@y-c-f&N!$TYIiW7Nhpj#|kgDe|yFC?p)V5QB z;pSE6DLh1W5Ht?<%(+8XObJW>q9Co?LZp%DK>^EW}wf9=dVq6LVI>1x~Yb1;(x!j;D85Y3NL*|?~buuC{MII_M11M z4QYM4YQn3PNWhi}2KiI$?W2bIh3t5f*ChgT!gi**5 zVpQ9o3;BXD_!KnW#x5~2{9XEM7>eSjAW*R31lS@E-_WuC`J#FDGpMBzJcUaLt!hkF zkYF|XSi$wMh@=TIuoX=$+-zCG_L5oO&F?y9wJI$WefI9?qQwwPM2e0nOpx3kSBg<&4F&Vnz`uQ}G<3K0Rz7BBuEHes zupVe962)iUTC(w6V+yQ zGz<&^FYaH{nVALJE!I0P)>|&}Bq(um#id2|DeA{?Qp4I(362<;_984lGF2ajID-}! z*E+fBj;y464&UCKf1oaoX&4i3f@a!v>I>tye*D=93ZC?5v0*{wckg2y#bK}VcB$I< zhKRIEfbq5LDHwu*%}AOFRW{6q-jcRsaZN+rUy>a%ohx_B%;p~9U;&LnX3|Q=Lvp93 zBW@bCMQOL^57hqRg8do($Q*>sVOQf>lKh{59?5rB z<7(p0!uZ1OqPSQtBdMC2B~2r`D@lDE1dv1QzXx`$CfN`IH-t12^*F!vvBSQRP&Hv? zsu0}>{J$OF=F|Juum6bXQI!%7nGQLfV<~(06xAEaMrGb3AK`ZI`-4zqB}WKueMk2H zrSl(tR}y21c0V?8eq#Y$rKf`s^n7UZr9xxr@yWEKbSNEcp%}>PAsmY)8nto@SsM(+ z-Tsf7b&>#X+K7ZAxiRy|d z??R+Y+ieyrIaKGNbSPNh-x8A@zv(;93eM}6urJxjam*Tq>-lD~AZt=yXTYZov*6^q zTDHi7kt#jIaG*a*`+|cJxBQu7?Kh&LeDxN))W6}^-=hCkPp9fxIj7d4^`>^g!!`db zP9CC3RptltV`}!wKGpo8cGj((8;>mS64ZF}?d<<`KNK*|v8mn#nS|RTlMT`E7jgVU zrPV@1d(!3VwR4~TTc2dOzb%9893=rh8*qNk$lF!4j;K;5-Qf2>xBkDkIM-MUC`a`k7GZVjkpoMmkLX!?*wLG9>K9(c% zK#8$LHS4t!#ulQdsmuAm3qJhJ#1&3-8^*#hP837N2aKs{(q^q)DQ+!A_ZR``LFSh|i0l)Ge3c zi_uM>4_rcReD7|IT$@0DospD3X4w}x_?~&u*h?U@) zHseprN1hqXRcTPEjoU28ibOsgIUtW5s=>s|+_vn|GrKk{uBmv@m!c&F1ZF(5POcnH zk8$|p<@qdsde`GWyBY^OPqiVN-(2ryf3-t?7aHpCURTTd?<~~=oUmNJ%xy<8L4~r( z;^9+*FB}Ws)XZxGywr6BH74|$4>m1Ig;bbu1D|2XkJTxJNhw_2*$NKLezK3!N6R>; z{+HD-Rz5%RjRTP5U`H>Xqgd=q+?8-t#^4c|#{Gpjz#@DSj}+JWk-$P?f0Tc#_Ge`R z@bpg{p-51;{LoyONQZORA(4~mM)kl|N<7I)N@vE8*vyjnTapoNs@HLX#B9za+|yBw zL6;vXSN)z}>8)v}&}{!!_vQoA6XyKAEkE=LjQ$X0p6LckRy>QclSq?;aQ@|B&)E*0 z;1M`}y}h3PtVi1D=Jm9|On-@+j%44%$9p*vkvu4*4ydm5h?xjP`q!77q&Q0HO1HBJ zF{nhQL7;* zpToO6@HfCbH_uO+BEMGNL%r3J)16;ed8i#HMgh&eY5Tg{?qGD|)H_-y zx+QG!WmwlLohGXC6D~(`wfQsT_H*;nGF*1a!2whx92F00q5`+vR;DPsBtcgxwgV{f zg=7Nhw9w5*nD2PC3EO&+s#5dwi<45*8J7IEwC%Zy zKuC8P&P`MsVN?bZX=?GRhR0Td&q=oAGXJSMZ+L}jHQ{*Yv8vKiZ6_T@M7t^gxVQ<; z=W^}v_r+e(jlBb^OLiYI zQk*y5Q{(>0Wte_&G?d9>NQ}poV<&3x922qJ#}tAPq7xN!|7c~Zz-4=D1xL9B&p9g( zGWQR~>tJdX>6mU`ytSP9_|KWy*q+1o!*}*IQH)KVytI)v`F|foxBgk)aG-Yo1ZvWc z2r!QbcopH43>C(-teiEmPILuO@kiKQ%FilSl9rpab1s*|o@4Zzucn*6wCTFz;yty# ziR4alZd-c|jUC{;eSD(_oOFX2hL#gaor3J#Np6I4oY-JQ?bWS?CRgu~PY;6hRp z>RlGdJ`GC8zXhUp{|XW%1gAUO$J-7uqfzl5a3$jiYm90~aTx0^R+z`HK}lucMKL`b zULlH`LTM5U`X_vP0(L&1*0I zrLg_3;0gc_WzHpx@rv!K?_t_#X2CK()q_(*Y6s_Fvk~ic6sQRY>|pxrVDnj+@}`M` zmx~7^r9XGSxSfNs9p}k|Q_wY1=~P;VmXLHsk9Lq;EP5X4l$Sg}65dGgr=tcd4x_8q z1Lg|YqufItTUG0xQxu89v9E+f(z^9@$}{_tu{+bY*Kg25$Ys4ie&Y|m@capr{8>n)W+X=m@n zQ|@nP-b1V>^D>TAb@pytusRBWuzUm)!3J=w&AK{ro*t=GSeq8|ir!;>yQBRp(2>mN0iu z&F4E4>Qiy*(_)YxZT#BK*PPQZ)zbp%d?O^TwT4rDZx4D{5T#{77~~fS=wog!h^iak zAAw>VvI#l+KA{7SLZMUPZsYG+tE^31fvOj)wz@GNEndIM3M_ zukI=sSPAg!oSg-QyDnP<)pn>KPx86hP|exD_AJY;lH^~pMI&^q^&SkFuY*0e zh(@xuC*&;VEF%b_g%wIks;B_qnMNfCnUwZ{vvbX&Qk!Xo*8|eJT0wtaLHO7ixxo<1 zD1;W|q=<2YiO~`VJTYKVh^l#pZ&6>Z$b4O_TJzV_^piabRo&}AO^W| z;U6%S*_zIul*@Z2m#3Ylsg|cXoTtf^r&*q->71w8fXOnoLKD0;>TYnZ202MZ%wZcJ zOC}*{SKQZMD9N?qoYhv`VyWf)yi6eWfb6_LbSv}#_S{{qVG{ic=|^80FhbO=+l?1M z7_*rF7_|~5b9q9aQQ55DSyV<`Mj_f1dZc7zpo?m`fpAn%e)hdW4<_S=41~`_o|c)B zm;w@sK*%ZdSTJt9gSdquL`d=TyyHlfV<{m02!s_}A(l)zk#Xamal;qlh6N5CrO4|( z{sZ{-FDbUNu6GQcEah$&Tq`-1#0nTMjM}+qu=?}&j)V{N%}ge$eNuaH@7(RYJ!F0V z=|@nLL}d^LFR^0ZbS_@Pz^kujKnI>1V2BBuB9XHvv6BSvB~~_gB={MfX?+%1sWfYQ zA7H2EcX;*d>errLK?t?iI$v6ChY!Y4IWIuNGpp+o;CQtuUT zPwJdr{4B9)iNp%^iHx3a5BMq&U4-?|@-?lw11D#3)s#Fk*4$dBik^PMR=&qWrZ{Wj z_bpN`FvF6=)<5#nYE8q^Q8vrb)kST1-_G8}^(Z~d!O`B)-qrrV&O!C%@LBn?WGJ9< zr1?V1Y%Ikr`@`#I0m-JjHK}6Gp>BfUGbHq4|M0KrQht8x>ZVkVS<6XdWu{}55SS2h znI82)E{9N{39EWhBNp zvNl2VQ`ZV|z6xR{zjW)nuU{3tp^yb)sjjq~U2AK}H4SMxlZ59Dc~)^p5jzm0kMFBf z)Caf?`_ z``3@o&jmcWtC$`Ch%5N`A--2Fl9`dKd%NDYQ2N@$q% zxRSW62{s!_v|mt@H@$ghM0Zvng-)|_#egeofaVQ|efYfC?<-%o{^-{mzCyfR>$1n< z2i|qHUG;m~R%f`Hr1v&Y3axs0iYiMkFP)ztMm{46@kxXUfhV3`GPldgia(O2s zJ~2q8XzIGVi4v8X|$4}ru*kh!nU$2_PA>tKm zI;+iUm8YT-y}+;IN!!1(z0^%uJWnah`6lSNLG^Ah@$`N$t~0*WE2pPeS}>gEn5D^hy-LZLC{4uN=vQkXSu z?^V-I>mJtTP{+znlM4NYaV5Lm)vK#!L6vlbBEpty61M(}vRuMwW01I24B9j{ge29u zlCC+RTr!)9|9Gj8&*HMq^u~{Pgsj_L^<}J3Ra*=v7eGQ($sYHKgv-Q3nVJA(U(bL$ z5>@xLPTvD}m={7K#zGflOXTVKB9&wEVt1-D*StmO4ThH6&DKqxjdP}peh|`S_o)88 z@b&7+hxb^*<^K*DzydhAOr`lxUaJXDM|)_LwZpO|z6`!xSG&_mahVWsxY6D1C_{bP z8>Rasd<_gw!B`ERdzB-1-gb7Hi!|L`+`n%=$+|n38lpc}&TO~%{POFK`$Vq5nr)SD zrZh;89{o?R^2N>LR5M!X%grI=5-Hp`d2x4fVc8}AP%^tZ{#r8dVAJP#wGj12OhsUM zKs;B2^Y#=)ZvA|y*UyRR;U*t8w~{U7BOH78@D13RPTQ;{8aX4fy;-0VE%U2)zwm33 zqtjbNuTEXpW;T4QIMhG85)1C2_pXO|d*AChuSzcV$nU%QTt*MKi}3iiuISzIj|)kU zSKIoq3v1;b{=4nu>|;<3%|>S99A0@emJe&NNtQKV<$4vR{gs?eE?4EhJjRl9o+a)x zqkxvTw`dl3%Nyjdt+=?W!O8r{%%nbvxCv#JL>97Mg2wh`+$C$7m8ie;+3^p;)t9uB z97BE)J#ju0_nV3-ws|42Wg0bsq`bkS4k_zqk9(I5;dR&Y$<_6y(2a>Zi>i~XE%gS^ zqIR3H)bIR*bwkR+*X2?<=v?iLfPjRserS|bx|C<9(rC!4EW@~C z`23=hdJNt0i)ZO-wYAN;E7w4p?OUop9lXrzkf#VaU-lgvSSd?&4|rfo2qM>5dvMyX zAM)#r|MM@^^B&@lG~s)cZvE+rKSI7}9V4tq=8d8m)z5%jdF`j2tnYu=A|;wtLS;>DS~EYDOc#s=U5Ee>e|C!#$*@5ocv>~ZVmE!!^T zMOj3x#x@3hvPZM+B-v{Ea}eKG08hWbgXR6!&us+#=L~n&1@;+9KNMo=@*JPix!MLc zzyIZ))YgCNIyf@0lQR-Pxn7YmbF@#C~{=b0fdsO7<_nmTXP$G{9)K`%xTX*HeeKQWD!s{3mBt{n-1vv{t+gq!^lSO3u%_{iBxoN>hU#{vFIx<~))@}HY@m zxtXGUM*wbjU*Xx6c}eW-?`78xBd<~}vQxu>0;hmajPINtfWKFS$46hx09`dDa;>NB znG2Juu)-318|fJpP#u3f@FYiAz;&fF>$%PEFj?O53eJ2xEAEA<{G8}~W4HqJDXGHS zFz}Od_sM*CnGk6>g94)hx<%k}_zY?$;(=~E8*W+^MT<9-M2s&e&%8>Vt;x%GpRATk zi~M@%(W`$k_I)Yz#Cy*QyNqGXKw@KBw&e;X?9_$M9z&ZKbC~V|jdMOgi_CQY+?$0H zlH;J26JNU*sq_x>KkuRkxUh8fu$&QjqO~$0c0nt%Z#mGYFwAA@?f|x9MCqPkh1I@L zQG;x{*Y}h0a2Ta_=oRnr$(u=TgnaIL{1>K5q(0%LC#Z{uV9Il~fEIgkYn8t&8t=)L zFX%~$BEP(+sa6M|(=3+Neusf%$N!_xpOL0S?{|^8`us51ps_la*^%i5w2`|qq!9Ug zn6Yr;jbJZ~T`JwU7_&?K%j@4xOq7F^<)c$F145WZ_p4-jjO@w5IJAh6h)~=YNC-nk z2XDf4waVM~y#i5@^sMBKx4(_%xLVnvGyeZZNX$;L$7TosUX?e4Nfw$K3;)D$wp6}$ zFK}4_AvIh{WvId1VV+E(z28JnrkYmntBBS6^3C}oyoHijXiH>FFWsX`M{~@mCGg*A znKt~Qo50D8YXR>K?iUVLwBzlf$10|xV2-cYrm^^;MGmEa z4ezJcNO-mZJlLEnA8nbR=wh!GSOPAzBWq*K?79)-iJNxf$U3A_yQ_CS@>M1K3SdL` z?M0vY*ZL@l7JO5c2*zT+Nuq_3n3sg3p!-E`$<&q~b>q_fX{CrNW@ZlJ?>TY6_4gi! zup`0V;N*9q>!Kl9$FZdw&2p|2SPL4wMovHFL~9O#ZU)zsaj5hd|C~?C_v_==DW|bjG96((*o&tVJK!%YNlH zHgUe?vPoY^yA?cT-)WgoW^!=~i=Y$d^^IG_8fS@iCjFSS4Q#%|cq^2}9!m|>q;4wv zwtdXQ%I1idKb35!kZWx*H1?Cd;u_6MOM0TS?dhv%sTp*_Hm4GZ)r*3Zw9mmWJs_D8 z#ZExRW3T;u&%ylW^;E)J4*_^i6632s9FuD7Ka2L=TA$cwy%`fQ0Y zaClTvyt>9hGJ_WFIFXm81*j3#-( zl8&$pL)Tn`rtSCTf&ua%#gCau+nndIyo2%ArgaK#@nu?Bzk}YB{vCQf~B^6s)J= z=M{XioWj@FscqHIGdN7lU@p;VEFT`ql<-c~TToVko6#%`{yhT1<`my@p%B9ReCrQ1#ZuOyQ%bYuqrhno-i}ZR zbiV!6!mFWx9+4}3n3BlBzwN-(q{VUEvu7-v@TYpW??|n)htB+baF~cOVx=Eune7)Y zpam~q|2ySY{vN8mKm$C&kpEt6-0ZbnI{R_#K>xdraj$CJuju8Q;76k#mt}&W=5B`4 zxaD8bItB#N$!y2bZd*^xzC0k?EGSKsLO$as`P8dr?AxnF_#n}4h1S!2ev z)BR=l90Tn8buZn-iNG&tU@1h^j47XL^Rd6Z$)f}HkHLD;B-eiH)Azzt|3Ejo=P;J~ z{H|0{#)|BRI`evKvs&m5^NPb)YmmKE4yE+?dB!e~d-)?pWb@EKX<#FM`1pA#BO8AQ zxBLoY>>&N?yX8R8(WeiKUl=2_I&bfV#(ZCe5PR@HAI6-Vl)=EWf9Q#GyDQ3Ah#9XP z6gea?)w{7S{q3jnSj1^+?bfTHWaYVvdj<=wdMRrPsmVWLMfuN_)y+cL0WpLUpWxJ!V{@OPNzq2m!54t=L3K(-S1Sdu8O;_3oD5Kq!%^- zrYrz>WUZ#Nshy}enjR#t$C}R4`fi@of_ky@bX~&Mobnf0Bg#iswa!JgDk=1((c-{v zaPM%-p`yfVa>aPs?;=I8W?D%Nnij&~s6gg+y~8TUEy>%Vxq82=sCws0_av+<^;5n2 zdc#gbZF&KSo;|im;B|2SWbKbgr+8My10thYgra~yrncNsx)yW%`&%v5(Oc}S%(ZTIZ z=IH>i>Qp%E^Kp+XkNkS;)v``a*hHXUogq^QV@Gzviv`+hx8$%}r|Us+{2zBvccwhL zlN5~RmZyujV`vY{tQjcJttT@Z89ztV7tuW(_78lJ_S{=cwjZkP;p94(@(}M7|KkWd z|5O+rW+ZmhFzzLYB+fKODir%Vhv<3qgsM8gYc5x#I@FbI^<7^6LG**Mg`j9w?Ex;7 z!~<1%An<$tw!>|6U#9?e)`C2z6KA@Ja7%H#(PSH?C`!q0qg!=yviX$oA+C^at7%g` z$jcmi<=@@f54k_>D4T}4+}}!ei)O^l^e-WL!6ert$u_k4v<6L}n*!B-qm2Y)GpP|Aij2H6gB z)SmR!x8<+06P<qT$* zu;*ecL$t@geZ64T*jdx})d5*XPA3C~epZ}n9AgoQJ|Pt1yH&)G9;SWs1b-ncuK=Pk zPI&&~`*p=*fNbUIrab-!GFqhklLA`<&d{i~huthG_*4qd#-H+r^ac?<)>}aykTnWb zchTXhrE#`JWtl@&1P{nYqTBK5Z57Vi@!o3Du)3PV3SizuSz;B!U5!wGqJY+@W0&Y& zcFLb*(#Q)qtjivhcwXIwUL$*%E8Y80`QHd2Hi{J&} zpaI1aW9SXFq^DzYA-#qw|CV}(_`O;V5=CC%KqXrdJRQR56gL3&AO?G?F!xmPvXN^LvQe#4P&bFb4a0B{BCVPWnUheeGXlK z9zI-9J3eF{bwE~O&%*rAE*`jD_+aCPL+w$~Pgo#YOO-Z=!$Vn>!^3+P*Sm1j5BK>oAF!}{}oi-(L&mh&4Aos9`>;luiCuE8#D2I$r-=PoL)EA!C_` ziC55FJw86<+tQ~W2&r`zsfS(nS4qucOibmG!dWJ1-<&%vM1t<6<$e6-NzOU*nX?fq z!m{FF`yoljU5<^pVIc8^>hQ#ik0eJc)a>wz>88e|_GDlNZrtRhdOt{w*8`?%Aj4zO zUmEu9s=6%Nkr~avFSbXbbCDJ-uE8Nsu?2~2wQSS7ytgO6p#aA_JJG_&kSY4dT+Un$ zhb%?1iz7#Ps_&{ zbsH&YeFP-*wywKNzG$?`aL(}@&0QnfZEG@PjP{!qS1M#|#m`PSUU_+L+SUl|G_+R7 z--V0zRnfo$bTd}|EaV>CDUDyL-2Ftntp0YzZJG_Pqez!E_ez$crk~n!)ME8R_XQS? zp?KPNUa`yj-DM+IJA&ze)+%I_DESs8UZjy!E*HW4_87Tz+-v8BR-3@I6I&p zb6l-E$1`2UgEk5x6kR_0sS+P;l1#vxp2dOAuMftk(INnWeG~W)kc8Ly4YSeI=ktw`Z z2^6wQbnG8FTlB(I=ph~ZksviVSlVWNmwoCNlNA+>6*xcx{#EwKD6Idkb@W3C=8cI7 z&Q$}T{I0d7l=5d74|(o_myCaaREMwPa_#w?>E>Fcn5#CTket~nAUx?uX#gDjGV@jh zL)jbc2@DhpfqeY( zPcx!LqiW(>pD@9NZ(D_7ipBZQw3dHOSbp7_e`)QUBI}BpvnoE)n@FE}jhui1u==i{ zD>^7frda&Ujqp|Hic9O38#3$Co(%UqAAL0G62IjZpL&TEd2Eyw4C&L2s5rL8R6V*N zEj}1jvpP;(+1wh|O1Qry2_E82Dk_6UpjvI7h2?JzyQvRsRXRm}=uYVP?1=U7E*1w8 zR#PTm)6)VCr_<=RA}_ln8NhRQ%Pefo&|@Nq3T>ic1Dxl->f?V=bxBzcG7sM=sd^4rFl~b`9}DIrDLh& zZNVBMGkv?gTZ~7>9u7&jV4%vr^Qz^ z7;Q+p_;ghJ!u8(8^3ivP$tJ*ORc*y+uwdSk7v|fZ-}S58C%7h-(m=g&`h;82UK#X= zxD2mnZ>Uq#l3aS{3mBmKnVanB_tk!lU_{;0=1ljSk(xt=w-+ev{#muVTFX~1H0W6J zp4#T+b`0!|+snpY;a^QUmaoeqTAnX;Q%#92JoWW4M=iR7wB{p0tN;`X= z@Ke1rFw@8`vw2v1^(?{BQosy+IQed_bRCL! zFx7xm>3GHr8tr@#|CrHA*lO>u%l()ED9G$Gd)t16G?8c|_}k9wbem4hesoJ-&CJsv zht<;je~q>9!b;&abmTNE_-tovx*9 z(R$H_f%PDnaRQjl&^BI$2v0I3^X^z03XB3@|7}nwFo|l9Cw)2)4{jmPIBdY^)Tyf& z&d9Ea@m6$-WMFC*FSTF^VNmS>lZi6*wzh36@3GGm=dQ&|D zS>@9eK5a-ag=0d2cdS7wbB+lxr8Ul~Lg4}C0p{`^W+7ZXHqM)Rhv|6V^1&kdrt2?Q zz!hncC%AwC=73s6TOV^4x2-4IlgpjcJ%`fW8IN`$APG;!xVGEkcwh-&fl%0_s!+Ai zS5;($iRj1HM&eXQ#PTiB7mcK4A?AzacFUo5+v>uZnfF53xT<^EGZdJihR9)Ggy~j( zRuGoua^u7aXGKRJGXi?@{2~mYM=|cJ^+eUk2j#_Dj*;fo6){&+$#W8 zUGp|1kR!usCqr&%A>!mK9je%n2nvuvcU~$DrQj}hM1o-;gObk-n&BB+{yz*nKIjKG zWxxCIZQ-7oU7q{C0f9$c9Z0~r^gJqiVlbld9zv7#n=7{m7fx(a9zHYe;Y6jBDrQ2H z43jgY6KSByBbUn7viA)6P15xqd3u2d6WdK8{sbXy$)bx-l00|qzABs90wsL8qa$k# z^~P$mG%7_NGxhZLqBy;`b9}!?1SHVBI=u3qp?NS$rcbV4X*unxd5mgreev$T7fI_p z`POCb>m_-od+N_=NX&Wbq}KLjoNl-h4U&sdI3;ElH!(`hc-)fH?lTl7eD@6hT^VoE zIcFbV)d@7dv*5@KfDX|`$t$e{d=522(WvlJYPygZ+^Cm8<{`SB58WaX)^&kUo&l)v2 zXB1u3^x%s(LW4XCnv!z6xb-N#C_-2>E*WSC;WKV;6<2WHaHH;1m)t1vZi%d$dwTGP zvlA%U)Wn?) z5)nc{oZi_HzuW7Z(7lshJSj293f+05G=$pV3VINBlj|$fD1((((q`n5Vj&bu8NKUs zHm?GsNS5V{*)8VL90b6r{w?Zrfd`r5()CnBQcQY%jVI{rO`}&J1WYqIrnquI|Eh8$a7 z|7P#5;4QhKUUR<<^s_aA`dYdC;@Ozd3s$3HO35;&xH-3vyhmD zI|&d>458o%7+3Hf?svFY)?1(i{*J~7@-4%ScJF;!IiyXJ?A7d1$Ogxc9AgNDWAtp1 zF(dlIe&_*KjZxNl9a!4=|8zsxOUI{R>)a~|K$qD@LmMA zu$H|#x;l51%N_>O?HhQQ9P!mVVUPG*qf#AEO{i49s4!j?X~0+Tmu$lny{wq7QdwNN q3msxmthx4{3C5@Ru!zH+(UdgkZp@YC5ln2>>c@}2w;^i&4*v%a;mcqE delta 6144 zcmXw-1yEG)*TGk50B{hsH1&N?TlpE-m~?UjRw*-cDeWp45zI0y4yZP8(q7L-J6ufGGk`?-M~+l zOf`M)jHK!orkR9i7#s5!KgqaGu+kjtEy(i_ZM-Lxi^j6@ak`V7H;zaD85aXFtZujI?TaT!!Z`gW_*KPW zNlGqjI)&$4R(gEaXDuscn7@z{+8^ydl;CBtlT;IFl!8S>sBZV#A+*#O<-R|U?xrZi zo)gM)un1!CORB1kJ}!lY9SdhjE&-fVG~Km+ZS|_KWwSLR#WW6V|1o-J6shdzfA+ZGqJdQ?0(se8zL|R} z)iY_tdzsE@{c8ZT`uhIjpJOY@{E$`WBu;?~>+Y)(zK`6t1J2QmM@RoY%oK`z_~$ov?lyd6FLUqrWb<9V<`IPBb&*nO0oj)9q`GpYWbvuBUGc#i z4Mlh7H%BW;+}&-eNEALlLWmcBAC0na%7@Lg+ohHL+(dl(yaKq#ZBFdMaMnBg$3c9A z-u3A1p;FD*SqNu~OH+V#f~lYOZUo*G{4luA`xkoI3MN1yVY|x3Dy1VeKoX5RgH7ZAbMu#Zf={ov|re0ei;^Fk}>T%-3ddPc)2B)NeL;adhgM%xZXwKV@8 zT_5B>ekn9>h}x7R<2&&ExNt733vvp%uR_=MlO#2l*oIvKLoze=xh}(-egLM*YE50B zK^+=}EWtz~Nk@p58nw1X+-S$lKZ=d#k-*)Cd$dkEd$S)r-s~n5Gn>e^V@??tPUeo; zsy>|&wkv4k8F{^f2zQLH*)K<5A&My#!8H?HtQu%T);U+Yzw@dvKvviLS3y6+a)oz! zS|k@cvP_cwV<*{y|1OGoDz9JysC`^cYxSq1=0mc+#gkl3)FH*ZXp4kW`LD7Y)Gsk4 zV|i<*L@opiwxd*Qr6O)$SWBhvJr3BG?~N*tq&)Aoa3gw&=-D=Dc5$pf3NxGd4MUrv zd>Ue53sKXDCs)neaKLFm7|gn&Xgj<;3^6xKGF1EX!eaiQtc9`#^@mgNV$CF1tIjTp z-n$H$+c5eHgS8kWYfTtWF^$0O_1tZ$s?443+AC$>gVd8W!3UnKFu!L7!^T@z6i@pM zHS^A%H>M!^@A)FJotA%XDiDQ5?boljcFm@H%mCHrKg)kPwQrfrhs4qKt}8h0c*y|e z&dUFY#W1DHw&34?b%#>h0YvjN=dJ}@^icfnfyjd^f^gUGimn|Q^2a+~>>En>skb!8 zG+xe-WqzZ0wjo_Usr>mQNDN)WO6&l!J1k9mGioY?h?(t)iZP`Rqxo$BI(@pYmiS|{ zC5wDvpCpVD^RDlb1CqS=ZAIT{_;!X~@8Dizr}5`!%a(Dv`f5({yB;mKnWmR}lz|q6 z7NL)-KD3UfV8z)+=>=r_#zw z6#_E6GP+uVE4^r(YNQ21%|fPvf|vv2qwC+bBRsLd0LEz6nT4{|#?|=N^OoZ#pkpen zYFMK8ck~=--?Q&cjEz8Zsesfs6UCIw50@CPwshbB$!28W%Lo)Ds&@HGf*i?h5k5sS z90SF0 zBShH05BPBD5HDYe%#6=5FZyh>vS74iD1$=hz!TW&M^YL>601oP#Yd~RPM)SK=IsAG z^2M`>qadL5h$Jf`j~s5tdaUYF_TRqF9&xJzV>sz1sPxuoX!L*s^QuT#yfI5NwU{pGl%k`fGH_ob;|W{?!MspV-LTo%bs**{dO|0(!>F@=takaK9~Ln> z1KUNg8jHk*LFZjtG$Ky2T|t+rT`ba}j_pK$RtJyV19_!Cv2@MdZo^-fVX}^I3}`yk zK9*h#lA!Z$F6R>?o$d~*w+T!C6bRmtuVG1dN{_*dq*_q?LHXNe>r_k;S&<4uz{aI4 zX_(74avs?DAvOqvLj3<~HiXb;lOyK^2ZLOSU7n3?uXsE)I>j=FQt{L`T+?Tc@T}zj zSU9TQG#%?>y(;o0e^{ID1N&gXT(F{CWwYmTk(_`}8m5H;>cB-bQcq(qno>S%g^x5{ zAIPJf0}YFxqGy=U`o;4tMPzn}a}Y=p6rdiy!s~e6b%)Xkt1j#iYmF6uiGA^U(3#W@ zEB-?AMSyzBil8$#w$rG!G|=Mb(?Ly&v`mOnTxUfTr zHJ1A&RslXZ!vMR#A~&cwSl)m5Z6ZZ;==*9)d5}f=)4_VCK~VvtR<1(Cy3x%75W`lz z<-_}Uf@UP0b#GFfAEh|MGv|Pw;iC%w3qLv3y~H2dpCe|f8?L@0eu?#ZJ&1c;9+VQF zVuoC2S-)=<$}Fjyq_|j*9j;xEpLRa_9X>fX>4vADnJ|;;hsHP3(j1f149v{p)-+E! zB|L47Tb=h*MO@(Ve;aiAnuGyMeNi;L3<9rEJ?1~hgHMrtHFi2C+#)OT!!N!vYHP8+ zW$#W@$0Sr*M%q)M})#W3?+9$!>U!_FP^bxOhZbk6V&=kVcq^ErF8Ibtn zbW`hO%L(*v_CExNv-fkf3Yfd$EBfna!Ci^+aoMT~#~G$D{HR4HR#h^b%|Bb=i65JHrWDLW0$yid6co7kI`Qva7 z$#FR5IGhd*7R&tq9BQ(^_9w%+yZragLiv$4VvifjvQ)BB4|Z$7`Jn$`AX8LQjy5=! zCj8ib$ejZd*n5PrtjUzEEWjOrtLmnQpq+@%(3%?<$aI=|Xcqt=Ll8NA ztyFgYsXgblI$z0p5P3ERANGe|d@lef*MYrR@neUf zH8(hQDDX}VDts<{i+sn8XuTQ`8-mbef#OUn3>9{3b7Y#q#l{ zHFf{B#zFRIQeFcI@;;54wmvgp~+}6>$X`?1UTWxl?J*@^=kP zz>LScs-_fBw~M6 zH?J=5WEl}#vr%33mLsWAfZZ0&p47ygDE?q+a!(V&`gl^hR?O8_(O{sy%kRm>n1ysb z+0e;^=bDi14EXFjLBnTF+6KLIlmMoFL-905#*Ae%A-WsEa?;t?mWzInVrvB_X_DXR z3%^pXqtCPLSNp!R9FV}ktEV)sAeJ|+TtZ%UsEpVNbCo%x;`WrBXu<2h8#Q_=y2#)+ zELh^!_6JYDg~qS(^IrphcLAUuT>JB|Cwyqtp3mz2YO#F>;mYQoe>^Xo(98q~J%<(% zn&s@R8eO2qhD^IG-aHH6x7#Bx2aFVKqOAh?d-P3s9%^z(JwNPx^Z9(hUZL-NzE6hd zOaK9TV>KIDzDQ*&c+GNtZcR=;APk~i5OI>9rCIo~ws**w24MzR4?OUUSNzG|3M#5N@T79!T`NYBv6!8u zmGz$cCY%?2kytD4i7KC$7`erQ2^wdMk0T~ay^t8bb@Y)&q!nbig$Y2Tiqj9?Ut+_% zM@RU9W}e!*A`~WL=S4sLo(h%zC{kotJ&$h9uNe1^kDBs4t__WC^`nr9L9|9h zwf4kq6}z;u&A(@O4mnSZ2$-(3tFOwhEQ}!e(_7647fIfPLW*V@cF6~EU$nV>=plf^ zkRd?&{H2_k=_45hvuC$U08YmcXpVCT%Ui5HMQTiIcD}-g+5&}d3~yQd5Y4VeiYxPU z1$OUR{iM6aFQSopCxU8lzf%U$QgWWmcST;`JFsWKlGvfQH+c0r}swx#)%3c>;AmEEregL zLcHX~(U`RGhp>z)uG88%#q7w`la6F(DLy~=eI-3 zfHbe~;YlF^mxm$n?@jVj++c6`qpU;5+U6O%SE3iSgC(HBW)nT~Hs{AjGIK>isT#u% zSgG(M*C7dqvBN-CT;?1Wjf_=x4Vb9`nYoJX)UVG z35E%mixz(=b_JtY&o`4YShX4wAUQwj9UGNh-QKt!h&%}+n!$7?-OZXkxFcJ2?TpuV zJJ*6h*+u{47O`O>u{Vq=pvQ}OLJI=Z?i63;#@jJ918~@}*&*2M98lpcVW1{HHoFoj zBbjOG>GQv%Ab>g99zVA~o1(IOMUm8OnfUaYN~wBfyXVrySyG8>i~<$RiM_ zRTcr87Gs?jrZ*?Mo);YshBOQ=?@Oukc7o;xKVjh#3MKZoBdQfXou0>COWba)f83{ zRN*RKfx=UBnR$j!Vc1UW-OqvWbDk9rRi}Kms#j5DxmPL2+Chv{52J(4LO+UK!+|O7 zPIQT@ObLoW)kWV2pWLvL7=hmYV<C%V=R;o zBhk&_?IpZV)*e)#4qQX3v)&iYHaQl?3f}iXKJ7A?LNH?>dJf%6XrO8on1&>8H~Ug5 zJvX`DVyY-zN&7~E^2`hLaLb+^-%r^kFZc!+LD_B`GU4Jc!tMNk8g0mC9tCaIE4UkW zS1}d&I2oM8t>WfQhYae8FuV-9rPR3^WVs)cp00(^r&o-S@LdP@Ae@Q#bV+w^1I`br^T z!UJ4LKxn#IV*gEAh59C*-&2YL(~{(>;RcEu=}Y1-zfL+KG&9GXlGHGA*v>0y zl~fE3FjtU!&cBO@uf_1TQdHsx00JM_zmZeK#uct~C!vZu_eOEdxphEAQF-GymCjf0 zgkN;=`DBwK7Ju#D$Hn$7jV+J;yv1h{Gvq+spaiwxsImK4n zBH2_#Myeyuoz9{3iB{>uzm_8%B-cWo-#7cUZTZS7T_=Ptz zj{rGLb2DfM<+}&4Xl3ZV16WZ?Y`o_~k?K(^uS5sQB2t~;$QpnSzRm67f)Y z?hb4xRC)jxxL}-MsSmAhWP_{5CG-6kx>!bx!|lT3GsYQluxYRXojU7WLJTjR`*328 zMpRB;$A*8LB@nvl{`|f~SDGa!uDd_OhKt>9c9a-75UO}{66|m%Vb&N(n=3qnOPGAK z9S{<(2#G6EV1Ana5xg{&G^A7WX%96A;L>55S7gwPu7qAZ3d|^?SUQAacfSL1cMvi$ zTswlUdt$V9t%ou7pK{-mC;_#o;0eu83dk{Y~6)9C=7-@_c7$Xec@G4uVw0#4&&!6(5UE{bu0&XuH>s{3g7E5pTDfsW+sNo&Dh+WDz5fGe6xXc) diff --git a/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.hdbx b/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.hdbx index 1b7ce2619ca969da127de96e41b3f43587f8da26..c826cdc69ff300965a91ed3dc18742df723b75d3 100644 GIT binary patch literal 1862 zcmV-M2f6sH4*>uG0001Zob8)kZ=*;ShOgsaQQ~I0C3g%3#`d)4!f{9sJC5x!IICQt z0?H0M7*GM}_O!Zx`<^O3;*cggvPQdF2dUGs>*LfpPgQZ=A}|=BS)3eLuzSeRyV-lB zOeV?)EXxx#4KvCJRI?;zBn#rGHyEJ%IAb8#ln|Ch(6BJWJJ+U)mLxi$IM0$i zL)##vDcZ7lUzBbUjDfZ>qq{hWcB1#YAo9Z8=U;vL7|#obSxC{IMERC@S<2@kY+4!^DJiR zB|@u^5*U`zEyP$N7xVWNZXKrZc$+i9!>1Vu!t}DoTR+mshq_;oRE#U}LLX+|@fa10 z;1`4>Sslpw0LvI7to)28@K5SJQkL=^^R;(T293C#-*Z^dd5TO^`q;bJ=3(fREqU!- z`Ehb7qpLiCu(3KsU|bmu#Fn%u6N`35ygv{|)<4icsf&za{cww=F(=CRE)|}GEneYr zb4SIs`KL-A7_WL7@7Q7x`Sc4^EBa`xVijZVKkSer|J9GZZ-N{Dc?bX)^Wayp>3dbd z0X<+ONt{eX_7puRGLnca^)8^~B0D79iN$h#JDtN27u%5RQYd*hTi&kBxv_SxO>4Hi zGuFm+ADN5Q#_pq=_43xSmYem==%dxzaIE`<{i|V_7JOY=wsT|Jwz>G!NAsC6pO=M4 zjqXg#w7EfRe(l)P)oOY*H{SE{H|9dLbi^35WzZu3#5|&fuIbrM-7YyJI{E3s&5Uvz4yLA2SJ7uKzk*@WT zs=!N}>2gJGq(3L8DL`)6k!vG2uJQw9>;^fWAoPx03pp%T83N-*h8Xe; zG3v;*kyEP-fwe}4P++%C?>llW-Ta2xCSY-%p)W{G+o*_CR+)8d-We9E3$Pi;BU3EIR^`@p=fzy=+ zIM}N}lx8OiIw-tqpu5_vO@2W1Esys;+pkIh@0ids-SQQW;DiW9wp_~Ix# zDHxt8^WG3cj!!Ub-Yzcp@`-Zq?GRL|MW{D5pHdJ!QTDwZf}`qMsNm*-V^knKQUARi zgbE&43}4)XFVo41O7IOaSIJ_2T5J>HzCRxqF_l6s_Kcir)MaKfl7CsBF)Z z7MANPJZ|1v()bnrL=AhUw1%~Oz%TCof?)kVwXT=n|0A*Tj%A@yYgzh?Mh*3ou`t$C zp*>4N{}m#2SUMc3zt8Wkt)Z3-qM7j*!mH-~|06!gHs7O}bvDyh`afMc=EAa}tTwf= zz%gTC*C(XEbZmNc(@tl$xm-A_B@bx5T-vX0;1{voPG{@o&1O+psp9*zUT#+Pw>mZ& zWkGgu#I!ZHDBp?vv`8Y4)-5FQfOU_g{0$57==#<54fsAc(AP&2<}c0goi2S8uQE6R ze_TCP%5U2scJh=mr^G8&rmE(mlF=R1Reij8pTKYbsE9-)Jp4(khsVQQ(KUTIQdM1R zw1}B69zCzh_x{lFgB?vXKDw$+h^|qMXr4;wc1t~#y3~W*H^d|AR!)(9l7Jhmj7I+W z?U+U}!!LligXc^<_siv%efXRUZsT%fwCdsQ6K6*w%F1^DT4Bg742C~s@Jf(9@%X&s z(}YGojXd!X{Zojk0)2U_;^iABj{;a5^X2R}*bLK8>nW`M*{{a!)HY{)!5M9fDPJkqDd@~Z7JkRJ|EuE^uuB#8ja_XeJ(vwUyh-uG0001Zob8)kbE7sChOgsaq2Z>xGu{{k#&&ml;W%VV>)5Wb)5#Sh zKsdA*JOZ-o?(F{d9*GY-WJx=oPCIqDNMh^b=$uCq=M{lo4^86To&}qS6up_eMapQT zyu-3QLgO%{j6gNp#f+pu9CdmrO@%TnYA zAx)6a;%#2)BNzkuF{7I}h&H14n;>$-%;R4@`WUzr?O42FWV_`>F?bYgvrvSR+k1N$ zM!23Nu^W(-ddQ32Y)hjwZwy_72*%pxUwKUQ(~i+33HK<;oZqOM3JYm0+yZdhM3{Et z$P02fh06ujMeZ4zf}0Tl=e@1WNn94}#317hqm;YQExX*(4T0I9=%%3br1MdGa5tX; zvfG7$OPr9RuxLsS%_>@5Vc=tsJ^*ZJxefBTyWlWM(Y{<1LBfHHD3Ta#?lM>!`C{P) zj$!9hn$JRlwj|1Y;-(n`w9dW^hywycqDX|07jxRML`Vkyu;33q8$pt?z{%2>C6@>- zLP}s*Mtz8}KrZI*5N;Kw@Yv6o;Nj7f1YvU7;jJHO(UZv5vV0AS38Kl!Hblm&bA zfRQ9|G7;HQ^q|N{BC^!EfRc-JzvE8K=F8jh6o$C)L$XPrBN{$i^8Ku zccx{oxIt@rZLh|Q#rSG!yyfGs&6#Lvi!mni^=t*+$h<0XALy3Ym50(|Y2KPE^UknW z_X|VnB55+Vz!_s^iDF*zvtcYGVL4&09mZ~c!2s^SpV$cv;Yty+OULuyC&|7j1krBd(I3?^1Z-BPRl!Z*)Jl}7by1=~YIRy2+(@nx zC2C!iVA!lqD})=#RiZ?#ixSLtYb%5s$yK66tBVp9QmfMn;U;ogB}%lqC{f|?<+MV$ zkz6H8w7MwKc!p?&a3i^XnIW`6EkpEqhG>OwBe`LjA+%8~Lky90)#~8Jo0@V7PFHH+ zV6O&IlAb8&pzx}O?rOa>dDZzK9B_p1ls;VWhMLSj)^BktYEJP)arcTSj`R}Zv!m=N zXLzE_dqoU6KEbelySUiPC(6CoLr^Ifp1=Z+4p(~4$5nxg6jv4L5}c5{r7qh zDtK5je0C4MNGB&M!B@nPAAAKvtk$HoR-}_*%kX7}xJoB@SU<=i>Hfrfr1SOaA63== zIja%huJ6RZ92L-i{B!-%r(oc9qL4mQUi`pT;Hu|Z1&(a@Cko~>rG>SMR$bcht$3m` zK2KQu_EXNPevnXQV0EGfK2KKs*_@o!vy-c;1FRF}?s>vev}zYBdi`Jg{0e`fvOP~) zSgx+{xPEI%<5&0-HSC$v(hjux^&4>@Sig>~>-pEeBv#z9%r$ByOP|oFpnfoB#&RsQ zXF=#+AyS22;)87SHJVswGi`fH5c%@flyW4>utO!Sb z|K%7*KE=<7IK8J(T<6o}r)~HY3~mB*WVGzzZg=*EMwAsV0W{K(8zA(*3E_nxJL36y z+oL-gc{FmxQ}l-r69xM6R{7gEb`}M&IHvQ-&#)WDAC_ZS{*xb#+wsbr@Ev2W?$PIl zg@?kxVTA2@)yJ6q4kgt0p)z=+ht=|;?IMtG4p1z~)qC+8d==k)Xx%%g1*gwMc^!c7vpL6~g7Rj~F4VC5#Rv+>vdGKu1|IEoVI z#f_6738L_9`Qzm*UViPJ@+BVQAW8fXMB(6s|J`mw@Y#(4G=Bj`1Kj5yfFZ_(?|Q%G zcOU$C!D%NyTE%>&SE0j^GD>dM6yy`L&aEyx-shcyqJm``B*4WKtA>y(SN~(wV!^CCx**-=qNm*$0HdY z67Df_6LFKGN?asdk4=S_kK+fpH0#m4InIu-uRWOiIAyC}2bH;UX_8@)E0> zR|EuNDzByR(xZ4yy@Rb1Ff4i4%x$)x*X;l;P+u3)a=g3)A zOPJkD&<2Te(v8YV2N#5AeqMj*tDM`_XL>-+5nPvZ1Xo0>t;M&G@Q^BjyO$u{OQ7y0 z*#EA7s)W`3F3j#FXoG}u+9Dg>L&si|BMoldiH~dZ4aHG`$tfdn+h= ztNeavrrmPQGf-lV9{3Dgs-yuj(`UcS10*nTmIw$J@`CN}&@};CalW*V6P1w`Zjwg9 z+H2OhtqQ4q2pI|zEA;C9#$H{%7|~V9_G*4nAxPocLW|eehTE$2OjWzo-ZQ2GW$lAJ zqi6^iv*L(q@0l`$$h6cm`7OrCqPX9fX;nz={bH$!#?oS!zD=OT*E#aSn|FQL>#-Wg fDW#Decq)1-CEH*Bvbe7I6jqXpH9oz6vc#0Cpx@at^Lb|G&_T6WjkTkJ# z0X4joV^jZsJ~`+3I4373U>-dsLHGddDBL8m8-&RQTm)->09J0|IvaoOFOw)fjiV@W z9^E(zk{}9Cm%krRUu318w{93+V!f+!pu@z0H$fUj;0pnm}{98feMlz+jv@LTUi z{`TIFH+(tJKZRiQ6s%VO69Yi=BeFhX2>am1kN*TqA6&W1UjT!f&)}Qyf$>UG$QAFe zzk(m@=(*S1a8j|@!#eWZwIle!-`)|&be^}ej`sr2!147H-^bGiOeRI)<4?V#l`r+q z!1xN=>>5L6vwu&$e|+`eA>^xu?r$+0aBm^8`gH7!?k+CI^TBtt=-GZS(Xa%*~N52wy#b~~Qim$TW}zI{DAxpdBlx5LZ3bQH#?cu@^M@O#s>jYEif(tvl1|^2bLRBfJq6M90Y9WJzV5PMP6c6 z^NN5#Oy#u{UV0F(sdund0){0Io4L()^ST|N1?uZUT8@`@U>vjqOTU9s%Hc{E3w||% zSz0D?I)C#ZI;N$w3UG*KCf=EPR=f-TA}m(2&DtB*gMu_&cQV_u;a8z*)<5SgY%&O0 z$+sLMEyhTtaCH>h+Lu!p^$@TEVyJ}}su06P2?Fu+VH>PAGG*|J97vgvEZQR6)~@HT z`TtMFU#FT7@w26Fw#<(koY*_^VmDm=EMF!%+Ko&eU)?D`b_u8IfCnQj^K)DwYB*60UlB%aQ70VdkNIN z1bgfHr%G7e@51a}f;LDfr!7))&P@BBxjflS<(wn6XRmBsbV1(w?DZs)J^hk(Oi->| z-+y?>4q2z$y8O6Ur;8eN38~1q_WRZ9;s#x8ROq&Ew1`fZH0dh)rF-gnMAKWrytjg~ zx61E#X4);+JOd@>=$_BOrAitgGkx~kJU{{iXNiDtAurhO4qX$V73WL)I8hmC;U;Mm ztes|!+p3V-hmfHlu|lujZ|v3OixFLwY-{fq6@nD5Ewp%jZMdyU&s4Qb?LA{EP}VNU zGm3_QF)NO!_MRz2h)hd8liy;DEQDvTae4QgNym{A`y&kJ^ goKhOuo~NRxQnKCkFN^DXPhlmwSmV?C4}2sh$y}NHKL7v# diff --git a/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.map.atm b/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.map.atm index 43d374b727254a70f03c0fcab1cfb391f6678e7b..a686051339cb467a23b1f84b3a1a28deefcc6d38 100644 GIT binary patch delta 3650 zcmYL|cRUr||Hth;v-fs|N?Bbb7nj0SvJ&nkLPkbhyK9e-ajoo(Yh2^HMjGT^GAnx% z*A^F9*GPTU=ka^|{yXn;-sk;zKAx|0!o>cFVw5RZ8Z|4J%`?na08MAes(z#n#dX9W zdib{%h96~!%{_NK6}g*%PacM-rOLmrFT#DS31|z!tWCk&}Uk~GVTAx0Ft*!a@>d+{JS$w%RGWEFNfu7M$gZ?tU2VN3j z$K>HG2(t#c1YaHXSdMD*^T@SG9YpMF0N^z}^&K*82Ol+GiCx(m53E% z+N!wYq7W5MoF-(9tu?Zy_oxV-siH+lUDsbqJ?Qn(?`Uz3vd}y_KN(^u8DwaCgj0>6 zyPR2)yhY-o(Z>2gfUiaWwY8>+Cf`fg&=4;fAlSvp974wf4j{?<43+$ZDy- zzrMe5LqUAOPLK~*Ms4o%E$05=+?lQI`Zop%wzkQV;bH5q&8Q|j{lY`fk3}Mg#Kiu| zgH!IK-L*{S7Ym?$9l@s>rMgqBZ}+_*{T*qk0uS3?xuHLm%tqA@j6A6$p7Sd0PR2N@a8#PY#{Ar6B5lAa{;)G1K&0;3aVRMBY0pmYbA| zxqWl6c_Tzk;jzeR6Ff0?<@uT3u9E5ew-4XPi%e&SAp=5_StTLCHn}L`=U09nz!``? z5s3N0-LSJBRt;h@e>-RIG4Z_S3ZH*I@sNpR`bFu%tjC9!6XZ&(0_V<%DqQi_m=?P| zjgz}t0lm=F1bm%Z>&%`pm_keY3C1H){2Gf_3CFHS0%d2i!rPV3?t7@sY1X#G)fIzmjA&;Jcv8P+ElNev z0p}w)W~YXFd~PR8fvF>edm`IUrN#w1(DTigI(?D~9EQLO*+)}*!luTh!nI%*rW$gx zd088!U5b>+Jde+bN!68_x+!P^97>MZy#d z@NlU|xw5Dsrj2?onIc9_>BTJ@O5d@F99O4LJOZhziFNK3Y}p7)hYb_Zla)iwM^2ou z!(jMrxczE z&>iH<8HvCtNoCdZ0s_0UU<@Mi4S#1V#uWL`Qv!@vq|Jv{!4{u zTU89?{pq`fz$!$vA^Bu|tV~O-;OvzS;gCeS{ucrK^7Z6e0pyZQY=JkkCrR4s)BKd} znJ0@X89`oG;@)^0c`16{+2fTLwCi8Io;%R4p>aF%nlmF+Tu|KJfKsqi0uE zqLEm>8Va$3feT=i8n2nKg`EsV(s+3o_u+_PVK<9iY-IVcGqGVv~S zb0TEP#B};Mm&G9b+@w**ER{we*Dz#yefdLv12cj%Hg(BEiI#`=*V)0*FLxNtk9RKf z7RjJomP)2{zFZtHkllPaMiI-4#*yd%e5r;pdXlySuj1Yq=>PuB_JfP839r-IR|Exe zAHI~8*&5?NQr?2m92q>Fl;E%GYGuG!1h!1@&Z6~~+9YJQoA^n}+b|lEYv8PZRd*{5 z1~DGcGQl_N*1ZVj8v8QgHapRRxG2lK>lp0THp$%bW6z``gU=t%x-o;i=c~P8OTV9Z z)n#?vDt_ZSt4cl&5#F|D+RnY0E+w;Vt-S3v`{|-w@t&^|CS3{hONc#Fi98!0^4|xy z)=kv@cYR(Kp$-c>3-So_%SFVVu|%F74Ylwbwn59gLX*z2aG@VGwy9g{CQ_H_Ne69b zQD?m)8|St+VD95`E;l!Y#b~FC4hs1y$Oi^{^Yw(F{N*^phYcX+tui69Hgy4*+Iey2N` zC8R?3MdN~w+XC&S#&2g1_fexVXcM@oQ;CVNlfjCDspPxvSU!&GF?BM<&WvJ$z5shn zg=y~b5I9N<Be(+wT0*@6?c|Prp#KVQo!80@!6I; zU?hec_XryA!0i*Bj)0MvQgVvPp6-k@_(>*>v@|>(w90$(j(%P}$({u7K*b;f`8!nn zJ5Vnx5d0n6g|*)l1dA1R`9zl=D>w;&PUx{y(nb!22a?Jpm-#A|9GEHX56dyoN!+{D zi7_0x_dSaO4c7FA$1YKYi*E=VhIB+B>QKfXffYMbjBr6&giS<~|EK6vs2wZ~fHpY5 z)=)&^WwBRbJvDkIGiiK_@ayxv%%Z9(W`j_wXLKAcE4KnyX$wPTHQxH}(u>@;^}$=Z z8Xds!6E0sJY%r&q_8x-5X*4TK>*;+voD{~(^dcZ5!MUj9qVel=m%3!D*(C)d`t(aL z56FzF?$zZWM*S8w5yg!!e`oY{qyWre8NF%1YS+C2DSQ|w`0 zthuHIdl@0&!@~njMc@d|`isG)Ux++Zbxhsrir1UtoFw{IqZU^PP?}qZORp|paQqX3 zqdtVKVb z&J`oeequ_6mK^=S;lpjnF--^n{XECmf+U$Q-(;e0)r36ELz-*ixylIr>Q+RLFL@EM z5Z9%QJbB~4G`!gUF?U%3Y`?jiEUYd=i9LGA4G?>{Gp{Qz(ty^KV1v&0Qsnh;Xd)uA zv*#`xonEJ_8i;VC5;7eP z=wS`l?`m_@J}R;J{?>`((mz3ne};B3M@Km0GrHmS=tYS*`j-4%_E8`W=+Me5sYr)T zR>TY4dTymK&^uiDrxW5(u99GEfsB=Uu@wXa7;>!M518+DEkGg1)Gj22UPvm3V_gB8 zK)|L*sZywPGhrsLdR1jD(x3pc^Dzzic>@&$K+|94yx`$OJh}iHIZo!wG9pMPLe+uQ zh(FSgPmb+d_ZoS_I0EtdY#d(d1c|smqId5C(3*_3?7noM70PE#e-#0(Jbv8veohm~ zW#xr^q#^%P#8ZC5k^Vx_j$^*lI3rQ10qRoz`cNC)039{XJop<~m`(71NZVJ-g@q9+ zPwUc25fhL@V+&dvnKmbf;U#ICCX^8JSexhnpU^K1G;oozJzj$#e#e3086bq}Z$sU` z#I*09gjw6hb@Kd+3pPmB<%#Qc-N$sYqGi?`H}HZCJD(B{l{jD*B*)t7UpsjoxoR!O`3@z-30pQJSivgS5g8ie3BQ9c01gRHz=WdUS?8lg&=nBB<(vA@XK z{``X~d(+;}j<0kw4(!Et%vW={o?N4O~bsE$c zt9Btr!qZUA>MxGE)&G%9Bc4jP4Q_VwG$EyKtvP0}icY{JenyW=??mBQHQa|`mw!^% z<*NE&w;Oz4%a@a2rNIhJo=Cq-b;DL_w%0QE?)7Mft!o6{u{6UkeM;M;))^Ee9UEL- zP4b)Z3!ydm@>WQ zkGs;=tg|4g6fO;MJl3oN>~V`>ZI57n`V54-fG%!->>wB#| z(qQ&A{h3&>(V@`>f}x$W#wuXC!3Q;nJbC%LST1^f>!PRQJ=)3O0~^HTmZ>gVBc#TQ zbkU&%W@|h))>-{rl%WV_C)Xt6(eLHf;^`J}mU>3IH|BH)Z)JzNh^K8xQv@iag#KHE zDAlAm75SiC#MgyutOMjLf>3dG%bQ=dd0{I?LtwbIKZuI|(1%dIAM5*uZziDX|)8qaxx;_*UYDvzkkNePw5(e}x7L3cg20YjY8 zx2otChSSdvREshC93ac5#B*B9zvtWGwZz!G1iY{<)ljuRDH0rYu~}@Dwd_4LV~#k; zJIS!6ArC07Xivw&7pxV)Cg25zW2PnS(R{8Kn5vF^edXC{_}g4 Ozx-bOM%?kb)BitwybIj` delta 3651 zcmV-J4!rUIAb=sTH3AMaKd22=mDI*hy7H5z%9BF^F@KHL2c`XiU^PsaWH)JN0B7dRhc~0zFkzw1w9ZGYzxMgd$4yfdNFWne_W!8kI4OAD&x zoDHGsyZ5`g(47@VAbhb$rKPv@j^60Pjy~((ctJn@7#Wwb>Gdt_;m<7_{J?|ehd9!B zNQtr^Zs*~emWL%HH?V*m*H(W=xd~%8fL}9=&5&Ow=#SmrC>-;teIqj3k*6G{Hu$8l ze}7<1BNx`JAN#g@U_jXDSNem|sC??cF~Te42A}LjSFl$Me{i5})A@8@#6I+E?HCWs z=k|@@X{NrNcfGAar?{n?o;$EFNBQ=+Hci`$9qZb1wwvzSb70jiX5Y~PS%Vm=Zo9+U zuIE}?GVVIt>lyB+m}#WA+jbSN18B@Q`hU+?C*a!_2bN6)t{;b{;qKM+ET+JB zoOo{xC|BY>FpMc9=<07+M1WzE!wr-~}MDIopaE8(Gbp z0OXB>Ba9*{g1s1a%a_YyCYf?dH4Sfr`9|a6` zX#9G(2I#5i6t^?83}r8+ZP_x+B3K zKMGJ%TW-F9MG8*Myt17>RA6RyxDw?HXK=ZSk{(rNXxm*M#*Pu0SFq0p9#w;E1@Fd< z4cL?}h=i~(0IE~GzCEz1#xqRo?-eW)kpIc{<7+?oI~8FHyKZ2cboFz=^M6zemjbY3 z4^ePkIk;PfJ@^IV3W(4tmImINn0+TmF zDo;5yc1&lXZ<#1_jBps+krfPgv*7WWjFZlT?4Fx(Xy1%48JR*lb$?9DVeK|xX=q=f zAOQ2D2MyZpr~PX{i32+ecAf9tfGr71lPxptcq^VrLFmBBgpPF+gLq)28_0^DR~!WF zP%9wAC`xSH1E3oIUK%>QQqS}`rIF`TWxN|70)iTa`L1*O*01@-qo%a|E3BaH`lD!v zs3HwEHkbIc(aCo^XMY}%M+4D6NC zutzjhje8Yft@3cMF}PQc#(hUn+-o)NYuvvK?$z?R?^KU_4S!&*@o=v*xYv%wy(+4i zuh+P*asM*7*Gl7F6~NBd0oFPX_e}=(`mwmz8iL}!S>wLO{mbB9FO7RdL)Ex%0<4=n z+_xCqH;=}BOHkamYTVbje;M32%j3RPJ?>io>lP39Z3g$PqwnU6dS9wh*6_ZV3UVjr$t+FN6DbdE5(dU#}y{6YlAK_X+otr_=kp zWWLy}SI}_QJwgt&MG*rvd_;p!r!;)p--@1V(<})dGO2^u=}L0Kk9=u7fhXaDM>#Cq zOPr1gbGNL9k7)4emOoYc=DSQUg&%;4Y>c@8Vq(Xz#(&=c^e$7B3xJW1A07BmRs3kd zht|LkBxs%Y$DmB9QC6euK+Hy?Jj|5JVWvoOj}J2@SgUscW{4|A!;dy13{j^x@uQ0f zLxR>m24=!|gf+^9Ls|LIsBiY9z8PfZ*mS@Pvu)TMB&HgGrb==)U#+=uk%}f^ErPYY z62~m$0)HvL?inC8MFG;@trhA>8mDn*#h|k|%W@OGcN)X)?S@SaC-XFhZSICm6;b~* zhTT71onbgcVc;`B$i-mHHiGgKWV20}#y;suYVOg#RUI_HrViAvs)O_HTa8AyB{RhBV!o3bKyDzZpuGs(5GK&dd-BW=KKoR7Zt36?KA^hfgs;??=)Z)o z(VEA&VD){%+BiHU4a&#SDV5(T1f0kdK7yDyx(Odatz!@*j8#}8NH_$Qk4RIVQK)a^ zUw<{J>%@KjL}?ZME0u>O^$7n81&$a{+J6L9J53KuKTQv-d72(ptM;&J59>J(t9+~? zO2Zd-vFLqfa;Af~n3dM+_*lGds&}$l;6m{=8xwk&aMhY}Oe}^fj9xoAtbhL|V)-CO z^%;Ti22NHRTqr(*m>|Xp-!MF^i%KAp3T@e1t1X!-W-@l1iK4w>aMj*VtlLa`DCx3hd zF)_OnK7#aP5LBN9*9bb4N~uvEL2rK+%yF^+E5v`|W>!(vqLZcbu*8Q_IVVdM=1_P) ztD2ng~nIt@HQuWtyvUuH8?qq>D zloP&YW0Hg>T(w56yl>4WB#d4=Ie)Bw|0ZJj;9>3UgPryfq=5^?M-UTmJK-a!a}0v& zv)~#*hY(ag;8uJ4vtXW+rBnZjo5oIU7M-jn4@>;m^>R*@Cd{GmzLV8FO(&~$nod^x zG@YzY?PS$X)^kqQKS*i%Ol-rqIa$qZ&Igq|o8EaPCyUcf^-fk3TqwR~V}CNVCS0|q z@gFlTnZivNy>@a~|Nc$H^6|rZP4(#aC$P0VuJ%2oG(q1X=1+SaxovaSHP<+kCq{mITYE3&P@r5poUOPFg zfBz<8`S@YI^28T_6M4c#kj4buPWT8qCh>({p9R+lI)tF|0k^ski7x;v#DC(xpaG2E zf|J$eVTm7BQO?QIg*g=7e;Mm(Cce;4Gx3FXnu#y8I`KvAWJ%9BS%3J(y|NnNH4QB&l_$Oc zoX8VCf|!8Y2^T^7VO>-LLG@X1ji5sa`Uly1g-Cn>SRwvXhYKXV=wx+xSmHq`y+)3tl&G z>SWz#=`vYP@4ra*U>M#e>m**Kvvj&%Eajh&eE`vU@{q2mgvWm+*9(TAIqvb5T+AEm zw(Ix~l$dWjh(tRyJV*+o zd~JuoNoWF1n#k~dR8QnBLS(z_zv_+@!sRjPYP;ETB?l$}h?CvDU8*6?D{E-PxfpsU z`vGk8$iB9S7?Lj}*)&2F32sP=vE-9w`N(r3(TYrI7qZ(|&y=LiU*InSat1fGJwD@U&;;Ora<96*EOw3enk>GlhnASIv}mA)2CkridVDn_A)S zXXQ+3iXdq5orcVdK`Up9A_9Ecg;#Y~&Jz*9gRhL3h-A8npnEX;&_pEDO$6{@Ap1ll z(@g~UXj&o7K;=x)M9@82xA0g{^-K}L$k&^NFuux}qJN7ZXq!sm*sXG=G(`}!ox;1O zE9Xf^0G2fOUkUtmuHcE%9#eXwz(&$bZx{iXqiFjt^b&2E|~k0yey(%SnEt_s5*5!?e^+YdPxg+00mqFL|m2a5>99uY*d zF3IDvw|}eXIrA&K3uG0001ZoaI?vbD}U5eebWx_`KU7(yyH~ovL)lj;*Y<-SWf)h&9s) zHUxK_*&n|tT9kqg`?$mx$+;)@-jj3TCFtt+oP#A535%o4R{Pj!0U8By$fB3a*5l;n z>v`)6AE5;il1ar$@DWhIEwEl^*b9$+!nZ&MzA@%)^5duVKe#wHKsRMP1ZL+17^kp( z22I1b01s3wSwMk9f>&UIhg8SVXv&^%fFIyo7QZ$XFcv;S|9lp*o3NEhrw_!|Tc5$wn|+Rx<_VM6xB_Tdqi` zQO$fZLLF`ep2w;~SvXQzv8&q39`^G>CUBg}MJf?|e?GvZQ<8G&EeTI4 zepd7XuzzD=#=E1(`~LOV^_%rH>f8T;`mU?9dZM-W*IIk; zw)Ot()sx>)^NH5#T~qJTuP48u<`b>eyB)npzn=VtnoqP=?^=3~em(gOHJ@m$-aXNK z^y|rQsQE-|_3o+OqhC*cL(L~zt9Q@z9{qaq8)`mjqWU#nbqN&A7!L)Fs`gaJ5X^7& Ywt+2}UjnZ0sW(^oI|~*53%8JRa2mUNk^lez literal 747 zcmVuG0001ZoaI^3QsOWaefKLeJnx#eBEn9LBT#p6RJw?+ed?rbkgTD_ zG{t3RKYnAuLZvX>$8Gx3=G>Eeb8>F_5_Iu<#=(M$gvHT$!#p+`fJQ+avgrA|@i4yn z+HPFnBQz&MGO0KTJ_7350IP9^J@eQnd;?_QD`Va|KYm=jLFd>2-IVbVSSP2zXhHJ~ zT87a9_f#xcK!HPo7hr+=Yw(l$zz(xY2yW*pR$gr$rf~Pxgw!L zInNmlukGIWU|HfPF;C?>YyTUZi};tSn!wjoZDX3i!ct(#!ip)%%W&okB9{0q7B2{X zj1Gtx+fRoV9!zQQlB6@-^ht}Dv||!8XwpfmNhYmM+dA`4+E!qgA%Zz|Pd!1HOz^|K zJ^BKA!&_%?WA|0<6};OsaJOkz*cKVV&u0=%8C9YK_4<@Fk1PR8y!?EhH>5~uqhtY9 zk5ERYkxI8LHWY$ubeCSyC^QW@?)I+`eE--DXF{bamI{hhi);^f*_qAz-2U+AfFrBT zH+Ede32IZxj7s%GD)aMTbgnkH1kYpTp)4G!tk_k{%0BkJ=yY;Kduc`S&YxQnR@7Av-zozCBt<}3{dbfTx`8744)KUEkFS`T^ri_PzMrCu# dV+iKAdYixo%r5~~x7F(l{jGrt{{@+pbUa=}eJ}t3 diff --git a/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.map.hdbx b/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.map.hdbx index 96d47e523b3bb8a052c4b83742007b7d4e1ca649..812284c7d17e21828a33a0522c6d5f12cc1b9291 100644 GIT binary patch literal 1767 zcmVuG0001Zob8%RZ`(K!$ItPnAavOla1)7=WxGWWj_b7AI=0u3E^-nm ziFUZv!yu(JZqe_)L+W8gX^Mq!Yv_=~9#X@ZAIZ^Q3a_rvIN2XK+uf(=)%Z0shC|~G z*7YG8g(>9(n)yEABxOn5xw=AkNybqoC`z`!=<$a@0TpZ)qcllE(M4ghV?Nquu`ini zk}gObpd`Jg9EoI`ej}VJQ*nj-$Wnnuw~GbZ6Q0JUB zBJmkXX@G*n&mtP9d1ttk#V}Tsf0a4W$33S)ga;%t?;q-?%0rr{umD^nlxshU1D3-n zLN2f_^2pE>!i)j9yn2;+!sl$S1{v)*r80zWdC4u^5tt2%ZVF0IF0S>5aPt`;`+dlK z;)N82MOSj{R?+Gz2j5tF53r$U#PYnm5HLy6p{lINK6G%{ZX-4rM|T5I9mMl|pWov|)*m4C3MJyPORsQqH_AO}My3Xc1BZ!*aTX z91G-X{*LKZX{v~~8CN_4ni3X@%Z}{*K;r<~-Xkp^SChs7X5aNWRg2&~LYi(~>Gl=Y zF-F*Ui-&KqrF9-C7jnn^=v)*@BkAX_3>I`IkmG3YIv3k44BeuqZ2eMv9A4_^I%AMF zHhT!18~rP_C0CTIMY|yWSA@~(PxLqS&?H|!(qrMwjkCz3Dsu288$9W*X0F^H8)ag$ z={R29BZ~w22+fAwADGz0SjG=Kq!z#VuJcoIlRx(ifN>xG%SC$F%OGa+BkrbPCq_YF#&faaPV+x>!v=xa-kkF}j{k zUds_T&P?@mmDllnGh4&>x@;2uJ&lN4#iT8k&aJa{zD(Tp-D0A3kv1N!VED;wrOeXu zc`{jO%Cq3U8zz2UB7igyC$69&)P{s#dcpRU(YL$Ewz|l);MYKZEvMjTMXC>^jFwbI zYA`5B8M^fp6*d7CZc$aJ3b0zntOjLehr4Tol`QRc%c^39^|H2O+^Fq6S=;+9t0OD3tnJup)OG{*;OMSp zRk7;z%i7)>HflR;L9f-JM^t7d8O)|+FyJ=mwMw+cs*((5Q!-%Kf?g{{Ypg2CU^XQK zmV2<3qBT~PWU!i&!GznO*GkbNE31+WR#P&V@QCBJQnbdZk_=W;GFY;YJz3jZDOzJSC~LblY}EDv(ym)2T6@i>m#A!`feLmYi$!{(zyjlS1J?Cs>By%4 zGqk>h_QvQz;Tl-F{MdZyXP7y+6UEk9a~s+vwr7us!<^cQvg@p=Nnnav^Ob3_Lr;`n z=giC~7nYF@%=%?bGjLPn_j70`>alZ%X5c|d?b(~>;zn?yGCON(@_|xO z!)8OwTE&fE&{Ats!_|!d51NllNV_}nt>JvLJ}+H6lw0zR`uCXu>P&ua7Bm9`uM>ss znevj4Oa-pyJ=1`Prn?j6?3vQSRz<5R%H&o&QN^AoEcucrXVnz_rVgx5RIlgBN}eUj zSv`BMG;M%&qHH}+ScX;YLc?zUD_d6h6ZPtO(!zRG;c@d-k0mSoi8}R6Y4s}kfRFE6 z3pAsJ>&#YbuvJlPR)A$PTURRdKS|RDrqOuq%xCUmF0)_G=j#`d$yAB$Ts8kq3^H4(!Ab1Xs)wcyR(6PxC#%(w)$0#8?hT}gemsyc zd+tWk)ZVEh%r2A1RE1G|+p@&X1m$i4R4ZMT-Blx}J7_Df@snr|e}kHd#3Vd?r{$s1 zFf(k+?)6R6wi-PW9;hRyr9BFIZoqa_q;hoA8WP*07O{Mj(CwD`CiSQf^`(dV)GLD` z(S8qM@FE-i-OoCWd`_PM@vfdS@x8~($0&Tt1;50l=Cr)RkM{14#*`Ole_w>5zrdjP zO9sD5vZId8x&htOIH0kw4$mG_6b4)?d*z4j-7IFXHm39OXITEDkINCP_wlF6?P%?c zkfs?uG0001Zob8%hbKABO$DgfFf#J(ZW)zD6DN1Ul53(Y+Je4I?mvsEZ z0ZCBiDK8p;bfRYR-OmENh^FICM{m{e!M3yj7rQ?I%Rgxh256QZPdq$4W$4}PJ;IX- z{y=nnf~HZ%IE7|@OgYWMH0ccn=rPSX$^}Dd@Pi)z5y)pEJR~Sf(@6ADlpaD41$pAh zW`U#&n)oQqo)||W4YDtkGi55TkRQWLpy|VUjgFLO33M#n$ct-NMNgWXP?~4QJVQYk zF@XY}#)UONFa`=z&JJmq9MskC!o-VmUw-x3bLcU2)tho3pb7EhQoCy(~kjUNtF)vddvQ&iy;9{X%duif_ z1)MVE66?B%3@sqc1c1w{x4A1k9v;;o(*tKrhS0Ard0+<$v%%1PN$JJKjs6gBF$45? zj6#pP5kpbgm7crRwED`ymoR$**w8Z$i@f^~FwM}ZS`?v>z*QC^1)s+pmPWByq=P2x zVoHly5GbZe9#AjKIiPhnuJ!-CEQvTf~S?c_EU!fUWqp?8@LS+1~LmKfLAA8>wH~I4v0x<5upJLPZD#Hmq5u|C7 zOhRLZp0JKIs%yO)u-s&)qYPrX+B{4bFvLv|(SrcXyV>etZ7=2<=aapitv=5;^G|(b zFW38BAKhwIf(`)DznFBV{3ZFf!cXM1b!q>Jt1le3$y z*VDVj{Jk7;Z!c9(M|qvC_RAfNugfOkJ+YWNbxhiNV?WqC`}5q{J+9|kA8E7c7KWcM zx5_Lnzs=`sO?ejFbE4EMN(7Jw;?xl=g4&SsTh|ZX;gQuxmf1&!3BShrdpQN)Yf>X1 zg*#F;sqwfZg>~~KDq;XC(xIwRVN5DkWZYsk0aixG>deZpDpo`Ck`>frjhY>+Gb>B4 zSdFZgtV|57h8?Rjt8rD?;qKaEB}=>2v8q`Sy{hemv}*fM*7i}y>deZhYCADowH?DA zoIZA}YF5KhRojP?R&9qZ=yp2vjLN7bgVB}@7;b}Zr$ig9YRO=__Tv)L9xr@rxZ3ZoOV>{1mi(apeTG4u$V0EE-y-rs0 zEJ?xY)pMm`0jvvU>vh7yX1xos)&5tutne4=)$621^t!^6_NyLKR`?5b>Xp(m&$Na$ ztrE?2?byrh4s3N4`z>IZFL$*H{YTQYg=sq5*{h|qUdik?tJUsJWHOakAReZ(&FX%? zEWA`Oe%Y+{>-t*_f+bm)og6j)Z45G7sljRDvATz*4p#Q4kSD9vk=46T_s%_}iM~D4 zD1Yrn($qexBg{@HkEse=ehb3X$pzz12~?|GRozu1X9sAjuJO|N2!H*Ci6%5U{l%(7 zqfw46(=tIJPe`jr%6)a@)GY0>KXm-?z(giTH(hE`%qEy(&t!pR(!iRG$;dUv#M7}~ zMMdM|5#r!wI{L@&O&rCfz9QldUPAHQueV?0=p`8P7MO<9>IyGDItP|8ULO8^lZO5V zgy9b%{7sTQb#B)2*^wnaOFVUY_MD=?aINfBoVs`NB!tDWSj~Qe^*{Y(Glc~|`*r>> z-Ptp_N9^4r`u4`cLs95*%1^TCOUnNQ3kw2h44>I~wfwE`GLat+P%g=40nk)}<$>Yz Nbe0E({|_+&odABVbEg0R