From 3b78c1d1a4276417b4bd7b92566db25b01856fbc Mon Sep 17 00:00:00 2001 From: juzeon <812312770@qq.com> Date: Mon, 7 Mar 2022 11:09:46 +0800 Subject: [PATCH 1/3] modify double_selector_8b --- README.md | 2 +- data_selector/data_selector.done | 1 - data_selector/data_selector.dpf | 12 - data_selector/data_selector.eda.rpt | 59 -- data_selector/data_selector.qws | 14 - data_selector/db/data_selector.(0).cnf.hdb | Bin 1172 -> 0 bytes data_selector/db/data_selector.asm.qmsg | 7 - data_selector/db/data_selector.cmp.bpm | Bin 631 -> 0 bytes data_selector/db/data_selector.cmp.cdb | Bin 3926 -> 0 bytes data_selector/db/data_selector.cmp.hdb | Bin 7114 -> 0 bytes data_selector/db/data_selector.cmp.rdb | Bin 15764 -> 0 bytes data_selector/db/data_selector.cmp.tdb | Bin 3188 -> 0 bytes data_selector/db/data_selector.cmp0.ddb | Bin 54661 -> 0 bytes data_selector/db/data_selector.eda.qmsg | 5 - data_selector/db/data_selector.fit.qmsg | 38 - data_selector/db/data_selector.map.bpm | Bin 615 -> 0 bytes data_selector/db/data_selector.map.hdb | Bin 6768 -> 0 bytes data_selector/db/data_selector.map.qmsg | 7 - data_selector/db/data_selector.map_bb.cdb | Bin 725 -> 0 bytes data_selector/db/data_selector.map_bb.hdb | Bin 6218 -> 0 bytes data_selector/db/data_selector.pre_map.cdb | Bin 1430 -> 0 bytes data_selector/db/data_selector.pre_map.hdb | Bin 7153 -> 0 bytes data_selector/db/data_selector.rtlv.hdb | Bin 7151 -> 0 bytes data_selector/db/data_selector.sgdiff.cdb | Bin 1226 -> 0 bytes data_selector/db/data_selector.sgdiff.hdb | Bin 7173 -> 0 bytes data_selector/db/data_selector.tan.qmsg | 6 - data_selector/db/data_selector.tmw_info | 7 - .../db/prev_cmp_data_selector.fit.qmsg | 38 - data_selector/db/prev_cmp_data_selector.qmsg | 59 -- .../db/prev_cmp_data_selector.tan.qmsg | 6 - .../data_selector.root_partition.cmp.atm | Bin 6860 -> 0 bytes .../data_selector.root_partition.cmp.hdbx | Bin 1862 -> 0 bytes .../data_selector.root_partition.cmp.rcf | Bin 1017 -> 0 bytes .../data_selector.root_partition.map.atm | Bin 4223 -> 0 bytes .../data_selector.root_partition.map.dpi | Bin 742 -> 0 bytes .../data_selector.root_partition.map.hdbx | Bin 1767 -> 0 bytes .../db/double_selector_8b.(0).cnf.cdb | Bin .../db/double_selector_8b.(0).cnf.hdb | Bin 0 -> 1186 bytes .../db/double_selector_8b.asm.qmsg | 6 +- .../db/double_selector_8b.asm_labs.ddb | Bin .../db/double_selector_8b.cbx.xml | 2 +- .../db/double_selector_8b.cmp.bpm | Bin 0 -> 637 bytes .../db/double_selector_8b.cmp.cdb | Bin 0 -> 3926 bytes .../db/double_selector_8b.cmp.ecobp | Bin .../db/double_selector_8b.cmp.hdb | Bin 0 -> 7124 bytes .../db/double_selector_8b.cmp.kpt | 2 +- .../db/double_selector_8b.cmp.logdb | 0 .../db/double_selector_8b.cmp.rdb | Bin 0 -> 15581 bytes .../db/double_selector_8b.cmp.tdb | Bin 0 -> 3195 bytes .../db/double_selector_8b.cmp0.ddb | Bin 0 -> 54628 bytes .../db/double_selector_8b.cmp2.ddb | Bin .../db/double_selector_8b.cmp_merge.kpt | 2 +- .../db/double_selector_8b.db_info | 2 +- .../db/double_selector_8b.eco.cdb | Bin .../db/double_selector_8b.fit.qmsg | 39 + .../db/double_selector_8b.hier_info | 2 +- .../db/double_selector_8b.hif | 10 +- .../db/double_selector_8b.lpc.html | 0 .../db/double_selector_8b.lpc.rdb | Bin .../db/double_selector_8b.lpc.txt | 0 .../db/double_selector_8b.map.bpm | Bin 0 -> 623 bytes .../db/double_selector_8b.map.cdb | Bin 1386 -> 1386 bytes .../db/double_selector_8b.map.ecobp | Bin .../db/double_selector_8b.map.hdb | Bin 0 -> 6803 bytes .../db/double_selector_8b.map.kpt | 2 +- .../db/double_selector_8b.map.logdb | 0 .../db/double_selector_8b.map.qmsg | 10 +- .../db/double_selector_8b.map_bb.cdb | Bin 0 -> 725 bytes .../db/double_selector_8b.map_bb.hdb | Bin 0 -> 6227 bytes .../db/double_selector_8b.map_bb.logdb | 0 .../db/double_selector_8b.pre_map.cdb | Bin 0 -> 1430 bytes .../db/double_selector_8b.pre_map.hdb | Bin 0 -> 7155 bytes .../db/double_selector_8b.rtlv.hdb | Bin 0 -> 7154 bytes .../db/double_selector_8b.rtlv_sg.cdb | Bin .../db/double_selector_8b.rtlv_sg_swap.cdb | Bin .../db/double_selector_8b.sgdiff.cdb | Bin 0 -> 1225 bytes .../db/double_selector_8b.sgdiff.hdb | Bin 0 -> 7176 bytes .../double_selector_8b.sld_design_entry.sci | Bin ...ouble_selector_8b.sld_design_entry_dsc.sci | Bin .../db/double_selector_8b.syn_hier_info | 0 .../db/double_selector_8b.tan.qmsg | 6 + .../db/double_selector_8b.tis_db_list.ddb | Bin .../double_selector_8b.asm.rpt | 76 +- .../double_selector_8b.bdf | 700 +++++++++--------- .../double_selector_8b.bsf | 2 +- double_selector_8b/double_selector_8b.done | 1 + .../double_selector_8b.fit.rpt | 252 +++---- .../double_selector_8b.fit.smsg | 0 .../double_selector_8b.fit.summary | 6 +- .../double_selector_8b.flow.rpt | 66 +- .../double_selector_8b.map.rpt | 46 +- .../double_selector_8b.map.summary | 6 +- .../double_selector_8b.pin | 212 +++--- .../double_selector_8b.pof | Bin 524488 -> 524488 bytes .../double_selector_8b.qpf | 6 +- .../double_selector_8b.qsf | 16 +- .../double_selector_8b.sof | Bin 240789 -> 240789 bytes .../double_selector_8b.tan.rpt | 18 +- .../double_selector_8b.tan.summary | 0 .../incremental_db/README | 0 .../double_selector_8b.root_partition.cmp.atm | Bin 0 -> 6866 bytes .../double_selector_8b.root_partition.cmp.dfp | Bin ...double_selector_8b.root_partition.cmp.hdbx | Bin 0 -> 1882 bytes .../double_selector_8b.root_partition.cmp.kpt | 0 ...ouble_selector_8b.root_partition.cmp.logdb | 0 .../double_selector_8b.root_partition.cmp.rcf | Bin 0 -> 1023 bytes .../double_selector_8b.root_partition.map.atm | Bin 0 -> 4229 bytes .../double_selector_8b.root_partition.map.dpi | Bin 0 -> 752 bytes ...double_selector_8b.root_partition.map.hdbx | Bin 0 -> 1791 bytes .../double_selector_8b.root_partition.map.kpt | 2 +- 110 files changed, 762 insertions(+), 991 deletions(-) delete mode 100644 data_selector/data_selector.done delete mode 100644 data_selector/data_selector.dpf delete mode 100644 data_selector/data_selector.eda.rpt delete mode 100644 data_selector/data_selector.qws delete mode 100644 data_selector/db/data_selector.(0).cnf.hdb delete mode 100644 data_selector/db/data_selector.asm.qmsg delete mode 100644 data_selector/db/data_selector.cmp.bpm delete mode 100644 data_selector/db/data_selector.cmp.cdb delete mode 100644 data_selector/db/data_selector.cmp.hdb delete mode 100644 data_selector/db/data_selector.cmp.rdb delete mode 100644 data_selector/db/data_selector.cmp.tdb delete mode 100644 data_selector/db/data_selector.cmp0.ddb delete mode 100644 data_selector/db/data_selector.eda.qmsg delete mode 100644 data_selector/db/data_selector.fit.qmsg delete mode 100644 data_selector/db/data_selector.map.bpm delete mode 100644 data_selector/db/data_selector.map.hdb delete mode 100644 data_selector/db/data_selector.map.qmsg delete mode 100644 data_selector/db/data_selector.map_bb.cdb delete mode 100644 data_selector/db/data_selector.map_bb.hdb delete mode 100644 data_selector/db/data_selector.pre_map.cdb delete mode 100644 data_selector/db/data_selector.pre_map.hdb delete mode 100644 data_selector/db/data_selector.rtlv.hdb delete mode 100644 data_selector/db/data_selector.sgdiff.cdb delete mode 100644 data_selector/db/data_selector.sgdiff.hdb delete mode 100644 data_selector/db/data_selector.tan.qmsg delete mode 100644 data_selector/db/data_selector.tmw_info delete mode 100644 data_selector/db/prev_cmp_data_selector.fit.qmsg delete mode 100644 data_selector/db/prev_cmp_data_selector.qmsg delete mode 100644 data_selector/db/prev_cmp_data_selector.tan.qmsg delete mode 100644 data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.atm delete mode 100644 data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.hdbx delete mode 100644 data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.rcf delete mode 100644 data_selector/incremental_db/compiled_partitions/data_selector.root_partition.map.atm delete mode 100644 data_selector/incremental_db/compiled_partitions/data_selector.root_partition.map.dpi delete mode 100644 data_selector/incremental_db/compiled_partitions/data_selector.root_partition.map.hdbx rename data_selector/db/data_selector.(0).cnf.cdb => double_selector_8b/db/double_selector_8b.(0).cnf.cdb (100%) create mode 100644 double_selector_8b/db/double_selector_8b.(0).cnf.hdb rename data_selector/db/prev_cmp_data_selector.asm.qmsg => double_selector_8b/db/double_selector_8b.asm.qmsg (71%) rename data_selector/db/data_selector.asm_labs.ddb => double_selector_8b/db/double_selector_8b.asm_labs.ddb (100%) rename data_selector/db/data_selector.cbx.xml => double_selector_8b/db/double_selector_8b.cbx.xml (61%) create mode 100644 double_selector_8b/db/double_selector_8b.cmp.bpm create mode 100644 double_selector_8b/db/double_selector_8b.cmp.cdb rename data_selector/db/data_selector.cmp.ecobp => double_selector_8b/db/double_selector_8b.cmp.ecobp (100%) create mode 100644 double_selector_8b/db/double_selector_8b.cmp.hdb rename data_selector/db/data_selector.cmp.kpt => double_selector_8b/db/double_selector_8b.cmp.kpt (83%) rename data_selector/db/data_selector.cmp.logdb => double_selector_8b/db/double_selector_8b.cmp.logdb (100%) create mode 100644 double_selector_8b/db/double_selector_8b.cmp.rdb create mode 100644 double_selector_8b/db/double_selector_8b.cmp.tdb create mode 100644 double_selector_8b/db/double_selector_8b.cmp0.ddb rename data_selector/db/data_selector.cmp2.ddb => double_selector_8b/db/double_selector_8b.cmp2.ddb (100%) rename data_selector/db/data_selector.cmp_merge.kpt => double_selector_8b/db/double_selector_8b.cmp_merge.kpt (81%) rename data_selector/db/data_selector.db_info => double_selector_8b/db/double_selector_8b.db_info (72%) rename data_selector/db/data_selector.eco.cdb => double_selector_8b/db/double_selector_8b.eco.cdb (100%) create mode 100644 double_selector_8b/db/double_selector_8b.fit.qmsg rename data_selector/db/data_selector.hier_info => double_selector_8b/db/double_selector_8b.hier_info (97%) rename data_selector/db/data_selector.hif => double_selector_8b/db/double_selector_8b.hif (68%) rename data_selector/db/data_selector.lpc.html => double_selector_8b/db/double_selector_8b.lpc.html (100%) rename data_selector/db/data_selector.lpc.rdb => double_selector_8b/db/double_selector_8b.lpc.rdb (100%) rename data_selector/db/data_selector.lpc.txt => double_selector_8b/db/double_selector_8b.lpc.txt (100%) create mode 100644 double_selector_8b/db/double_selector_8b.map.bpm rename data_selector/db/data_selector.map.cdb => double_selector_8b/db/double_selector_8b.map.cdb (91%) rename data_selector/db/data_selector.map.ecobp => double_selector_8b/db/double_selector_8b.map.ecobp (100%) create mode 100644 double_selector_8b/db/double_selector_8b.map.hdb rename data_selector/db/data_selector.map.kpt => double_selector_8b/db/double_selector_8b.map.kpt (83%) rename data_selector/db/data_selector.map.logdb => double_selector_8b/db/double_selector_8b.map.logdb (100%) rename data_selector/db/prev_cmp_data_selector.map.qmsg => double_selector_8b/db/double_selector_8b.map.qmsg (52%) create mode 100644 double_selector_8b/db/double_selector_8b.map_bb.cdb create mode 100644 double_selector_8b/db/double_selector_8b.map_bb.hdb rename data_selector/db/data_selector.map_bb.logdb => double_selector_8b/db/double_selector_8b.map_bb.logdb (100%) create mode 100644 double_selector_8b/db/double_selector_8b.pre_map.cdb create mode 100644 double_selector_8b/db/double_selector_8b.pre_map.hdb create mode 100644 double_selector_8b/db/double_selector_8b.rtlv.hdb rename data_selector/db/data_selector.rtlv_sg.cdb => double_selector_8b/db/double_selector_8b.rtlv_sg.cdb (100%) rename data_selector/db/data_selector.rtlv_sg_swap.cdb => double_selector_8b/db/double_selector_8b.rtlv_sg_swap.cdb (100%) create mode 100644 double_selector_8b/db/double_selector_8b.sgdiff.cdb create mode 100644 double_selector_8b/db/double_selector_8b.sgdiff.hdb rename data_selector/db/data_selector.sld_design_entry.sci => double_selector_8b/db/double_selector_8b.sld_design_entry.sci (100%) rename data_selector/db/data_selector.sld_design_entry_dsc.sci => double_selector_8b/db/double_selector_8b.sld_design_entry_dsc.sci (100%) rename data_selector/db/data_selector.syn_hier_info => double_selector_8b/db/double_selector_8b.syn_hier_info (100%) create mode 100644 double_selector_8b/db/double_selector_8b.tan.qmsg rename data_selector/db/data_selector.tis_db_list.ddb => double_selector_8b/db/double_selector_8b.tis_db_list.ddb (100%) rename data_selector/data_selector.asm.rpt => double_selector_8b/double_selector_8b.asm.rpt (75%) rename data_selector/data_selector.bdf => double_selector_8b/double_selector_8b.bdf (87%) rename data_selector/data_selector.bsf => double_selector_8b/double_selector_8b.bsf (98%) create mode 100644 double_selector_8b/double_selector_8b.done rename data_selector/data_selector.fit.rpt => double_selector_8b/double_selector_8b.fit.rpt (91%) rename data_selector/data_selector.fit.smsg => double_selector_8b/double_selector_8b.fit.smsg (100%) rename data_selector/data_selector.fit.summary => double_selector_8b/double_selector_8b.fit.summary (78%) rename data_selector/data_selector.flow.rpt => double_selector_8b/double_selector_8b.flow.rpt (69%) rename data_selector/data_selector.map.rpt => double_selector_8b/double_selector_8b.map.rpt (92%) rename data_selector/data_selector.map.summary => double_selector_8b/double_selector_8b.map.summary (69%) rename data_selector/data_selector.pin => double_selector_8b/double_selector_8b.pin (75%) rename data_selector/data_selector.pof => double_selector_8b/double_selector_8b.pof (91%) rename data_selector/data_selector.qpf => double_selector_8b/double_selector_8b.qpf (90%) rename data_selector/data_selector.qsf => double_selector_8b/double_selector_8b.qsf (79%) rename data_selector/data_selector.sof => double_selector_8b/double_selector_8b.sof (98%) rename data_selector/data_selector.tan.rpt => double_selector_8b/double_selector_8b.tan.rpt (95%) rename data_selector/data_selector.tan.summary => double_selector_8b/double_selector_8b.tan.summary (100%) rename {data_selector => double_selector_8b}/incremental_db/README (100%) create mode 100644 double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.cmp.atm rename data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.dfp => double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.cmp.dfp (100%) create mode 100644 double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.cmp.hdbx rename data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.kpt => double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.cmp.kpt (100%) rename data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.logdb => double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.cmp.logdb (100%) create mode 100644 double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.cmp.rcf create mode 100644 double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.map.atm create mode 100644 double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.map.dpi create mode 100644 double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.map.hdbx rename data_selector/incremental_db/compiled_partitions/data_selector.root_partition.map.kpt => double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.map.kpt (82%) diff --git a/README.md b/README.md index 57d4cc8..7214644 100644 --- a/README.md +++ b/README.md @@ -6,7 +6,7 @@ 8位加法计算器。 -### data_selector +### double_selector_8b 8位数据选择器(二选一)。 diff --git a/data_selector/data_selector.done b/data_selector/data_selector.done deleted file mode 100644 index a8b8ebc..0000000 --- a/data_selector/data_selector.done +++ /dev/null @@ -1 +0,0 @@ -Sun Mar 06 21:30:44 2022 diff --git a/data_selector/data_selector.dpf b/data_selector/data_selector.dpf deleted file mode 100644 index abe19d9..0000000 --- a/data_selector/data_selector.dpf +++ /dev/null @@ -1,12 +0,0 @@ - - - - - - - - - - - - diff --git a/data_selector/data_selector.eda.rpt b/data_selector/data_selector.eda.rpt deleted file mode 100644 index e9f55eb..0000000 --- a/data_selector/data_selector.eda.rpt +++ /dev/null @@ -1,59 +0,0 @@ -EDA Netlist Writer report for data_selector -Sun Mar 06 21:30:44 2022 -Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. EDA Netlist Writer Summary - 3. EDA Netlist Writer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2009 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+----------------------------------------------------------------------------------+ -; EDA Netlist Writer Summary ; -+---------------------------+------------------------------------------------------+ -; EDA Netlist Writer Status ; No Output Files Generated - Sun Mar 06 21:30:44 2022 ; -; Revision Name ; data_selector ; -; Top-level Entity Name ; data_selector ; -; Family ; Cyclone II ; -+---------------------------+------------------------------------------------------+ - - -+-----------------------------+ -; EDA Netlist Writer Messages ; -+-----------------------------+ -Info: ******************************************************************* -Info: Running Quartus II EDA Netlist Writer - Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Sun Mar 06 21:30:43 2022 -Info: Command: quartus_eda --read_settings_files=on --write_settings_files=off data_selector -c data_selector -Warning: Can't generate output files. Specify command-line options to generate output files, or update EDA tool settings using GUI or Tcl script. -Info: Quartus II EDA Netlist Writer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 167 megabytes - Info: Processing ended: Sun Mar 06 21:30:44 2022 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:00 - - diff --git a/data_selector/data_selector.qws b/data_selector/data_selector.qws deleted file mode 100644 index 42962c6..0000000 --- a/data_selector/data_selector.qws +++ /dev/null @@ -1,14 +0,0 @@ -[ProjectWorkspace] -ptn_Child1=Frames -[ProjectWorkspace.Frames] -ptn_Child1=ChildFrames -[ProjectWorkspace.Frames.ChildFrames] -ptn_Child1=Document-0 -[ProjectWorkspace.Frames.ChildFrames.Document-0] -ptn_Child1=ViewFrame-0 -[ProjectWorkspace.Frames.ChildFrames.Document-0.ViewFrame-0] -DocPathName=data_selector.bdf -DocumentCLSID={7b19e8f2-2bbe-11d1-a082-0020affa5bde} -IsChildFrameDetached=False -IsActiveChildFrame=True -ptn_Child1=StateMap diff --git a/data_selector/db/data_selector.(0).cnf.hdb b/data_selector/db/data_selector.(0).cnf.hdb deleted file mode 100644 index 0bbc5cb429ac553bcf7d40319fe556e984e2b9f4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1172 zcmV;F1Z(>b000233jqLb0B!(300011WpZ@6CZjTHA000000J#GI0000007?M> z000000F(p(000000C)l1mOD=zK@fz`Z$ji>KuBcm<6dM35h0-`GP4E?OO}lc0pW#& z4hb)G=$~*QA)!M;LWh=L=jvjsZ(F+i1B|qLy|Xpd{q^iFEEbDJ52M2I5Aj1djK_vC z{RPuQIR3QzWL!^hq~bZw??0ZMmoM6&8rY4du=8H>*}h$8&h92IEw!|A(U41*Ry7xu zlvk41E+0|8TwYPmbE+lpyL?Rfayfl7bELlH1DEem-hb9|(VG-$An{P*nqZADE}1K{ z6=^8>$mLAk`0|puGV#+$^0CW_)cEp>*)s7{@f&-hC8b?hrHPRYs)6Pk%1yDCY|a&Z zDY7eesgQLQ)S+=ljg1~i*FD--WUVkDE=8`%W&(re6|myLHIF>)I(y$SZE*O)mk8@l^qZ(kEk zE3Ykc{udsFM|dbM@H@k%9X##zE)hSrN2$Qc#>5mqFnfaICqB`exK?XQQ(#cEkKhW& z23Bn2mo`Chfjbje+~BKtg;>>B1ITUA#}eE?HAX^9Y(7mWXUl48hsCDYOYHWIBZVYfS%u*A%f@V}Af_u$(z=eg~2r z7JE6vd(pDXD(6Pvp@G|2FRXpU?o)StPH|yb3Y2w#*osb}9#d?hSZj^NrpO`F6#0cU zLoBS2XyuLv#o8SoA)^hp$6y8iP2izmgBAEm7d)uuFqF>>F|mTeP=qz_V1>tGC00lk()@2tf?8OX*@|hwgR`4+tVcmAH!h5w6D=5}t?I5cSVi}6c zITcoDkD&#zZ$RPS!LX-Rl6(-}7fqj+ zxH`(``OVq!hvT>BpFY28j^6zT00960cmWb%V*mmWAq2$1?tbw;{?3jep8i0FXK={r z-d#r+K`Iy=z$60$6OfhxVvy1R*PvjaB3HkV_~4KrPe1o~ATua3Inua^8LXTIs>vEi z!_4<}4Gwm6cZDc*jbF1IWFi9t2bg4F04bFMVkgI7*NAuzPuC#FAZL%r_yGT)ka$l& zH-B#KbqWx31)y3$28aN$Z?HSU^})^_uD*^REbH~z!OD=$=Z7lxbOE~8(+z0H-xf^` ma7Zw~oD4D)@6CZUhMc000000Q~^~000000HXi^ z000000Cxfa000000C)lHRJ%&VP!NqzR1iV1u@ddgYVNKNun@Mf(!x>*ag!pDP1bDI zzZ6S5zd~dYHO>h$<0V2C1i{yZ$=sZrd7XPnS(fFN<2bM6zl%*#KQGkJCix>WtXq1A zpTUFHXFYOb{Zzk7y)Tiq_x!Wt?#Zd&T4Tht1$iK?D$c$j%feCQQ8*ppEHJ0DBgh;h zZACO{S2)nIs^xt^OdT;=Ys}TnfpBo1Ri2h0lG$jUC+S(ERyfM28alhGp>t_w%q6JN zNj5l_r`d4_(UZ~nr-h^So|)t6Yy?zMo@y;oMmtbMRkQE&c{aG9Lfu0*jIQ0QQIHp- zp&JH8;18oD>J?e;#%V8)`cYa0i636Ny{tcolPL5DM2?F%OKSn!d6pILU(qC*kOi^D z1cP5E0~h;QGH`0khM=nb&Qu$k{}q&b)&v9I@!r;x2Nx%&+dvVG8YsAr$k;$x1R)v~@}YrQJ_&(c%@Yh(Y(NUS#i00960cmevz z$^Zn+K&%YJP6590PX3{OE{;Kw@d5rpA@RPBeva<0LGdn*A&yRt!LFY3GgKHsS{M?* zBm)Bzkmdtoklp~tpb*axPk%p`c%v+kp$rTyU=pHC637NA^YCEpNJ3Xo9@ R3_4(vfk6#Q0{{R3|No5z6EOe) diff --git a/data_selector/db/data_selector.cmp.cdb b/data_selector/db/data_selector.cmp.cdb deleted file mode 100644 index e4cd8a4b85eb9b67263359f412e2c8fd90d73446..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3926 zcmV-c52^4E000233jqLb0B!(300011WpZ@6CZroh}0000005c5$000000Mr8j z0000009FqG000000C)lHTziaNMHxSLr3-B-7O_CB=u)u}BSM9?*cv+2%DS{j`$v_( zYJHRh5KT}cDVSA*&zR7NfT@~Xj3N;Y_y83!sehoY8VE75YAIQQ1lWSqwp7_Zj=$f` zH|NZqJ#**Wdwb8edv~&PX6Bo3zWHABI%n>tX`0rOBsn7KVWtEeLMG`$#)fIhn66`G ztu}z?$%qUy5eJx&Ksd2%n1zg6K1Ir}5d^k(>)UDnAF?Zetg;DKh)m6e&Y#C51%-D*(DneEUSG3X#a(h4g@0W zit0mf(&1(8E+eOxb%__TG65uSo4{(#8mJC5tqcSYt zNnnyF{lw!lX*$gEnzNHH5cVxZG$l+2&{D{#Uk;fv`7f$p_(4<8XHorC!p}i)RaAe< zD%1XLUVF+0klOw!Q@3-5yNG(lemj zyAT-$b?cn3&x&sM{OE|TxdS*wvOoP@-8>2D=EciReMmRweA?7^Q#Tj4shdXwy0LyY z{qE+vS@S(38=pnGyFjW#y4w-a-90xL0^QWzm2K+o!HDi=MszpSq3)iE=x$2|x?9zz z?tT){-Tug!ysAUpt&PlQ%PY{`N88lhy%F8@Mf`5Cr0zmvdUIq zX;ZiLfNt$taVX-K|A?#={Sm*LQNdcVs7>8$4d}+M6}5=pJtw*e`PFaCULv^8UKg3~ zo~=N47l`hh^+-lTJmEga-Pf>%UnXoi9|#AqD{JNINz|C_%hrqGR(QoAdCj= zu;-gb2Zsao_dQZ-U*GaOiCHc{yA8<87t*>go#YI79TzcXh5EzAQ5S z?iz!z*OSrmICzKg#cukVJsbNxenohED8S?UM33txkjJkIk3S9Y_+D%43FPr>!s7!0 z9tVZTp$d59OBM!y?)MFle2HPE-ycSOd=BX-&qu#@$* z%PNrJTp9_%mtl*@aAsuQ+#8uUpA76dmx`TcS1@Pb2vz8dn9uaQIag#1`QqyLoA%Tb zNU@C$cF#E?^a(7g$2m&(@6VgoS65eH=ck1S-_Cc54n`xs`clAG7gso^*fqY2J)`YI zzBd?yN1n0o3by%1WadEc%Z=^?JQH7keh=uf1}OKij{-UbD9_XLbuFPQ0p)HQ)YO~| zx&lztDj*=9gfAJ1a(yyMc&ZQ#4XJ~G1nTBN(2{e4K-t5?fL;XLt22B;uLcxnd-aJ1X#3nWYJuEP&y-bILBf82 z0qA)GH4+fY9WmaNDq1wR->rb6RsjLAj~`7->IM@yTS*`{4}jL11}OWzAJ7GWUXQpN z`P@=x1AO_V^%&3%AkF4Xtp=WLpzZ_rvF&~owkqhPx`qh_c7~~%?eV!-e5vs4OIB$T~pT8 zkT~J3EjO})7<*(l!!kpf@=g|8@Lt0{5PlgLpTkH&g0Zc`05~2=YI*_0bv9i^w;>9| z8y5&;9ixl4b=078TdA;)GZ50R88CHN%r*0-6n_?N9eg1T6-*xFD&^&bI`aQU9<3F! zjLATfjEpR2u1TP1c;gFY7-HHIP4I11k(z9sO~=xMS{*F6V#6fCs*p}cE8d^5HUwu1 zAjV`QP2Y^%2(qqi&`R5y!=4SA`gj{GFDAy-Y>koR6%u;KAH4!MlchP>P| z$!y4P^|T@H-%M&7$_KiXmuRdFA-ICj5IOJuYI`WffPs3~H z9EZG*RKkW_-?-MH)iCp+^^p4+A8%sU!x>^jUOKWiJf`+E;4ce2VwDYcHS~{Ee%a9D zE^G&^$FoQsk*-W*AyudqNbwq!e1E^QjfVEBk7^!77 zjEzxClVyseCvbkKBhni%Wh!JDb?A{nL2sB+gPs?r)S!PZ&d>FA#FhrdEW|oukMA4saXlLpJ$j~~H}Jqc+Gxr-fH@MrUW`lye%`EU})rV5MuU#65WmLnN zR^C35N_jPq6C3NubHPMeA8vIb~4ORskfnG=S)xghR?sboG zELb(z>mI-_{Z5iz6bnz{4fmfAfv1Q|*Odfwm>a};8OZz?MIRNQy7V1c1^H9%1V|YW znDE`pu3Xa*n&+^Y2~B9y8k}?-Ov&oR<8vUdi_mMrMO#xy<#75%H z3h2tA*%6=4T<6jxpKa?CdMmOK`DC!tLW(>a(`PsM?1nyJTuP;*W20lv?#BzC&My3@ z`6nFlsQahm$%$uo&h>dUJtGU=JfFe!K1n%6mnP@r#-Q9{d74fu(p;36IdjX(l>xEe zu9-b|P4D7v;8jw1x@a@QBdLr<2MsSq6d`2CM;%ro!T zlvkQW{SW;fER+L!L8IsK%Ps_M56X*;jUu3d9(ugpz!VMqT#x5wQu80FwkUn~w& z-yWyocP9v$ALtSudB4WK+p!-d-U0MG)ZYL7=mj)90her|TjDkjGc8VIyFE}O~m zR*h!t+e{AYSG2EbVxGQV{Y=LB{D>TXf30pGmE$RqgX5BNU~8$^GWS1C$NuXgZ4Zv6 z!g&LJ^=;Euk2llOJbgLV<2MNCLoJBNF^5-&fx~%}{26K206grV}^jH z$NmfH0r)ull=W_(#P#KvC-$&(YzjQy;mN`R5OVv=Dhe`Fcm+xUXi6{D=tWM54V@jr zKO@JU0V606d`ZFik9Ij)kw@F7K$G)tC}N3IoL9MT3*ivXpPM$TKUzGybaL$g3VmsX z{T~1T|NnRaWRuTpQ$Y~NN7L5+NSiiVf1oXa+N!jN3JR8@@UmHxE${7ymo4}zxF9Vk zXpvx8{o8hH z=LpA)W@5aeSUOW)lERXrV+?pjSFlCHX=*L4)21SCeg85z&XgBGx_vUDjFoZp_Q~%D z#~C}xC%|GCrU>gR-d&}x1DxR#Uv2RGd#c!Dt~PLd_2UJcM$hG%{aIG!`_|3C@i3F zuG`POULQDT3Ot7M=%-a6RXBcH7)tXl_j-B&rqNMC^CL|GCB{GBpB#iWbe%=>yHD#w kljOhO)7kCZFiW6C${;L&k^SA)sXSajH%Ka-PZ@|-y*XDnm5uU@?>$3%K%|2K>OEo+0EoUI(}X@uT!(@42m*;>-@zUQam z7U1F$=WGt;*{+i8R|8?;0R6+H#`7 z?;h_duJP1*)x7Gtvg5OIQ@&AdQSY$Y>O-FFgBQ^qx&E!n!SbKsKkd6?_dc``YElcm zpH}PtaA@hu{%i(_hEF(BJkTBk-h(NIq%4}Fs`}AB+caw*Vys9q0i0yeE%!w6obje| zI~V;McTNu#Qv0z4!>6N=B?*$Y(NWzjI&rK9iv0)A7er4NCmp}a?aWgStwxDQlr&^W zAa~iqEGA_>Kc1Df;bbd!SDUO;)v|G#g((cF zFw{$2l&VB=4~OVUTD1)gH#Vf(3k~W>&X*l(oS*gDw`_clorOXk=1{5t=D6Kd;i5n< znrn%}fd7Z+McH8{GNbaJ6lA|AE~6sV<^NT$tM#1{(vyar`kKcsJY^2xNFDwlu>@Eb z`&n}tz%93z8u5P}DJ21nOPku*c+y8bP2GNcaOw~)LrWe8h>;lg$_P*Hp9Q95yhiPX z*NSI1B@X?!RboM_0tRF+z5m~s{teP-5+w?s`Og_L7}?Co@#x2stz=IrM~2qz(AYiZ z+cTMj0e7P%|L?Ytw+U~874dQ8-+!qmJrUwYH*YzSXk_M2h`PD}n0kppwG`LakhRNL z<4U?QRn8dL*rd~^(!G2M2`iUB6u{Gv@Dt5jiR52zjLwdfi9RG2gBRK=I-_G^`GvS~a0AKDZAd28|Pf!X!}MD-l>P`}8@RO`8n_*PD=UXUcXcT=p^lNiC+5)aKSqNm^A z<<~DM(O^!SIMLA1%gmL(BvVv_Pes9Rr%+Sr@LGD+6Iofu39`Sx(vZ%b)s5sWkmTzr zWNIgX?eZt*Dp?UIpB2C6mSOOWDPYm~F0J8hjjZ%G!q|d!ATcDDRg51APVzd7+-|_7 z!NtDXopyg#xc>|L>nQ!%rK7@Tk)o%fQacYt_fOW4j;8Ihv}c-K^I>>EPTkWda#=+g zy@}(*B3=}D+{DYM$5UseivlbLt zNRY`I^Is#7-~XyM6w>}zrTnidDi6Mz$23NO+ksT5WVXQDqY*hmP`6qYMk=5M|5)*F z9CSFSCwCm5U0))ThSshi?8z?@HlVkw5g&p`R!io5h1Vb6Zg3`b(}(Y^X47w{J`%25 zcQ?>Hv#e9o+JAb~=$^WH-_03*BIS*~{s7F5yp`-Oo6ZZLcl8Z`Ag!kZogWt8UVKm9 z@s}Lr3h_Q}*fTLL$b79`{&Z(aX`;JLebo3)K$EN#pXgJHE94LAa*v>cINp8vX>+Ns z*EG|16IZdGpE1NCBjK0sSu*0jSivyxWPDCZ&)TcpJH}+BieGxoaS4;do#PrciTnV3 zFqakaWN|zy`8+?BNV479Lq1jt4D7{p4%|#sYa6goa&tNQXF!yS*8JDpeVw1NoHXBL z&PW}{T~CGDlPtHn()r`9hhDecnHu;t|O zmS*Zigx9Gh+M5{T8Ecrwe~CuJu*)I@ot0mQj)#SwcmP30^VKE{#F&>GUkG9}kY=px zSJ*ba{aMI$WVP{H$#hPYDg-cyV7AOxl^p??yS)%r$CHCLz08Fg0!;y$#M*=v4`Tj7 zG)KEb4d8|N;mjkW#(E{%!quaXG>cbp(I2sbYkb`r3BL*j%2CMFO@A!L#flw3SV-)m zmP1WG2xEDjt_~vJOAM7ib6^-VUAll1J`ily40re(=R1r_f*d!M@tak!|HL z>dK+$wp9xV-Km<+ntKCCg(fStqV>0*O35GN{`qjTkhFI^!a2(HyXMYNRs?8*+QPM~ zHxMYNH^{vo4blk=_28LRUkfqSmZs2MmMw5 zp9~@dNY^gS^Jxb}74xx6a_zY>$)!I!FK}9u*5svBc}?+(0b8O)bCY=hy{gBi%@vJ4bt#B#7}`>>5dQ-NUW{A3!`SnF zF%7dC*{t!kn7XGm{UBaj`+hZg`i3N9udOpS9uV9lDZd~idPyPerTcm0CO}3lrEF7O@WZj z+fkg;ly4I%>LwKGlRNFNEAGij@0z2%k-}X%A9{d81+=MLA*QVqfsGuf&K?-sk-#CH z_TW7QozP8zu+54${YKa-yDYP>Iu5i|Q;a;r^z7tBN0aIh*9Qph*%fevto6L4>#-Z#m)98P8q4bVCvA; zPjwuKr&c)yYJ81M7GPWxPIz&<73};r{r6sO?V3ES{tq`Ow<>N zeW48YMriQ9uyA*VrvVt|7EAu*$*hw!rZNEa7r*441XDXrP3?`|#uC~Isnl;l`iL~T zvT2B1a^<~s1-3?I7^z`N84UEyg9vZZ;$#?_M80u9;AYsULi=5jv8=ke5H{U73!ZW{ zEhc4txtv$53ehrPHAk?Knfs55{JsC-mS?YzA*e$T)u8Y&clfwQ`_Nk_8IkM|+IbMM;N! z%AX=<9zdus?E$Y`8DU)GjCkOPf=$ZY&t77aoOo!!=D0Dkg^i*jEr-2Zw!n>=JUT7d z2F8e+=5Aq!7!zI#og-8}gB`C_W<7zq)XUtwktLEPi? zE-A^7i9Tfz@s+euLAD|pWO*pC?Ib&869%!__}g)xd)tRAef zTM+F%`k{NYkJd!l8M~G1i!4n>|FU1i!`IQ)kD#pPd3oKv6z4vmaEwehy=$yD>_a=X zgxhO-5=1i>e>9(L7<-LnQ))YsZJAHrM{Fji6$gt&;+ZYh(RPAHXRy=nAi?y{@o7_A zfkSuILOnq1`;OEWK^i=EX>(xk*aSOh6PZ+xk-ijUi4CmLm>E!*iBNbpV(?|da}V$K zqCs7CNPuyFQTRMwV9~fEkT4p*lcV9~FDu<+jxV!Ya5o``y`&2aHnqtLija}X+lky&Hbc3#(et84Kam08c?9QQctp2oH z7`G!5{4D1A1{EFlY;A@4Y6Qi_@=V}Mecs=Fl^f89>-_$Z70)Z88z9+EGpU^20#b;3MRa;AfWyYhFU+2&M{GbC;?kYUo)N{ z0ym<&iq4S&qXP^!Z$G`h&D|$!W?E|*a9qyb`h2mbYfa3l!f9yO#+_z6x|wc}z>m$$ zd|+n)YXAy4uki>3fag#pAh#sIpeKn zskNRiS7X8@0bsVyN$AM&2vzf&F5Bixji!`})760WmB#w2VjitYQ=dbbt;P*-yVgvH zQ+<#qrun;xJ6jzCxKI=TTR6FX>om)T?Tq6cs`RXd>R*~P+b6KN872H^csdR3E zu-8GCf{vLh`?EW6l-;OBpW4XjWyVpSz1gW5R&wUnc9g&Cf&p!1>h4qvZ*Nii#9!E1 z1kJx6b$LJ6Fp-@RnX_puR#|&lJSle{rYMY5N}dE=2?F!d6rFri(ng`pP!f^m_>9$# zwNy`R9`H#Lm9MaqU)jZ=0!5m_NNg>o|CP?$NtK0g1U|Hj%uZ(Jni=_A^q*kDuWI)k#zBp*uW5^@6ysY*z^z52TZ!YHUOer}x> zng1z!t9|2^_9$wK2_&Quaj6t1Za07TiHyKh)z{$X$L1$hy?b_#-%G#u0{xv-!8@0T zywjSWJWmb{0S$*$+iHq&Vwr|)JD=zJdW0~N_Xm!4YiQa&3%k0)V4vG% z6Fwroo(r$eZBeP#Sv_;_LW+Hv+IG)%wWpc@XSH@5Ak;nH`TPqDO^+$3y!z4+DkHy% zv-ips7mE%ky(JZt%04}bh2+Heth3V&@VYLjX{?nWf3iT$VyHg;Uw^5Wy9*OkgUrqs ze10TFa)Q0C=T&t`su#^$->**jK2TIHHtcRTIl1~yo=KkTaeqHA@~{mn+B#T}W5%uS zpL$k18b1?mTowb^t^TnA8>pyyE}Aem9BB0~ZfOs=dTTxji>hr2i{uUDB_C`|Ub=g< zIoV7*jKyXO{M>AH<2bvPG1H>sI625>6s;GnZMVLOH`J+6shG@5c(~N9blBu!O&Y>A z{xc+R6gpTJJI0Ob#`1Dw$GU@4%FQ>#Aa&0mQV-AjQpg9|i1C>Iwc@%}M4&Hogvwqf zw@`wG4{ez8+@y#_9(|()Pg=3+KO+#XV#haVjH}w?Vy^xAv$hE^+hUz}E$zE~Nj7xOuMD&lkC z`77jqh4HW074a1=Xnmi2U>i;iXb?;7wZlAciL~OFX~Y|`gVbAvaM5PFntmW2w0tTJ z)UEUhrG7B;eEf5KvAb;r)e6swgMlhg!6q==jJJ*ItY7OpZR-WX9XQZ>a84A#7JnQzNWB%jVs7(RG} zlXKzQ#JXZ3QKlMDH-T&os5xrykj5*KpPQ$E-|Ye!Bzn*EtcT=W26L~QbHVGZR~ z{P2Cdv-i~b{Pm{MYq$6BRNt*}%4&E@yJ4u`h?mb;-?LcxIO&$;A@b=V>0w9k-zq6i zD+7_O1|u7j&nrjp1+K07a$9`o;Mt^AeMcJ|CMc$eh|E@5~u^ zq3!U{!{TZlTAf*Za?Ik20cMW9PVmDLj0sD#1kV$l)Eurj=MMN}7W=}Rojk@D%*vje zOB&c`D<{Ar3R(SNjm(C;TicQ&zPc{WMRT@M%R<)C{F)4K%T&fTPG$f-)Z^ALXon2y zlngT2OS*XiHM*B2U)8GHeHX_9HM)+zw|h<5Sib7zBfrw>JG(b~Ca5{*aL^bm1HbDs zov37YhxZ>{fA49^FINz{s@@WA(;Dy?dTqU0wKu+p%avW^DN|>%t`_8Yr#BJ19d%_u z@62>(=GJpty#qaJbnqVA$OY6VwOz%zxyM7$M1|et4^fWi*L}IM#ePf%QC5zomGn*+ z{22|9*`g0D6m!J+`yvXi_6FWa|MnWk)gHKGE$_}7ZwM<0GC%1S3x7Zo1>OYB0kj3f zZz9fge+o-29OIm+CHRJqW|>nf>4~433_^rgQ7e?Rgr3hdDmrU*xvN4{$^=?U-BKxH zKYe7UFY1~;SzO)wLTV8KHZ#d5s%z1A?_=X)nQ=@6oZ-`IfK-Ct?WbZH=8U3P4sRreC6`sYH)8_}`;RL~No`iu8z8*-_+{of-ozTn;D zt)EB2gf_GA)?=)qAHF4S<4jT;37FzpCI2ob-8s_%C4|)dF(2&3 zLOmBxQVaWb&N6<HPs0ED&YlRtwGy~^NIR- zB-WM;ukW{q)6)PKVvrLR7F4c0FFNVBimB>L?;kgF5+6 zj9~fP*bDI!BQQli=8b6IgV&4K@k@sDs^%NAMXUO;A$WWMTye4rMF$;zq&Pei(_Xt| zxZTse=&2?<2L6~EfO|(TZh@cehHT;~sgR&QIG?p>kX8~i=j6%0GAf*fWjoA^ynuJ_ z2WZu-{ptI0{cJ%B|GxCL`!L}w221Ny14DjR=G(%MS~o!?(6K5ig#f*YztfxfWPIGE z&xvyJC*xBRX1t2E3*@;kjSa^V=*>sAI~)+4ZI%%9X_TtU%bYjxR55_HORzto*>0dR zV#}Q}nj%u7+dvgR5<2Kh{r<8z^uqloUgV!;C5_Ce;m*dU;hu*W-7Qda>_qf9$D4nq z8}EslgauAvk(vJJtz+KO)*UDakuHFJyW1QwG&b=cLOUe;Q$MKIPZl-+3WYjVoYcvZivj+BA;P`y;Q5oQ#P)T6idAa``pu) ziu1?hW_RiWmD`2PvphwpW?=l`cn!tK$vD}*7P7=b;L#(NMYl=_Nk5Gh#7bH4tIE5p z6R?VmV~lUkl;ofqaY)OhcTRICr@E*=mnNKJ7(0XSv#e1l>L&ptc@{mA5G6fdCWKH^ zZ_oOHUe`n`uHo?>DtpN-E9zsAg+C_rsdXZ4t|J&vCW*Fh0LzbH*?^#L>0z%&Sav2x_6hE|m|3r#>D!OD!@*<6-d%JHZW;ciG9H}2F_851b5Jalcd z1nH2@Hw20@6@q8I>7?#P^6jDgA|MjyAoUl=NeI6=bXf0;Qur!ZWlWp+8j6Ooac;FfR`#ZTqkm=ajPjrL^gm4w3*-O* diff --git a/data_selector/db/data_selector.cmp.rdb b/data_selector/db/data_selector.cmp.rdb deleted file mode 100644 index 4140176a09493f3b58b886384c725358f6dfd6d9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15764 zcmch81Cu5^usZLT!J>4Ay zARwSB7+@f~e@Wn9UCq?V+0x#Qkc*y?P}tSd#)OcWg^iGroq>siftit!i%{9r31De# zN~mCHY)!~as4PXOZfZpM+r-l4->_&6;D0iJfcAL)KLNV^^*=O;?|-N}@THG+6zQ0w zUJdR1{JHDl9nn~Q(#XNxvpY}27GG?(W_+`!m)XrRCxhI}^)@s+1REb4%hW|`d54=D zq(AAdK*0BV==Vm5AI>+?7ZdCc+is`{NV5$VJLoI01iFcIib+@JcX!yNr>m>{jLgb* z{N>P=in^+qsENtHY@%`;iNT=vDe$RJiRugggJFltAKtTM*Rf*b5op$84F9Ref$1%f zDyo* z^X2={Xbiq(SK+tO*j_Rf!i5FEwlUh-eB>S}=HYw*S-8o8&GGQJe;q|XnK{n2r{?+i zC_VuTgomb%$|Q>0P#U<%%Di@%#etucY&d$ElAN_YfXm6jBxI!6>J_+R=P5Zpt}-i` z!^VGjsry0IVeHEF!gjx6CvX*#Zs2R|BJACcaM*l&|FSDMgZngg-n50?@HNl<3ih0W zuWfuvk-hu-@~daZK0EXK_VmKn*uW0HT^J5??HXn;+U}&aY_m2=i~WX1)(i&}(7Tt} zw5QmE#Og(im%PyX68_nI@B!bBrhAYHkA*W?{oVQ@>FMigq7 znK_dY$Wn_wv@UCVVcXb;ljgkgCR>a1XYAM)1ISB6A`E(HiqpF3BI(3u;hB2?rG^O; zeD`Rs%^HFSPvLM*b^_)e-Dww2NOJZQ++*hwA>eq{v8vzpJ2Nc=J!<%J! zu+~!ebc_)(o#z=suVG2QQ}XVIYbgez>Y7Cvr+Guq;kvP3SHPcuBbPAv2L|lmXUCQ! zxF11E+aA>yb)|iWK!IfYE~La)UvKbx^OfE18&gK&hk%CV=WS~S_;e~ZH@TeS`wub; zF%9lebv{i$A0Z=rpIu8s?cQJaf#u%Lg>!sE!iwm=H;}>l6HE!8stP z0uF7x8Uz*%`)2Xs+t`9x@dh6ou&c}1J>sd=ifERm%T|OYB+}$YrKq6gtLrk}$gj3L zaSrHUeawC)tY?G`y%}0s&~7*H)fQq8%I$&Fy-7M+UYHCJzr(4qaIR-?{G66ue1kM? z3sQnjmtFacK*wF+!}r@RUmo>WkXW5jlNr}jh)Lkjgz2-ql{>AIFSDQ84a@9Mn}^sg zM~j*T8q{BqBP9-H8lA^5#ei*pePHZC>l(hb=rO6iVf`UIK7i+mAL_^7m)mLm&m8yD z#chZwU|dn)dxMG`AYq6cL0lkp4_t*`-?qS$@m=#bAGWRD&>cz}lD*{ToHn6>jK0za z3XXGJQJ%&U}G-Jg|UO$XtWPT{)Jt&k}XEt^!lhpziebFqjW!S(M-mY#9-J#a6 z^5AgugX=$%RzIkEW_R9MWO)?M$#o|KH7qZ~!dz)TX$c^JK_@xIVxs1!N!&@h)x#xV@g%R9W&K@_u zEsEcscAl_|k`e(6U%h;aJ%GlO0)Z9{Tf6dn=a-EjQX|1aJ!Os{=U_+r&EgqgJDP4n zs=HUAQ<;VR^3MUwi>MT;ki6DJF>J_n1ayz$BSpUveM(qQ7JlHQRtd^nezrJ_U4HTn z#;;c|E2mze!iB!w}*5uLL$=p53z?$mE7?6eY$xbFeq zgkx1)0{jV|harw|_(amySA>Gcc zEr#_FeE(MFww|R`zN!wO^+b`+Md|;RQ;SoYFOr|qZ zniNG6$9+bp--4uoI56S@J1sqaWrEZm03CuaRj%GISom(Xcxk{#%F1)fDp&wr9I!Jj z6E{X34~dUM{vcmkI^}# zq9@*YqV=B)@0a7@FnFI)^{!r9c2rT01n)Y4HT8^knHL=PTKG!AV8yxiGv_~MIHrXf zNx0E9q(uFuND7o1296KJfeOQHGTT4&6!<7{&S~^m)mkcAEXu5yBdp?i-hK(;7YLk_bU7?QYTi2#_sU| z=~qP=Z#Wz;PWCG4u})+3^z|LLmbaeKG~rX>$vu;LtaK(!I#b`Du80hXZz_yN5M|?g z9%1X~NjACkS(e8lF1Z#(XB}2I0_!Q?Th!S&EKc$zoqZ*!f{{I`Ag()B$3WydNRfTj z&yXRDoSv`=4eaCLrQG(wtvj?>?q-KJu`12BFrcviR)`&%%sr1$2JecbI&MUaeU=urltjiY*`K&5MabYCWzuKzGtpIs!#Cm9v7>dPGK$4`s)*&@qMZHwJd4N z7?Z7;wxprt#|Ey{dEBw~zs^*VG-u|{^hI0=t^y}Y=<4$`$2wBZq!+%^MYPq0f2Z1# zjwP1AvZOV2Bn2{^sixv9Ke$rsTN8ZQPn0r9G+&(QO&!U;Y^IA?#sBXoasx?CgB-Ql z({2@A>tF4VjOALr_FQ#_q6+aOL{x&gSnAUFb+jYICadHU1LEe+4BKLMG+ZeE zMYxM!TK__wfDA?pFS`dQONg;(Q3g9K(X$S#NlP2D;81ANP7`xED`R^}zotA(XveY9 zpT~OZy7|=JG=aWwV-uL&A#S(dWxjvXSuXBpYRkT%;`J3cpt+)&G&yec>Ad`k)&$B^ zn9XJStK*hik>kNg`mDT?1}h=?xd#r);r`jn>3PD?Hr1CUvcn_eKp_9g+Gs?8FW5B^ z_~PABR6xB5QnP>u&-{1>{}euR@XqSTcmh!wh7x|`=;-kN#4?MVLv1FH;)QXdhkcrC zlcOC-an)4MUz!4J{axpq13}mhzmFck=>=25cWW{Vg2{_7z-qW-=qouK&FL%f^wTr) zE!!Sx+?sp^{#?rjBldD_lc%@8)5NY8@FP;g8EqxdoeCCH7$+-;tl>C75_9~)*4g=m z9rSa`xHh+B>uh66a#`y_F_r_plS$rt7s-=f47N#ak)d7iv35AZy|xdxB}rnd%ClZ+ zdQZ>KkSgPi3B%mBv7rXR1G`7L3y%PW-*OXmQ0C2=L+~-IjZXxG>a4$YHSSYj=Fvcw z`CtN$ee(nT* z2Oi%O1vM;Q3mo%-vsnNG5rwmcL?KPx#$ZF~yV24r? zI3@(j!)a9>J}`n*sizM_AJ`yIrYCC=JTsyH8SZdxZ%;G*Bct)jkz-=BUG_WwoQSK^ z18I!bSu;UX{QEbjNWxZyhO@AzFBStsK84BND|u*_3l@FB%WyodQ=7uBB(Y~L%X2qQ zK8m}Vr0uH3Zf#AXAxyQi?J5(GonXgaTArNVAV;gg+x-jTqNB4ef}^XBS2@ARSnie2+GOuel1Q)i#Zp`c}6uUq>~ zx08W$kB;t#Ra>i?uJR-R;AS#D?{_%{P=opn&M-84nc zYiTcwoQkOyb6q&37U%Il(z(`bdE`FusOm&Kdq`cTLNGr4#c4DY*@qV zTa>twWpm8%Ck}5ukDFD>bB9E3)k7m#H$^5E;z|u;Q%j79aW0<{VcC(~PH4=cA~pqm zEp^125W)oVeqlqp-~>iN8<;bys_IQWZ4zGTxeIruXtuq063|*vx%KwV1aZf*2&FiU zVq7%Cmy2{3Fs`pPJGBbffDcTA8i5?$SFmSh6U9@Vb`YxKU1hlOi| zf=ZH6=(`Nf%Avq?U~QzQ+BcO`Y^z3z!lqW9k7$!DC6KnQ`J~x}hHFuKOG8gnmxqJK zhNpRplAn96M{5%>kfsP9zPSg)g}t*J>xN0*|Os;+)bPgk|ybENvn!xsQX>GUo| zVN`PeZRmG?vVr=`9WNMvnH^zgZF7sabdiGt{$npduNxS9@3&D#5t11`U!2WokAZ3LBH|J4~FZp*`jp0PguO|}9Bwm#q3O8mnXRfN60x{mvY|HMx+L;8nx3D0+W?XnphwY zyv<#sxDi1QI3=&7)S@barkU@|uVGgK!Sd;D4$g()IpT-XJzc-vLcAxQLMuE73JepB zZ`8tZ#hwl9{!m5Azo6BFcYcxLBbXAUohOg#YsADHFKu3RYZjg*{wv+e)>&_y=I|-< zy%^9sfX+c+)qtRs$*a~%aD{&T;9nSK`H7c}9P`)A9$<5A{*fQjeQ9z*b_IoEwUs~7 zHk9xHPGIfW`s4KJ4TOtbI(9D*98|c#!K8&?u!hQ-efZWQN;M0r4n)$#J4u)`X?^-w zI&}MNQA=cJ@Pvu4LtKv7{Ql}mp zaY)?n>&V*b6?ED#d%W4VMwz{*EYOYuGt?W;SJR*#y+h;V=;_E3p=#C1%U4HkZXibI#|*p{{?v3TinZa z@9^13XVc9qQ*6vM8VJ-us5Ib-S2UMN>VBUDjX1Zkg!}*{c-NV-XW=qTHt{j%#fEld z@vHd~ha1*lw=$(HI*EH3UanLG?#Nr4MlmNhpqLpGh|wt!LRa}CcMZnSxt75xe~1$s z+-t#}Wgb|da>YinP!jJ~x>0@Y=dQ&>f7YoFTG>G0oTP#V`)8kj;6#uE^V8E;YZCHTDL z1!Y{q1$+J{GMr%^tLBWr2hkomIJL7tSxCZt$d&=!s5Hd~tn<7pA=*JbOp(8U$jA7gD$VI83 z8dhdykr0KuUbfJzZJX;#Wk#X2l~akiOsbI{))s2F5`?thTv6hF-J|I(D;<&wI92lj z#3rBCa@l;aMRkkZUZgZ|U}>g3{@*tZb|JEeSTz_Ntnb&%($*UY#Ifcz0Uq%ns4ium zn_He3GksL@J?Hf!_lVl$Nm@CT8u%sT0p;EXLWV&?p6`rkBDHsHt5wN0FhM3px z5&I3h?K{sj#4M=+3f9|5CPX4Eh_vHI-6I$acNXy6d4U_&=>YnBn-8__+a>84d&9}= zEHg*{a~m|(5p1}l@U&fz_i4m6i<5Q3^ME`?ROJc;Rk<1Qp+~q~#Ls4p(PX3{$q9Pv zW$>+>vaDIr+)t`uclv=m7Mpck`vy#*wi*vcrG$<7;dT@ZS(}kLdIK!Z1v!Si{Xo0f z*?vJ7q*C51cBF^fK(m|45fyS~rP!`Ny75rqdC82$;m9sSfKZLl5U%}7IlqI%Qs8AM z1GL%dW--~NZ6ah*G&f}_O!KIsk$1Z_54CfB$DE_%I1#A$h3ejqKjK4f@FCp=OtQf4 zXtAt!5Ge0)7TK)beN1B<4vl}ZP#wv2BYvH)*DE1?<9J5JY2RAtBRfy4_&$nl*0~)k z{?rU;ISc|B2d}H#tY!EI{OfBRU8)fhZYIWlU)>T3SR|{RXwoa@Kn<4f_lnp8% zltVC<8b0ucAZMSGhlsUvqGdf4nczcAl{E5~0LMU!5J>H|H`lp!C|Bh%0vFT{rcV-D z(Eo{#6W5gsDc-H+)K5_e!5fc_j*O~$0{hc((?=89mP9!Qbr43_92?gQMeNqimq94z zkspW!QY#&9GHZuXjA9%s!;bH&4I(;LIZBrjc^uyi==hj4nb8|f&VLg(Ko$2MtDUBo z=(MI$N>HkPQx>aSuj1!U9kiJRI-7U9grm!gSwb6Q65>pn*wcZ4Qg=H9>Y+-&M6}nZ zURwpJdJ`vqGN=?z7+a%td{Q)E>{M$cg3KP5SeO1u=>^|GyH=3|X(au6t_ zL=zGT%OejGpp2RiEM_=!VD{!frY`ZUA}N4HPmsC`EXCZKV^UzGkSV|AiFOV#--Ger zZT;ppFi|VB0BnDGnB(1j;7|wP%!+B?H7Ox=l5l1(Pc@|yfFNRVS)Tj}>l>~$-9tF% z_Q;l%$Z#wmABmUYBWiA?c=zCy#-^mR<$do%8S4i^d9vE#!ETN#QGWT0zz8{jzo~@_ zE4Q7%5P5;Wx#GVj7$e(ktsWD|eVdd1p&$f1fUe-cN|$@Znd|m-vR$`h!7Skusk^1s z+ZyXJt3AnG=+SaJ^LfHpXdiy6BS8a356=ZJ1x|T@N1)u>)WF2w(BRm{mY2`BKbH+u zaDH2hp-Wcm?+Ti+1x~L)C2+rYyWe1KKI6}bntnTyDLBrr5A-`hD*=^Q{*&IjcM3~I zD*+KDM1SrQ_EsRuxdVb@Lk|2eQKP+ky=>Bo2_U6sKgEmX@l6^CeH{qzPNChu4Cgm! z_C9yP4{COFN;-@eG4MRgjjf%HnrxNc+K!z6XZuPHvWc*7XKR(`jJ5Xa%Z%Yrd6rpn zyqVeW(+lxv1eo9L8=R2Psxoo~1?WF+XTKYLUk>M8Ju6uNta&uK#l58;>7#xHlHN6X z9^y6#bi~keGxYp^20vw9%6t!wvs5WosA6{>bsCi^>}t!m2HcAT5Q|e^R&W3tUM0a~ zKN5-L;{EgEz^S7ph;y`&kqVG$Ng)_7b0~FeeO(5!ZEXI9J{hS{zp=dmjI@NSrvZ0u zONpEceuE(hp_Z!L^V)6EDT?#Ir>$xuCz;$l2R6I@5HOY2tqQ-JubG^z!C~MsVufxr z;7fndp%nXX{jtSz^r_Igs~X_6qJ{Su$X1f!!cL4dt%og=tKEJ_rc*?q1NLDp&=y(! z!fk|WqMiQ8NRj5=`6pA!jwK=Ab&e}?k9yap`LYC(m&r`b-9Nr&W4H`M+GK_g!;u04 z+-mksqu-C8*febZ#s=&K6-3mDw}O)JyC0Kiuv~)j_wSyvK4Hfo)g(4?mTVRLS(OA` z#;~A?GijZb5~SKtDtOjyXE2aT zar(j?KEadch36J$bM)|Y8W>%nP8NzE{81|`T(&r{I|VFv(Y^p?k6VuPo7oz>K=ub$ z;abP(HwFCfbA5m-1^ho-AjaySK$~p4>cokRSh)0;-RXTv&cul2IqBP={oThG3}nFt zHsd(eqy~S7-3B5Ih2nQG3N^`jdLd+YbWdy+n&Ft1W#&^xmO6p-d%>41C;Q+0tduAw zX~4vhEIJuwZ}(%xGlXA3<@J|FBrWwy3$wx4ics-ucg!=THThubOdWmD?KqgDOn05$ zpk>Ga3I6D8DH3*2UKPmw+0*8Yw6-!KlwH1Rn#Rm8b|a3ZudkM@v2$lmRD0{NQBmey z4rDp&;k&b2mP+vYp`)?7lvy+1@3IGc-4EBJd%6; zA+WqQ22@`PkmIS^Lwl*Qp12;rEJb8%nH{y^fPvSS6Q%mw8u7Ewt$dm{ZKY%Rqni>I zsqcka(qz@13NZ`Xg2S2+GT_VLfp0yrF+aqVPd=)#F~7Xq7G|mDfdoHdvL)S*4lJ^k z{scclvLBi6Z!NJg0%+;skJDIYDPLY%$tSAOk<;M*zoto_PdqVy$0d)v?^eXQeyj~8 zZ6m+8#wpv7QdHaOO;fyg8%S6O9R2*KKx%EFQ;u?=@Lp3?!Ve>@ zWa{wQ@r-#AZ3x*<=xkZn+XWVxpKD)}Zj+MhVV57v`m6fjIwA&+Xkdg#AjwY8uKyc<6-t zv2drp_?$#vGX1FXnH)yEjg0?&lo&-VwamXgmN63^yNM_1g!T_RIOA?5H>i5w(Mn2r z@%4~cvwqN3s{QroyJJB(d{3i?ThP-Ei$zD+F=LO{y2=l1H?kza?nr|!up9U19=bP3z(mYhSv+tMk4fr%xXV` zSM1afnvsjWI!6n|FNy)W+x6{0Ptj20fDWY(RzuBBXP#UWBQ;iT`so#-^EF-{a8w} zF%nGcQ^9cX81)5Lr8-RSd-VzU2OAS3^4mfY;7ytdx88$ItW-48y~3;zUOb4E_{H=0 zLa&q=r6xxK3B&PvJk~j|!j7^5Gt8Aywp7-nA|$ZYvbhmm!Gj3NIay!=wxEG0l18iQ>oN zeoN4)%B}kE>8h7lW3neQ&DGvs7%os}I}52=`+^vftvZWQ$a4{!ln57D3BwmxwWal@ zpI=$}(}F-|;~7q{vpDxA&kEElp2dMM6TQ;gwGcS`H9=Jy`Ds;_UG+; zp4H$D@-)HL4LN6{1?>_cx`gAA3{Fw#1c0aS69zG)oLYV)cx{YOlioL^^Pu?WMiDc( ztMsiRr1+-B9yPygKfLkSZ;oekh6&F|L}| zzj*q9=X^iHHaC>lf=w#52lCpQ6;&zZ$%$m@V8)=XBwqu|So1zN7_b*X3T-2P-kGIi z*xu4K%Fn6!x)KC8b;(pb=gj|9nQ4Q7jUFfVjIz`{b(}iw{)Yg^{gbH)gZctc0{(wX3;pM zc`Na>V%0H5N6JP+6m}RyQ}p9QT4{Oym|g{u>0{>O@a!|b@z$?{y}t^`NY~_UT$Bhs zt@NErWf#Niq+!FSVGhuY$xdkhsoL34j_`j+7+~Oe^$5pU*y@R{3&P&zZ8`eg7nYE7+$phRo$_p(M!CV(o_1 z4VDZx!nLDsOL_BDq^G76EX?g{pUnj;8*(q>ku?+#sM4?%Nv&j%Q-s``P1&>zBoj-& z;-Cn5QiWMqdS~ykQ&(s|%zbO5SLTccyBAR2go_bbQqR<+>w|SKu1xB)k~9CdZmH{% zZA6;2R@5f<-o3m`+o&H~ufrs1g*+YvgWARwLXx;idaG)j%|B_;~q$wJVQ3PP|^oGG+ok*mj`l3J=4+4v=rJbu0|JQf;Mf&v1zbo$F4rE+Nl$akdW&PZKn`p717l! z0-B?0$vUDyl@Q7kBjOa%UJYC*k=UZ2iI?b*?BH4jcC+1Xc>8;$9I5`nWR;)O9G`le z*`o?2*(?UTp01uj@vpxkw#SJBMS@k+{gxG8pg31RWl?1d17EDq5x`4z6a5Xi2_xeVx3>LlO3bE)#eGl)FM@^~t%w96JBjf|5{=;>c&BP$;F zvK8~#zB}e=K+=}E(SopOcg?-+82?PFR`I2&F;QuN@_NULLgiv2ri}~{9-feuWYsGm zp7~Va0l|-2R6tAVClazcg?uV{Dms4pmH5*;z$QUl?hHd4`nsFU!ULHs zh4<0p8`R?#Y<0WHbtuJTN^0NmkzO3$qn8+TOLiAL-6Z5n-0Q^&lA10bd;Cet-4kg(~lyjQSAI9nWmP*E|q{vJS{o+!W2*% z4Th$PO1%B%eAI(Udap82f5h`~rQ#G#9@hJ7;wz^chB8x+w$++ke|CKervw2UKG@W8 zoz>8WAWK|}>F(Bk3zJ|)yqotvwZ=d0XapZ9Kw5Z4WB4$e8d(i6d5?}IkDX&;Ike?` zAE5Ko-x_t<=~Pb3%W^Eut=+CGcRn)Q;}cg>HoOrZ2j04@T@WcU()~)stTwpt}cT```L5P*~>`>9mzPsBS6 zfI>Ck+wh0w#BEqCQc$u;LzWVmAE&fwFNZP868u7sRe633CWtI(gN2ubMrH98SA*=s$P!^u~pYeG#G7Gn})`_ zZ>2QK1RzcQC+JK#nBx;jBoSLKZ9?m{ZzH}75X$V zqW$ECKwz)d?bQ0?;PtWBO;0+ujN8ti0!eJSnj=a;$Or7mcvYl(#ahDi$vy+ni^3O& zd;#(p3ld~Zc%0?;P~ecdUE08o`3q_XArJ{2T|`Nmo~^S#zFWjk>}X>8s}rvwp9s*r zYe=*fW?_;==U%I|VRq!tADaeq8}2h}JRU~FQtpqWKd=9-rEEF&murP7h|2D30^h^j zO{C+P^@|PTBl^))nMNdkNs=chP>0_qK#okLwmw#tu)5GSuM`r!m+WtWp$Qh?;( zWW;R)8lfsJo*N4Jg8gZKgr+(v2;bP5VbtZcncg+hgX8@!5xMy?q7YQ4b) zw!)Z#KeS^wR!xnq$~jtRgyiRuyI>6TKe&p#yuw}^p2k9y8U$$vJvfS4|D`_wuB#4e zp<0{8sxF?(yL=fROkU)f5F1ykrZa$8pXhm-$JQ|Ti+yCxLsxoQ871gkqUx;6f6c1{ zp?O=9Sb30^Uvp#BB1J1ADXm4E#VmNKdsP^;&i(XClNmQ^4lvSqye`sx5TiqK(YHZy z8n3CPQZGI$dK}b(4{8}=qa{qT?=*%UC*9%tn`Iv}8GRx)sdlV>aJMLqU=3p%Uue)w zvRie#X!IB|(Sb*r)*`Tx%rYz|H`HwXAeVa^W2Fg6S}vOehiEdr>PshpdnU<>CyKu0 zke_Q+cjeq!GI}zM4DU9D#*PIQm%be`B?(#3$~>HGaZfXo``Le%AUe9iHDJtFC=OMz zIA}6KNX_ppIL9>Jg<e8D=rnKz;TMeIhE|^vQz^+ubaxH)fJXa>?sgXG5d&#ObA` z7A(LT7axykG65kTGORimt*c)py$cg!9M=mr3ZDAtc-)wThY{Y39kgI!Uy!a3Z6f3X z36@QE06Y}$vZ5aI9V66p>n;Y%Hvdm<3bchS49YgWnln9)nxlkzMfVH^+z^T+c@c(9sh7d<~v0Q)^Clv(;Ac zywcLOScungxhn@7yuO=ChqdaH9V_@`j@hlq>o3{SDs`cr-CAcTR_o`h+6-?FaoKK| z_XD%j^)DE$gWIfH{f)}1zQwpbYe0J(#K6j>L*M2DGb^2(+~b9sA8!I)-4Abm|+(*S(CHCINKYsFaS@lwpwCis?XMbBUy&QsoZ zIlBG|WsPbv^HgZ;E*UU0(8Gdz^OhL8W%cOpt4A0q1f3G zC31ZJL+({7FA3767}jgZNC#>f zNre_Ij*`@@q?OPhJ~C7+m>jgH2DcVzb`nVmR}Ig6re2AYSKsfCat4v0RKwCWt_8Tn zZ$noe2voT`-3&E&$}F`Gc`j$cy~cLXRVJS_+2>+F%YxMlmneLdgBIS0u)*+g4eI%A zRO6pDRcNUn?o5ZOu@=UxH`P6ajgpMKK$P@z1Z&C8h-`L0Z?$0Iz;!D_m<7eoQ24GK zv;*;MB6yf=p_dw_B8h2dm(l~=%n&n5%TDNc9kxhSC>QFpZV|Af!+{lmRi@5;B+0_g zg2GwStj{7oL(!5#Q>+6}dwF&Dzb>gpmCTKyN__bV^XK zCIQ8Lm_+?|UZz0pO1d-} zOKoRjVHVwgR{JsQ`(U*P>aJ`szX3I%Ns z6b{C$fHJul(#Yer{X+w*^V5*VX6IlN$cirCNkiAmC`S=eYXErcA}j~2iF_;A?`iue z076@$uuMCh3}zguKP+Pumt&u`8pA1@{M2uaV9;f@btE4A8k-Uq%%k^x`{3HCXUPaD z3O=?gp-3RP6OFJ7q~&D>MJ{OwH6;kAD#11s!fy_PaJ3ace>3?Rm8CX*#ZMtbE?lYd zfFJiW9m|&OWwyaG=}N7E+K$G`mKnaRCyc`~lkv#&3}!J58TlM}d%7)KWy!@mp`W}f zs*(esL@3$YBw<)eP^ELEhBm2&5=stPyrk*`Q|=Ei+es)u`asLmxpgN8kz;Bz9}Xlq zFRAYa-K*;2!YygkIwpF%b=^-+6fLU0X%y*@0Mc#V3>@DtoqF$k ziOrX2Mx2rsndNs>Xt^Sk+aTeO3J{L+l`Y7pj*1YDj7n$Mqb|-x+D;c&O#_ZdL=f>3 zsQZ%$oGa}j8IMAT&YK|~f#=T>0NYrK6(Z3hGHf`XgjVsrz?e{^nvt@6bSG6~&FC;J34PmuXGE}FD zD<{#=Rm*B#8@Wf3Qm1pa2^~<7k=-X2S`1xgH%-yyWR47I??gBQqoHsAErurf)1>9& zl@~QI@8BB^b3ixkCb{2ci+heejs6_=nv6tAVxW^9w<&2LWxbMjj1rRpIhj1_ zf#x6;NyDkOuj@b=N&Gwj>Gyb8HWvYfJ^YxPuhT(2?lNSl;0ygP3hO>g8~-vIMNI{F z-$<~kQ}w~oq(Nd_n{$L;7wR22|84t@%Kw8!64DUs53{9$LLO=FQ?s_X`-W7u2@eTuAh`y%JM*tqFJ%JnytccionyRD_cfdvi~ghM0YWKA@R z;6wDG3mdtkzletxcNW!xPOA(VF;}TVnMCKUrVKbkq)@mENfGl^Xty|Y<-@qBWOh$H}QOQw4U`}wcWL$ z3)hnXG*gSVf9}o^)^6nl5o7Gwpp4<1|Gt5O+}> zJLlldNrcx)6NzOV{`P{h??~Q08A96BK4vI*L!Pek0tyb9^ryv7?~^|D0@v@Lw`bwH z<#KP(t|`?D5b&QxmJK;aMeBjS-K_YpGpSVv&2|ZLDs%P7A(7HNQTiT}C}j5M&~_y8 zWi+j}QN`80fjRWQ6ENt?+HK)Vs(0CcGTQ?-M*iy>8?O-z!wsL{#YL~% z9I#}iVvI#h_G`3NcT@r)?*)^Sni` ziR8K)I`!f179FgQZ70*q|7GOA974<9idILB{<5Bfr?7^i)n?zXO`7tSYT$zQZ)SR9 z9Xdc7L+*UG4B}9=7Tpf2rm`-2H8qSLVk7PdupZZ<(m`L=&>`_8Y%Q)#?*FeLxlFk+ z59PzU5PeI-RgVh~G8TnwPfkcNv}HPCO*<6YS}Bl@t|din7cISCMy=tPd=lDdV>pNRs+O*q@M|qC1}a)@2At}}8&5>#l`Be{zQL`i&JY)Sjb}Qsct|wmve@l2zdcI)6Z^UjIK(Y?y&K#S z;DQF_f|jme1MDgUpg=)Ak;7V|S1iC1fj(`(fxNa^GK;SEY9fJz@Wm&i#BxbO&wU&dlFhOmmGM6eZZ!*CVP>gYS1au~b zNygQ$iZ07(;uSjvO#~X8X7UGL)$Ull=@CB45ED0Wk?sU?>O;?W!Fo>fQW8e}%>olJ z?Wm{ZhHD?l;er`(L&2IfY;v!8Y$)>Dy_HWapW-LSEcOJ)yNadGYYM9oCu`}*UWklX zpcnakKh|`104VM;kVD_VY6qIt9O@lSwckJTNH4)IQJT`C=E=Ezs`HW zF<_}w#+2-nrGDoehn~iA+%h~VzIUMGxJw` z*kvE8-xhD<<$8hW%LAI=88#a?+)GN<)2}5Tw_!hIF?X?XOB!+`DN#PH;mz_OzMdDK zSS|bRoehnepz)e)yc5r4RAdOfor(LcDmGqwPwQF?7by1+H0udoef$v7&0-_fWA17L zm$1!@T|$935p@yrBCTUVKT&%9c&}9&@&f}F?nQMOx`W$_ z${$RXg@GfOvc=v;GV8zS>{IqRChjtmU*XIq-eZ9n(_`!mknBeBb`0QOe*Lg6_I3dt z3AVtmWl%d{!sFbC(3~(5h}?+qoG_3R7OS-$P>qFlEGpk#$D^PneKmDR`b$6Nr+P1+ zxMkgiw>Ysg->H z_nr6W#~s)tfkzrd2sKz7B|5`mUmJ=YfF2PL+iwMMLq>7qsOLc@>CdMOFu+2zu0ywu zL4zB@?q#Qr?f0isU2~XU^EIrfLUt2U!aBiDIgg4C=fw{# zxP#H;VRsX&KzTugF-h{KlUAD>MDQ6QN3K2|5EGOiSoHAr?vi`_F`6QU{`!1tGYU>{ zYix4y6O%^Ce%`#U@6CZtW}p0000008|P9000000PO<+ z000000CWrh000000C)l9nQN?7#TCalT&#dveBra|ReUC>_)3s!&qiuOjfpie1dZ_# z!Km>Sz>qevXQ#f>#L5Q~NE4GrD%QmK!4Fv5h)62FANU}O3S2-`u83$WYDIVdzu7bU zth3MFn|oR=+@_PPGc#+}`p;VPSTlQ0nx^SKQ54O%dd}5X&YpSW%xmV{GHWj}&j01< zQzl+~`hu+wS6F>yAin+4P-MhDA|=yQE237DfM3!?z;p z=LKdL`8Qq{mBA{5JJ@7hrY{C+Z_&}dK`b{$J%#!@@q>&8*d=(qz8rY#4Npaf^`Y`3 z3v%#|DDXCl_mcweBg0eC;eDc<{;KF8k72W~rL#@+mFS-f!1!-p#K5+ zhG2J=K2)ZmyN?S>v<*_fKv!By+kpDLoJs9!E=&ClvpMnO06=I8Qx3mYj)XmXKKRgF;6IMs2Yc)a{-$z{yeZ_;>E3M-`}Z)@fF zs*+x;qs{c&Ldr@>gJV@T#skG8VioOs!}$PWhQ}D~2=9cF3TJjT7X&XUFl!qXQ=v&;|r4*dqaV+(j$d-zQntE9v3+L3+gZ>P=&dr6GgDg)PYow>IyLC`e6k>@OOXl!nlm_{ub9k#ty$p zgO6dIsYA+Ny~yPAE1%r!1mANuUIh=bJZbQX!@A$q@l@lU%7(Zs4y`tR=qyjys!l8_ z`oUQn{5W0(VskMLohhDPrgAx6)AUl-B&(!Fj~sOgAM3k}YN1}BUO_G~a?68PZoQmV|^v&i6_WpKin7w4i1$BxgL6*!+7dyX`7cfW!m ziFr9!i;J?IcWgMxj7jRpgnvlbN61qSsaOvF;yYNRqD;o^T8mS)alcnvujG0}eJW2Lu6U#Juhjn) z$`^Y1ha~@!aOVo=kK+GMc5IS-EcxvO3NQbZdd0hOrN{LJv5HGJFe*+yo$kvYfkUod>D8~(Dxh8K;@M5C*JW86F;V|7n6 zGOrpLou@7#zrygXzy90WjXBT6C?J1Irs~QM8a{VX5;B~Vr2n=Y;tV*y)#0fnnrMqR z!KN&B6Mt#DLzjk-v@O%-`fz>*ZYxI@YH_0I*`BPf{owFz+j4Cbh)zl1pR>JFE^Ezf zbNG@AJe}bQWsPx6Zqq7ZLl$4>aEBd1C%50=udl)9EOY0Xv0rCMSNQML;B!BLto>&k z9<1&3cdw(O=pHUz-hRe&Ti*ce$st?wrEX)xcEz1BU$aM4&)1w0Q3P3kNBg^gLVL%! z{zmKb3pR-H_l_>t=k?y6*1Js0Ywk|&{$%EN!FQc@1dX!w6Y$H{XBqyT{@zhG{#*2* za4i278GjF0HvoHrfy#B?NqjZ-d7f=nr;r{0v0bj`Zs+&O8h^)YJOFLu#2K=)5EyJJf{na@iw;7|#G|lfk;;otAN4uQg5D#^I>DVv3BOcDH@pqQ| zT~zRQ-VpP5T}^z}+((ezFX6kPuVnmhlfU;D{Jr--^mkmz`q>%t8`Z?;VTy;4^>^gS zs#l~@x+smFphmNvCuw0;h(}X&Ia;c_eMp>N%e-#j*?ffL=lb;pA9=oOs?v?JWnn@7 z7gfUIFP8k4a4}OI{BrHs&dHLqNe~C zcZfYSo~nHbu_}p45d6i8b&ylidqeb~f(b+;fe2#F^-CFoPmqF~(tc7R0zotqkswyo z8Yw{Ve;^k*CA~UCPY3~`k+9@KlgflA2!4;+A*aM=0`Ulue~kW=cF z5CU|*Yo8#1pjg6kW)HNN~sVa8i`QQT9x+@4}wqhf`(f91NIkB>wg0P0RR7Z z0bG;IYZWmR##62D+S*!e(UlKsxp1+S7VE2!&W&^9&7BNMs?>2B+%UHwr_IVjP{>>ni@Sw@Nh+PvXmH+ zhS;GyZJ8MD8$$7$KG#~o*Oy1NCwoZrrXr19>SkB#=R3QJ`cbJN)+!pQ@K*7p_3`7Vd2gu<7s#ql%WKCgT08yFI=1TVhI>7jn#TE6eCB`BZI?T`ZLt aIhkI+ueU*&%WoL zGkvSNtGcG9ely)wGbmtSU{wgJ zv3zA>WoG6kRWWgJu>hEmDjEW;NLfi$WJonkj7Y_eEu22YioD=Ibbx^&&i!q`9-#hh zgBSj7-N4Uu;d0ef9=49e&2y(z$+F4s|75?6&*l6i?}SPG<~188Nt>klhktG<7ZW`R z5pNeGjkPccRpxOtE{?lQDp0KRP2cLW;bFz>(s7ITvh~}6PRVBH(M2b4)#@E+Tg9L0 zICYTeSOcZ!Q`)ypEr9n93}BNR18()^KD=++;v`e(@w?q`lXOjnKC9ca_W>S92i;@1 zn9z>oGRHJ%5-<{x?hTF=sj_W%9@_#Fs!s;j(aBT>C7gKPpiY|f`d!KSI*Foq!mH5ec5&CZA?b=1;y z4@~~h{e~_y60iH^IS@ha1Sjt5bU;d}ej2i`UB|Rep>D;Up41E0Kt9k&RSPqxZq4aP zexJMi^YJj%MA7;in{W>ADmh%*pyeO>+t13lbn?_d!}>3k;W+);HUbw$=|xijUgX3t zlxZ~_X;gLEEG}sxH+<@t->DA6u&S^rQvDC&yQT+?n$%;=`E|?O(XE7FLc>m%i$?4j zLT{_(tD6XQrwg`kQzJ*1c1#R zv>R(HIiVC$f2gogy-e@GASXWwq-cBm`9feTXWBFQRo?2=;yAzQ;@$P6zGSEv*mXKK z6ii@ucv)xb$2fKTn1}Ssp#O1RFN>-~LcNod>79PaPWx9Nt4fE}jK#gJY?c#Hw=Cl@ zGehH(R=4D*uzGEF=e1w+&x07j)QiXUvXK|hgSur6Ke{!-(i70DV05dW=PR1BJ6ya@DqIp&hSiDU9|*$QdZi*=%(r+JcC&}dB5!R+`;T`>($H`h z9mLA_9)FbmSO`7ZAuq;+B=$Xgnm;U|Dk;8SeVVq?*_M&1nl*kw(y{V{E*mWCm{$}a zzpPCA^=n`-4ZYvh#;U}mq{LK#pKHOSFC|*gJIWEWAMFcYW2sE~lcMyV>t)L6&p&@o z!Z^P_d(NghA3N%QmH4j4u+v_EC2rqI7*Wc`P~O8sX=}2{dX=i(Q}xG7F%r1ON}uFu zbu>?NzGeIU!Dwl6@8MLDKVf3+ti8MMW4YF_bqFgEsHo z3-Yv-9s%My+0J@;RO9x@RZU~RlFI0i(^K%Br8e`<{TY`%&X@92v2`14Yv!W|Csat@ zl@eVKWi$6^c_4LxovuQbE)Q(VUqN0@n+pb~wrIJERf}zR=iEL=(EP7;p-2;+gqAUu zQDK~J4#9#h-D__+R5__F@Dd7*Y$xp6r+s>|Z>KRt7v1hB>m9>pc3o(QJqDP>+KH}u zCnP@icHI(VDL45H8hzS#oyoFq8l1=vwv6s%HBo=^8}+U?D%?%PQiud~FP5G?qRbbI z%%qTw%@+Z-RS&QmzAf(yZFIMwTlyoe;mPSr*HzoQqovldOP%+PA(EzePhz~rt`@t3 zcc})?x3TgR_94@xS?HySWy;RS4xG0ahw3R$Y(yb+Q|9fyALb!nM^bKxfWK( zuNi}j$B2LXv->gSLJW?6bGWvC zZ*Aj$1*%L=d14NQ>2F{I&X)-!qjip$@I6BX4U_{r;HI}DuFt$Ed|xjQO1QTO?!-K$tlm79zk(9xLgA{Y0@VlD0vDM& zHs;(f8LwRNy|p(Vgkn$9ntTM^qqh!QcwY(h1=wpSrbQuQCzL`tx3hhH|7>yp(!9FO zg5cJeFc1O-E%*p`hrVgahwghr`MjejCg*9fZGPV+`TFQyS9aAWH>57wfM41+*v^@I z_2Ldf&LGAhUWGPd0{)?jC!Wi9-tt-?nRPEmWdPuV?0Q9frK$weCk(pm;=*B-=YDs9bJn)w_XkY9~k-zBP+g1{FfrE4oq z^RUd+Y7#d2o~-ih1BN5x{3sk(;aV!DmIWbNUJQlb?$W&%;aTXvpm4vAYM{X46gpY> zzBG`$T}TS-bnEO5b!hO{xKS<(+KtWig(usS>PwSzV3c@Y*seV0Z@G??^6k4MGMQ(< zJoqnEybeEj{$}ESdKnV*cP@(Rb*|Ao==L~ef5)~p1Z38ebr*y#@*Gq%y?xb7-|lXD zPVQLh!CDmNWp&c(Jg|MTUC24n_caRbz|dw3DKMGW+aYY}m?QO8diw_5<0CDN#%X1& zpfiIa$9%c?SR6~*3a({NnV8XurPAMH7vj<%;e1AdRx={^qrm^A*U_J-RP>Ybt8qTiu`? zlN6h^O8T}(mlHl(V>J;uou3hK*z>D7LCO6djqX*8;ONn2GIiZeB1R*swqg`~NnY3y zXr;53vzXU2jP)l>o>KhJ%$vI;IBMc=7ItKtrr-Kacf3mOd;WSX>H>lMUo>G#C?y;@ zeHxdyW~FEKb77ulMEiX%5J+A&)}&?-J!?wAk9|QHHII8%zSQaSPL-K*gO8gV=TK>FVy_baG0*j*=Y5Izt5MY!H z1S|&%JDINL9=_8Jq9&F1riQssv!}km)g7HYAAhMD!_4SrO#i8@2HqMu44RnRwdbv*KO#}nr+=t;=|YXP*! zP9P5DO3d|V1^E&)Y?(S`JF6BQACJXTlZeh0cEQeA{pa z2GO%G^x8D+oWa{6L^UA{N)?|yEJgP2N-=zKjQsfeOUq*@@B+Oh4fU6t^`0XwZBI<4 z@TG;Bk+EoB7Kv^u_1}}FL1e>>*01o(Ei%hgCH}S&q6gBt5>Kv`Bs7fza~iW{@#VT5 zk)t(Roz1?(7p(B~#7fFRPL@P4&9wegi=I}tz9Q0AXzyaJVPC96r8b!Jn&0<%Xw)SV zd2FuCeS6_LgT3$9Q|r0IXlh!j&tom-B~)LnOb{ zS9j=u3g5Qk;bsdEM(QAg2hVh#hHjk+9&L$QYDzI{7V@&OGK!exZVkb(syfeB0$&L{ zq*aV)!~@J6mDo+ z%zwyyzZc{TX1Zvk5{K>K4n=4QbgjEjLW1f^G{WNd&jo~mYnu2joROLnMZ?eU-n9*X z`VOYQ8gW@8LPPV6`(6X*5pXgo>Rnf2u_DQ?0F~7PpUd zRI<8%>7}|9vLZdGxf3_*q=jg}YHtuxhZ1JS_u0Rg9@hNwh*^fiRP7tM4`bA`xjCtB zUGaUo3pQGKkFF==6p36WXFqQV&^~S@IV`lVFfuXFJ zbudnOfLm?3U4Bu)Y3^1qzAU1Dhq)JdrJYUQ8;k&sIw%FYtvt0bl&!6eM`#n9KIpkO z_`0748(HlEOrHGBSKZCEQ{JqKBLz3*H9=wZUU=#+%=pX|JN+O%xhYNy$_Qi}5&KI7b551yJpl`~!FhYlb6)eF8Br1-3BN~Yt|*6i z=nr%DJ581f?>h?6DtRRGyKpCOo8%p9#L+qE@vW2GR?b z8v8TD@TJR=m61LWT5cNlmlN7MFoWt<;eC~yQ=L&ImpE2ktv`h=W8>((W!uy6$#v9W zn!Ki>uE31%y&=B)2;zw57 zO=M78=VsWfVRb2C!`9omY0g)DYGC1{YHDN!iiS}2(vR+}xr~=H@;`R<`HScC?Zj1CnMUw@k@WV>SSI8XSGvZT=S4SM+3U9eEpU7dOL}85NMHUH zm`!I2=EagR{S5wo=j_lHQ&7Q$ov+8D%s=}M{yt7pX>Aus4)a1LUO5t@@=67NkPdbj z3AA4?QF(3EF}6^oA>hQUVDQ7K*+5ZwJ?chIfzN*=pbo_V_c>8hd3E`EP9B1m$rU+5 zA|^g`)y2nowN3-leHgLE#0h*(Fvpsd=y<9se%vETSpk6E9xwBJN+0}nVJK%9v*c#0 z@%Bbrinj7v5x-PIe8#Etz3@2T#*GwV&?~{&14Qg=utQ%rwyHE^h?T78&lLRLwxQ1p z0@NWnkQ!|IuqAqd$?YXfcZqk}UO#Bo3r~Yr1)xXi#ui5pr8pD)xbE)cAIB!T2LE$! zpf$Bp4j6i5^6u12TueO*fLQ^AG7#?Rdp+Bd6AVxu)_STB==Zh>KDtE-n&6~G=NfVE zU4fh{wgCM+N<3fMFGgCPbqPk>njBJVa2iy022WFcD+Kr6je$rh?t|ZqP91ipAXh{9 zhM#$2P)=aTair-~Q?{MULPokvs}`vqz$YFfO2>Ea z(cR_QXtFF0Pp-?TySp<9ec_$&{BGTdLyf`@Rdo`^G#ur%UgNzkQCH8S)Z_`bh?Mdg zq4^dg`P>4Tr$`h)yNaYrZ^}^nV}W^`QSp2eNzm~3LLD^I*m0>F$w?=;zQVg(uHb2) zSDqIx^%N?Wk}h4*D^Df13VUfOVSo0gR-@`=?DNw&{68%(C90r0_|{!ZkWtU~l%d)d zso>7j>2jtk@nHTAna5AxsjxUgnD}{gw`-1prOUu>rPggNO&fUq$+ZWxcaZE-B!532 z^a)1kL-*tCS;@xRtLp*!sS;Ma=mEScA(D^!jIc2dU~j?PZwbX)tz{hMpu=t+50t^| zvj^>up}LWG^D-sa>)Vh=i1b6r8AZ6jP>{u#HYNb2ybXucz=%|>fK>1BEI%>g0`;^~ zZ{f=m6W>k#uOI#?NCVg8obEJT9qL6*zSJ)*%lVZx9@fn22&Ytj7lo0p6Ox_ef0*U( zaqnQvUJA&e5z>LJtVruQ?ih+6Et6lfs*x-en@N6jWO?6 zaH0cAIU~!&d=du225h%Jn+MqM*Simc&G!5Uc_N3FiQFFBu%LS@Jv8Eo419+Njs3l` zsT$&)9G}aoz8g5SvEZM{-JePX&?jii#hZ^e zuL=EclACUlPUhOq)buL$o`~sBf3i|uY%j>ZDiW&@(S|`>Q=KVxkeD>FA_2uCaUTI_ z1WvPw^Up;3SU8CVe8YX#le5(z@8MX?2I6)67K5_4$7@g=UIG;TmT3s|Wvy1ly8a(5 zCeLcyqj&vi7q?pUY|&kI2|9tG#54vl6A zAVY6BMbg)LAIEo5Bo){dK&e{ofq40chKt`oHr0FUO1iAigegMyhD#oUJJhg=;>twp zq5ajcU@6SXx<@_@39TkfH<&3@aAUI@p?Z2(!rT93TJcG?#P$Yu={p0kj=4CZRWw!i zd=~};Eg6NHe2EZA-O*!6_zSKE6lLsOUVo)qgm;-JUyDjKkTo!hg~&BuHcC#V92Vvp z5F8LH{3#!D#{Z8H0B(XEiHVd4l_(7PrrpCbz+e|Gvx45M#5x+RSHx-Bn3O92k3rnW zNGDPrFbH<3SyBrwh<_xVa!aM?r27%Vki}s0At$gbMvOhc#l<5czL1z73(3L;qWr_3 z@rRMd|NK8QH2y41Dm;e9#G;{1_sT7TWFSHm_-AG@Sp3fxaqJy_f2Wz2`KkW17)MdH zu+;px$Bc&5$h1hXPffd|c0wE%eEVdc(E zUrKNFf@8zQ7)?tmdJbox#_@bjO{kWy0gd5&wMLY@@10LKvux@$0d3{|T>EiSjl8Tc z^dV@HtP`Jh9Sf_=eq;T0FQg+bwvVvEM?Bc7Zr;6f>$hOr-uNqR9IfRtwCqBUvNty4 z$b0wktvEJ&cpd*0^y=WFAC2q{EOJ^-EXlw%o9gI*?A&nj{w0s456DBVw}7YXERZQy zPV;BCWXjW%=MF5Nj~H85KMuX~DAMgMxT4kxiTM!OW4_EWy&=qR@T=UbM&HRPSRoS{ zu!x3<$Ju;yY0!dA(KR`S0gaLV_SigQ(etB6Dt=EP{DhC^vf8JCCG#;3 zjm~|+rhE^4gii>khBSZjja-&lm|kkWt?MWDS$}DS_=b0So3jEECj#OWi6wN41#j(* zeS0D~(!cef4_O`qT)InYQlIdkR``SHO|5Xe;@%a8TOr)@?C?&sqnRGmvNGN`&8C8j z@D2Ri9vz02B5&Tg_M>a(k2DRqyV%{Vet?{71W1y3a1rKvQ!FGICv6?O8x>Q*vwQf3 zLsceq(goi-gYy&pT%rH$cIWmz;7?8DJZ?BX8Q^ZAii2KC+8ka*jVlA|<%B*Vi3IYA zJNm5)i-J=H!Q=_|3jZa9dwYWdrb*eUxl##9**_trbDJZ_@tRX_2mNLKOMG-HqT&a^ zK*J_L{v*o0;2~IRU$GAI++XIu#7Ae|Kj#FG`~~(OSySY6$bDpdcrLQP%zufG zPRzgl=-mhVkewd?*DwEd(tn-wPk8+|lK#Qo|6_0)+T!7A6pX`~s)oqw5CjZXGIqEj zm@Y`|Y_U}ZbZxnXg|F3Im6=WEUiSvoZw~_5&QK;QXS{>0I-kOBFEMGQ z;fc5n+-MA=sU@53Zs+wb5aUbT?(p?MB@=3DjE@P%u;uc9y8DepYb-UKDcJ}~DYg@z5^ob7+AG=j=$;Lu!C{Vp_Y|73E5 zREI$ROl50BMT<=*hd0a`Le3>4UV$vturxrE4Ny{R84DHPYd@?aLfM` z_w#}c7yqY7>|06ChdRN9GC}?7lil54Jp3w|7(7;+9$MFzSB3plk?ez`jZ53%^RNmc zYR7p*N($ys+G%~vr~jVcb|XT4=nXzGi>92+|Zf(K9cXWMO1zopbJ>DnbP1A_KqKs>p(rcK0+Vd4>Q_$lTxL0c* zDZ{x66yP+P8Q#>sL}`2f@y-f8e{C+z;l+KGZ~!7`(!1?e!GmSP zwOokwc;j)p3QCo*dF@XOF7abTkqH*cs%WbxTdjxfI{VK%3t!JhTMcM&oI95s%HBtZ zc0g(HqQ*?^5b*9OIP`Z9g!fUt^(Uho=Dn!aORY>^XRfw@I3%n1;Sji{H>#y3pcNg0 z8qA!odwM%>ZrK~-J^%WAd%Av5A|n&AYNO6G@S?SFTKM%FXfmd1?NseN3c^Xr(pkgeJNk(S{wWVZ1$D2oTflI-Qtwkr>M~No|9LNC3ckKLk1^~(%0pMrw=Ku2cxX%pBetu9);6Cu=~MjUEVZ&LZ_udfbL3okiEy;_Z^g=DFtXzt zWmmgH8^C~*V-~+1C+prcO?d{c8Qjh4XM_;ONtVb3AiQ#mY#FY8;Kjc7f}1ZHwt}Put-<1{n4Tlwqss-idAVPoaDswo}MLw zSQp3lZ~bt{v}uh&kpI+KbSK_?y)0}oL;iNIy=H~RyWd3bIw5Q)xU?!pl}4X82B*sC z%%O2xxKZ5XtLgwYT$a*~I6&_P=UtiKn}Qm109#9CT)BiM7ypLFaao!Qih^#1saSqU#!S>@ zTgS=e+_6`;kozc^xv->{yTLu}`}G^~%{SF^?ErX^{uFN@AM=}nWmBwBxJz_Dgr7R| z1Na+sxh_HvMCPwx>=ScGl9M)Yef1`{l}k>*`yI0)b^ZiVsU2TeX+e^57~dV^pz=vi z9C=?#>RRKVviy(q^DW19&~dEVV}KR~pf69YOuQhVx&zr+IEr?b>>xf(IQb`Z)D!Zt zv`#kAR`tRTTRovKrA?#qM!(ZJJ*ULa1H$KYu0{-W958ry$J@H06nyz^rQ1ZL_TbYk{@T);3%;E0f8Qdau=Tv?Y zh&{L?6_E`5?z)u~@_Xz@zBG@upr~9nl}yHq|BT>$@v^oYw&;@2 zyY;=^@}@JD2lrOZg!>fI^-sCViw$1#XlIk-iRJ;ahG7}e^rI|e_PL-&OQ;~8bmZ_S zdS{|cHL@PI3NB48uE9`as9euaNoyb79SOpyx-_dr@TaQpg$i)DY(%-ojUx~ zA}p&kUMzfXA)M22CirMZZK<3y7L!0SDeSD z#Lhs)rSQkuec;2}F%rp*PT3a}N!^+~+5c3xpD!!E$hPMt7OVFj7iYKzDtk?Ibt-?w z{`xK40w$RYu>#MZY3rNbUdz)tvTxk(kWu9!t=E(HZab)>F7^q!Tt#GW=|5F*qg9Hb zQRdStEYFgX%ipsqJtBlZ2ErH{NC@0)1wb}R&cQ{h>SN5(Sx6JDf+X+7W{gc4KasYQ z`fLpu$(4CialEX?I=ziie9GE&gMbhkvtM;KoqTcO%JRJwzBd4M7XpE*& zmy>cCybR}#8Ke^;>(?jkO>`Up6xD+An9h=I;$s=~(?^#2> z(x7`J>~atFh&qSz&0SMM9@*{TyCuX}Fe78u>xdXAHiXGeQnFHHyeY;^)H*HiF{Zx4 zS=6>6u(S&Bi54PAXPg`rbDOH|(GRT+6$r(mI@5@yL55wF*p%ZuhvM!A6zm9*y`B;J z+EiT=PA=DISz2+waL6_dc_K@cEmOyLs5ivc2`-q;v|NAEaQPThedc5HS=-uE!DI=4 zOZ_ZJaHXc``4m)VGa*tH!A~nwI#pC#R&T{n(#^_W4vQ2Y8u1N~GxKZg?5#_~LTDbbpf#=>a^=8MRqx z_pG7wygSSBd`jws!33Uja6RN#Z@}IiFA2H|<*b9eJ%MNOYc;jDTYCJC2-<$M-e;f8 zm{(?p@|6U8x4KFB1HL4e^6jMOZVbT6`}yp_Q_P8}{5axblTQ1i&^K;!)hvsYsryQ^ z_-+Bc#>i=`^+bdZXo^VuO&3*&i)IArxqxek*sZx8@C!9^RSC>WarK%o6w#K+&0?-F57aN+R7Z_-Jrdoc&m$u)b4Lk;er?K z_k9r&)X~3PgcMc9?|FZ++HRIZ_i~iIkUt`=SZ`~133A9i&+$~s@o6P`zB}D$k|d!o zJ;dR{8NMbSwkK@(<~KDt9S&zsM1F3Z?rp+pc*$i5g}fFM0N*pV3#C+n{v8if2zwoq zDk@r04Uo5!7BrqrOhOe@)o-aVIL@|R^t64^`FwY=Qm%GUW}|(G9CR#Wn6o*2MC_zK zduE>zyNI>C?$M063_5WR0eqBp9%KpB@2feBnqjTwaEFU#UMy3jme#5gbIxv3vZ3W zKFcJtG^%lt!yQP6H2b2()8q7*<_qs@4{ z-cvgU+}qNMWfzqdzvm_ATEhd?xmHJvR`vP8EWB!8vV%3sW?~cZ>jURnVE;QKzxnWS zmA%q-DpZg(Cmk1O2=m&|8aVX9AJ+O0)r(6+4PRS@!A8>>J@6%bVNOhC&|irMu_wBvi5WGP$p zcv?Yn`BD7%i%rvTr>U(hsl!gaIs|YPQkRWb(eQ?cfN=Y-3t~U;IWD*4eV9sVP4JsJ z7zFXs;XQkA6o+=GW(vJ`pniU zu6uaIBsrMxAI?>lJ%tj$?LsNDq11urJlp8r1(eBbFX)1MWY0H3Traw7Yx3O}HlE$={?WJI#8%CS2g!bUKLTSs0Y9&>G zQ|Xeq?C2IA_4A$`as(5cXY3xy`95aBU)vy;ijIE{e3{Rx3CO+q;(sHGPHSsKjq^gC zmbkYBKJQ&Ks{A=_301GB{t&wAx_a{SL#hyXT?gBOv#46CA#rNljE$=45b+O~q9z$} zN7bC`F?g!Mq#j!m3dg#c(b#Lks^J>4#$J&}wJhujg%O)TrZiIaZmIkqloCiUsSACr zT&T9UYhN@ElH!VWJ`e7vRM_-_+XrZ(Hx0DqjE;vDU*R-j@;oQTFgUJ}YzjQ6UPp%j zPfi;~$-P=2F`f%ok778$5W=T_B2WnvF(7C++0NGI>RQW}OUh3a>FDcQc3sV5Lr?JN zE_d{6K}&0c2jBT$6YBW8nSK5u^EFiP8VSQZTx+Y$EISK9AI4%U|9oYFr_pkWQ)Bt( z+UuQZ)v6Kq0(En|iMyoZ5u9f*F60f{s z{V6@oe5>x>ctfP~v1JunHVRCmazEgXVKXzf3+AX%$TsT90EN;)9i=J-+fVSFaB(o{ zFePMY*4Yv4a%1_h!JR9dv34&uNXi9!sLVg;v}jwgQDe0kn30(bV&|N8O}%AY0j2D- zTM`WPvTxDjLfOOGWvqY~oapd@PjW(P<@3!|=v7!V#F7%J{o!y1^&_?1T@{x|63-)u zn(;oYY~(%`rUTEE=@l9zqw8W7DD*Vsx8Qd9GN!3D1(mEt=xt~V2&05hRHKRYrW2#Y zx{n50xQkNfye_|LbF(;ZUAkX5(SG<;xLKhm(I=hOr7aVhHTzS@6Sj*@0pOgs3kj#P z$Z&=$lo^JUwOn-6^sQ5=IWVHjj8ToEX)%H#k}SbI>N?{^!I{yO*-VF&#gZyhS=O3m zf_@`*8`*ci1#Q*WSf&|{A$I5QH>3Fk(L5ooy&W#Z7s3P`xespR|C=Jpl9y7>9S}3fE3p=O=5%p)v^D1@ILLjQZiuk=m@^@PapqcWz0dR}|(@tPCI&|I&IH?xAh@+71;7EnckjIa*G%0baPn|z8gESKSP^~Z* zPsf7}@ku{31%I1Swpl+B{$tdiN)MeUTVGR;s%nH{Z6;jmYTz;$Fb!-VScF|oqyBV{ z1U90KdIEcrNR^b+QEps$l$;)}>J*^(UPy{41tC(Yq*;Rz1oMwl=OiV|3fMg15SD|> zVNF#SGyMZp#c*ev52e)1?MA}pFIq8JqP!T;d8ZIU^_W3Fz?^Bd6aR0e)6vqxzi8k- z)kzq3Dxl(<`o(q3+ZD{Kqlg^g4JCGy0F<}|UudsqBsG^@u!GuQOy4&G6~CIB<&)0y zgMF42E~P3}naO|MSEB^*x6I2G=3@jg%D_V~3Mh(}kq+Po7iE$LRRpnCIm_r;Ca!** zzF2?i%>#g*_C3WriOOH5(ux;BWCF6`{X+PU>R+|wV1=shkwu2|a|)2oqwhwtW#gJD z)ox$#_n`9T5(1`aKa9m54wzmABXci<_-m?QzlMXF?O$uP61rBc;rojMpD%Mx-uIeP%*lY zbYAYC>r^Lf{uMH4zvv1)wLJO&MPx0HX*cT;zuRGpac(4R<-kmvUVkxQR7{}(8A?es zInz{BOFq2GMMc@l-bk^yX~aE(qIuWiM)`1w5k$3&^w5nkPscf{3elcIc`%kD{fK_* z@SAl;k56fM2}Yka*+IQ-i>k@x<2%!YTc=<{n;Ye;s!!(_2WBld#hOm4rVaoJ0{P!R zM|J9J9OR~L*H)k$zJG+?y2p#w;3oLF96NRl3fRc3rW;PFN+Oe>yV{7R84U+UK@vvL zm(S3KM(7{N?eoZrb8i6`xlvAtR@UMFbk7n+<109nKl}BCwgxQeZvUAbl6>)}{~IE@S(rgqKmUaYR9+s;rx95!yrO0gR9en|H9F`5)$~w4AbtLkKtdR= z);tMATD;TNB2#j#EK+t_noqwTg^-E@KA@NE5P({Z zKH9iQ!?E}emKDGLC-gQNYU*K22iiWctcsiZ=87VcBJvML#vp`b?z=Vdhgi=Y6iZ&2 zniEH(oR1^S@>vv6PqHwfzI)|{C<})*;mUIFKxx1gaZ)y`3jPhi68yhdSz981q16fa zH>j)r26ZXeKag&M1EX1ZH?qJTYjnv~c+$6~Ny0mw#hMZWWRlJc(0nrc@)3c%ny8bU z9}!p{_!rb6{{c1fNY|)DF8b-}WPoBq&sn!8s*Lc5wPb?-z>k__zD!Zs=cfE;UAB(p z7v&i^kx(k=ov_dUVDFxXEwA98dl}CvwHk<26&kU(Bxc94|BBXu{;^rH(!N=7kb{hC zWEWDVoZ^O$KPl|8ZfcILYB01c$$|ksY2`w&1TH$dkpN>p{`}|@ZReq5IpH^Z)h2KZka?`WStqinJfsR3_$YYt@_PVp#2m+} zGkmlXIGvx6{QbCVwQ!SSWD z9mWGM0y*m9q|=h7A$3V7cGU7g{k+YxEmhxJ>dNB$hl~kVR88qmb938A{KMUh5&g-3 zZHt=Rvt48G7duN*`}c=(?hid|16*~w)^K(|>0AzM&6E_jV z{ioeDxyeta#zO_qu;&)YzUl0yA?BY0R=RRYTTB`nc)y2Hu}p1UGSQ3bx^1UYPVLkE zR0Y?eErs~x#bZ+_aElk7ha*;7YxO%!c&Ioc>MfxBpa!7_avQ<($c2tDZms*s5B$pf z5wuJgjKYJ(2~+X|Pm3Hs2o0YAN+B9$+jarlr>4MD@X;OX{uCPTK6x{0v<}-hRjkKX zhBHcBn@r}Vr@a_AGqPY_F7fv+SB~2%IYd|^)0y?_>k)^swSv(C-f;#C@ehP@j5R+n zi)3m^(KCuUTRBC^r(_~cS_);Y@h~y%$~)84cdjG=#IKOwcBFk#zgoKsJd6*@h?U&S zugTDopnfkTm1y(Re-TMKbD|JfE&d7C3wy2l^JI+n8;4I|_s>ekO1^~@)$Ehs8ZxMO z&I6{YGjrj1b(D&_))JIuqg?pOz6}r$sdq}!hdiX4_)bj;;1rrFjYA{9xKv=qDfeVc z5zX9$-t7BD>(Stj@gO@3?-c-A2lJAiGPN3R2i5S~z$z^1GDthVO4OdA1!0~!p~a-{MhUi%lk8Y1NA zhf-#dI&t_%{OVBx)nXx7Vs8*t1-M~v+2d^(uZ=&_ZM@Z_dU3@RN;pzcBvURoVYzmm z7y8>p7t?Ug`+ueo4?jm%$8#@YZN^|lUCXK-+*+m1@ilhJtV+Mus1|BrXx8YnwBxnd zRy&9}*GbCPs?0QHRUj=`z7P^&!-GZVFdRv(vk4YRZ{a0MVu?UsBdQELUkm3=@lBGs z1)gV}v)j6QFaxaL+l{9?HjW)X#>Mr>g$!Se445Xit;BY(U{%Pt&#U8++D=1d zt5xFbCacBA&|(3XWBPo4r`8o!#zd&bl$TnuZ6@s)sC89~JFz7z#_Y|bJ*k;P8CkZ9nucX+IYJsv;KN%OH&?AQp0MCOE|2ecDJWy-FLP|B#)#5MT*RhcwUXG~h@J9H2%+e-8 zsw&tDGYV<)z5}A$X+qkMy}xzNxhu>O}L%Vo$zff*^RkH{Fxvm^X?& zCkNiKuz`W7H$zjgA!;^?-6PW>eWhU+7*FhpH@fLJx|84JpS4tzDi2JBVje@&(5_L~ z0#BG{P>)7xStG>{?M&~&??Tgroj<+GNi`Ey%2kkq;s*t$G(U#!Bx$)r(XmnB)zIc4 ztA_h-^^zO`dcLp?0_3w2DO})@Qi}GEIH)ox@y1?sE!9^CDHOzY4M($+UCL<6VdwH` zPE=H5>Bn$a2bQbbOQ&clU%zK3!bTe@H^igP-!mx{$W49TOOE)aWRu{r2*MZmqEp;~ z=qzpm3_QA!RJri0vSAzS&w2_&JhFulP)e)VvDh(%KZ@|qHm7&w9*J{(H|>nMmCzOZ|? zqE?52)h0C|ZZ_t6U!O%pp&#C2_=K$Wa&h&@)PNP`ZGLQcW>LbZYM4yB1DVH|6pnGoMcko8j44iZ9@cD!8N@`3nv|o-%J>r*D!81$a5U=_SKY-k>t4t(BSe#_812rG z6FqLQC->elthz2Sf$@YiE^jY>#`ae&pE`>DUXJ{x{u*1bn__-qM>Ad=SO7dZd8Y;3 ztDR)y{0%BOS^v|px-8yHEJwcm=5#uTdu7NReC0xAWL=hF<$x+*AwNZx3(MkSogJ%G zh6mS{LjsQUwPs2$WxR{M9`7GwdYXc3c&oERFDgmX1d71sd}J?4enSM+h~Z~wRzqD_ z9U5u^8)(2|0+VSwt`(A_l=JVlh#pvZyjUa`;}9*@s|@?aKXLsY+|FelSGtSNesjDT zc}=G0Q8vwo6;bDh=9|V7VSK6y#pT)lr&jfdVN0!Z+8tM_RE~v4uejTW z$|udAT9gH z*YITG$#;t)Ats&Qjsi5`H=%yMX+S%lFHyCoGC!Z#Dywq4dkU4~UCjce4^P^)<0k+$ zT3n9V&8E=yZ`rm@jX~Wwm5y~yY0E}aN3xHC7*YE7nQdLtiYfg4Ogkx*r4Yw_$;i;%ky3L zJkeo=b+YWV#wx`Q>^lFE@ask9b`-SjXIun3=t5-fhYYg`PdwiFG$bFZL8Kv|ld*uS z@y`>MJrqC!1Hb)Cr(!Es6DpYs%28L6G7Enc(xap*8$dRbV^w-m`;e*v%bu?NJ9QEA z3XX4O-P*m+@_q03H!3x#%{okg4q*rhHZ!4k(IM;(eZ)7c3d~Un6TT1yi{zW-N%et4 zLZ2T?CkUPkL(5#X!}E}?G&&6`L)i0Cqjp`reJg-&tQT$3(T4dyml$J{eHOU}<~saW2U05c=5i&R!C~2uck7Of~xdg_?Fjq9p> zb(HBeO8Tjfz{cTuhDSHc3bVs(#CCLFss76&*K)Y~s;yHC2cV&yj>o$YPlM=%$||;g zmD+hU^7P57@n%^qIXE-c(U>-W7%(h!7Tw%Oq%x!hfFHlgTA93_l)4L7vCQT`CxfYX z@fyZe>!aGXu=`yKttP&U3&`Q3+2W?y!=E;68f)u9>}EuyvsW3y*fd_8T=xFOk3(pV z0?;*ZL6d)KA04-|8_L;>Y2Ijf98V?E!99g8lFQs_xyzg}EJz5r7n6-{^jHBfai)q zrVH?sRy!7UQd{69jjQ)jYB=L$SuP7Mue-FeC`Yic_Xe`H)r8lMSElEg-LNDR$*eB; zccjGSiNo6u+7#F!uka=(LTtv|97`uewTy|!X1Wjid^pvCsYUaxUMX_FR$7}uznpD0 z0KXvmC@QTWmg(mb`Dyh>m={M^A8wcXh1Zm@t&U!4hFv|l>rB26Jr@+(>a9P!xbpEY*!*{dxa)IBS#bxTdVM8TcJ z$(6!gOA@gb83E5umK!+z`Nd=SVNFM}V+lQP(3c&v4&w7z$9h|egAK)Xp2Pv?C((^$Dt2+tR5gKi0z`)Z>JsDaa`tg?HR%=jdz!>L z6%r0&kAh(aq5>^wb>T;hcS;eUKm1yF6RHip^nju~e52atn6=3NhD2B>e znD6v2FD3IU3q-(F2N%$Ql_#-6!%LVbCZz4Myu^?$KZO!F%Hs{4Xxo?}njI>o4OcBd z0r>sXPU09k=TDfHzuC!TohG&s%ZT59-!XV3sbIqGZ}ph(!&i?`H5v^9@D}G!NQfIi z6oYy0jMM)w0Lef$zXexwAT^pY$Xac|Dgfe!DZ_aOYxmM)RfE-^svYid1Fo8F=e(U$ zS7R{J)C~LB4x?H*GKH25=lfb~BF1o?64hL6m13-#BeRp^-PeGOIwY!#tVqJxD=-q7 zb$M)=rMZMJg0@HXJR5vehJ**YI$My~is=7S(v}Lob$Hb?!m8Q}Id!7y&Nmj=LEhcdO zT9#JFlPokmHrk5warcTKJZ9TH_O>;8a(zJFgtB&a^a_aC^thW3L37Rqw=c^--(e;odnY6>Y^wR$ZQmKd3y^%&jQOIo{zP z7!b5eeZqIy(skB7U9dxCjg=ldASf2rrMRk6q(Ic>%sT^NsRD^(!-yZPYnC-y zdE%K)XqBo9W=#RkDkaY5=NzsMv$)E|U6f|+9@`kKSVPSZTC)Pq@w+FoMuoikgzvI1 zwm8=`55@^%aG(t5Np?K0b>?I6+_6aQa6LDHJu4E}lVr!E(6Q$Q)hKwE?OK)~uD%1k zgNMQy?xS|_NwVY7E7IN$*CBqnc6CR=FMUI6P+XF$) zM>UTQdQjEH*hNlV^#lJ>G8J8sxw|6MoK@u2jxS4#O*HHj#?(E5m?LpMI!iXY`z<)% zzBkVzSeb zHoJRz3$tXiy00>{`FBTi#kk?_yxr(%t{6AeeW#(#yE-^m%w~5V@~$k|yzS#WG?*2H zsN%Qt@Dm>BgYrzh$`tp$ICq|zWT$kPRXbxTr*?Zy#F(*62`pS~*eNaCUm2`hQ87I= zVcnz-sX6H#3(->d!Tv@x<;P&`3Zvh}PRef1zVSPbo~>)oFt~3A57i2fl{l;8&z$vO z&%krBB%;ds0%vxLJHVKahm>*e2dLO?^IWH{=}uiOf_=8I_6w|CHIKa?tb9Y6npR75 zocj;u4zM|z=djM8z)W#vJci3bQwHk{56Mh*pD?CN#7=>hnx#B;PjLMdQ)MY2RAk44 zu_;jAEG6rSri=oE%oO)dZ`cX6<}Gm7v{e`9H(M!;L04GzY>d4VOj@O4l)6h9Q)Sz4 zbJ}iy*OjrPZI9M+n%5gd{&YEKvwB^oC{DN_c%Ppv*`nQl&s5wHV2H#vGy`!m+qB=`Bzq)_H5%R}P4ykdf~$7Az?6ik(M*-syWrv_nWaL7I#2cM$$ zB)Ohq*A=%%y##vMtc&y6mW7NxVb$)jb1ZIzaZa@~hduA$GterP!%nt%$oU6QyV+8Y z{mZI7?plETZ352iks7|61_!li1VFnX^4<)dklyotBOU#LTvH{*WUx-_i4+BlD1@hp|oph9D|>u!LibGV97= zJ%Uo9jD0st4r}YkR74LvH^Z{fV|cfQX%Bp{1MUclra>Ketoby##EW|gk#~)Kp@OVT`1#;?;K$Y9@6HCLG5A6IU+YKmBX3@_kn~mOQA0U zv7q*2)JJ%i_H1iCc$;J4_kf8?ls4lX9f%gDN_=X=S+p-cv-%W%6v#lIuN@2_}C$P}nA8Uzl28YCXnS};p zhj?F1?I?7pIdR2^Fn8*Vu8~xdtR5rx*L_DW>`~O zrC>nDV(ZAa^)DeKh7z(U4qJ2Ec=SCyF5Q^nzi z%ExypLn#sgLL&(i&bFe2$J$yR$N5Q4oWIiYIFIdbu@`pdthp%X^Mi32?ZV!Hr``oJ z%DXvV?(A?rki~fd)}!iTY^*iMW~`PoHr;9A!`MsCJ(HWP{dxGQ9IIU(>tS>Tww?1& zgS~EL59j{~tWzl!og?)&hEM4Qvn+cUv%fXZSOcf+pF)43EvmmbcjrhooH^2FXO48b z6(Jcr58`TBn%&vg+s;h*a|Ve@RVl(IWS~935TFOn4_Q-VUU$A!pyToQ)u;V&3y88{^ryeZtGslvW znSF!)3eo6dMF4kSow4~rpQ=)f-S4oj`tDJCJoczHV`OZh6@TD+{a}yT3eG!O_IT`h zM~^#m=ww2ut2ml$Ir1y=Vu#~mZ&DtW8YEF*xSzT>SfrYwnk6J9)*!e;VAKWCiobl`mj}(`wV{=o2=RyYvUllJ{Sv>W+v|Q#7|+0 z`|OciM>EDc(`Jw1lZ8w@@Xc{&jrNSA$G+D8*RE4OwfdCvJ)Nkvz_GbvYpY?-ssx`E zV`2#38Uu#oq58sO$5}CxG5dEAq>d=^c-OR<0)!8=D$VeOYG+Kj$Z6^M&RFn^ncA{xqJ7eL_J(*UKEjJk!97nrRNFmfKNAex z-)cKN-`Gll-w$=XX(uagz;B~C?P?a(tZIjEv00iu2Jl2|=KNG=R=L^nCj54T*~^T5 zWu+urK~J?TgsVahS1Y!HuJ8C)J*O3ww-12#d7AjZwJpcv9&tSG5XS-~gSdS09r zMZSc8YK=`Es|V{HWE~!ohu=6{T_&>)nVJ?87;|M{`^VB;!(rxOz)YoF*1nhH7wVpW zl#?=7!gr~nrD&%@;vw--*x>uNl43HPv70Q;!#vZ939vc_>!YRMaVb{6tMBz!PD`V; z=eCX71N*eIqyFWwfzDX)xwAf1cY0Tj&8QWRZKdC(HDr&%LB4Mby)!!)Q_v!5&oaYE znKH;(ZQ*qX^b1ug)Acx|3iZHF4$O$m6nG|Bh4kQa=c0PHu06}F)dXX=Is0|HIcu5I zU>r1Kizn`aGusvZ)&{1^zW5YyG)rY~Z}7d~3U64~e0PL}%xLX5ShMAd?S?T1j|z@x zu9zOqacj`DP)=ja6v-Vk#h8#lY01_0mL9lj<{%Fuk-92-pUt!xzDa70G9EkGvIk@= zo8dVFXmoJMma6-EF;S+de5?;sa$@!~=fGwY$|;$+rx2@rB?Iej#|!Y=l$ft%O1OQW za7BCYl)yTr8J?wVp@H^AYp?7clr<`xakgWoF!tpj^;!A*3uA15PqLeOk+tjSv3s3+ zcX97j)rP&y*m}beWXfV^&6uQiwU>+9Q4jpmV#3-@Ccu4_R$PtSxgF;0ft=y2i7v1> z2KNX#Gf(wB-;ga8+X2pX>}lrMGsm%Kj$@D8F5F2r@qyoYgfGLGDY7@CDThL$nc_J+ z=N5+0Cju-Q6jlHed0y~_} z&cH-#jSp7?E#zT7Z=sQFpY}GZPvN(;9D9aab-}%|Fq1KH_Sk`z%wNCX8?|S(#RM1) zpm$6exW~hp$+WUCgy-Z~Erq9}IC`$LQW%?PrNF&>mOYG3vr=F$*D58yAp&CJkP%7! z1{Nks*17xGy&=zRX$gl$MB45-&yRw;bet&K(eVpmNbn+S$LG@Ef%QoX9}PaKl&WuQl_QjB$VuETzBc?vx5 z-ASp~F0suzxF&9SH)D4=_7pj_Uv2rtU%%TNMRlU%l{KAq{p$E>SEm&Xttcw5U`FdI zvU=Hbe3|nHoL;t{&XjEbSv_wM)CIom?xOd!>MDu3YJaC?GsF#486472yC?AF=|KrZ zMEdf5kYeHkO02pnwukTfsq4#bidzcqowF?D{Bg@d@OLZ46HjF~+r`+Wju-4~m4c_k zS#>e-OrEHo;f~C^jvj1Bv=oR~@fr69O&J#rH>L9M;k>Wqah_mo)WY{HKlKxTfI9*xxAh#P_mI&Cu_TJ?hxG2Ho&>cMX_nJFH_UsQpD z;*kE84)DPwOv!Wymn@_FeF}U;&0(ouq2Emzc=E06R*@*{TH}<8zgx`I6MnB2Q>BIR zb(t-NC$2mFg*Qx@GMqmMEihB!?jcv-TnKB&Gd!>)+7q{0|K3@x+rRG+=LPL7-+`y+ zS?q;7?yPHMf9)>BGfungU!aI<7d~Ow#F?=lp7m!V^=$S!X2EQ zz=l@s9y=X0V@nke8EXM8jaqoEHEV)j(y?SbRuLJvw-EHGL-yc5ojr#;ovWN(oR+q5 z?r+)F`s;nG2N}D@X~plBSHja)EzgCgyE|-I8T4M*gJT_;5l+enPRe&y3ViDaQq&=P zXSH)pAqmdY9KW#d9)XpD(+c}rknlWV$1mJ(Gkxgbe3gUqSf>@aR_$@|u)no0!Prvp zZWAB)MJLBX``a;`*AH;Uk`mSbST@6~z|zCmdseK2dnO%wehqv_F~MWgU^q7|gmI=; z)Vtw1c&npvmGdhd%}+TkU1nM6v4f!^Gj71s5`q-8AmESFQuoQXE1Z_T;n?$n)6#F9 zmR|4t9>>F0OBuTiO$!dR5O`>Dp0SG|-)t8=tyf6OR4fI*%@pWR$TN1Yg%3PGJSc^t zvM&7fC{we?J_~RT_4rn#W$Yd&8h5i~;7KDDkb(8G6OH$9qA?7x5k|qcY+&y+XnxQx z^*p_>rEfTTnmBr@S#v+7Q@%^Y@NRi^$Q1Pn-(?E+7aj^jkGMgU7 zmrrYv?NIHS=iqEV%bhXfcK!ejcdWe8iGDZ|MR|Az$e1adw+Ijo5t{=&Xv#3>w*}o* ziENQss)WM@jffuic{}h_TdQ_S15=NynX!*8&2ciA7S+?(NpbZoax~+WP&`l<^3Y>& z!+4}!@U4v?U&%0rcf~|&uP?O77s=-@Kn6dnQZA~TPYm*vj4U73taM~s#&Aif0&Rwt z!Zjdu$X2LN_?{_cKSL;!%u%pYp$;h%e?=_X_UB+G98EzT(G>i~N;G8(G%cE9;|zW6 z$o$*dOUYp)tT7k%6CjL6H6OW$U00s?Cz}g(XqoEG$3(f}uS z;8$QQZphyYh}MNq`8HEHZ(?+z?7?S(buP+b3d96U2EOqWa3hqt($T!pDrJ0xQ(2e2 z6UZ3;0uCOb=2bymn2M!1KLbyLL+aTzs2vlf%#KPRNby()3m>>X=%9*)OnhJu*4hz* zJ7=t3W^AQ%KQ_+Zqk16JMKp7MbdZ8|N}KJ-|JSb3_O(_noF`e0+P}IB-@SEOTCvsW zApsK|qKo=Y9BZU%~5GFH@rCz3g(hFUWR_$>)5M!~adq&bE?r#hvY zTMUm|-JIpvvx`%zk<-#ooW7VGM1T;V*BoEApA!h*yK!vZXhmAi?eA?d*1_S1dX7DU zBBRZ#fxn0L;FH$WA$?grYdVy%uYkioi8G$M&#?W(K@6W}gGZ>@jgYORhcRRJ&9wD!Pk>PeM?KMD+#7n;vo^WF03$4sjx6{;qZ}H(wrY z1?O%&yv*@0{B}dM?QSVIihkqV^-&cTx~3k+_I6@|{R~OYftaEcVkG&4PyEAA3i%v% zJAQ;irN9itVrEz(l$lhoEPNQ7QzI$^cZ)epIM0f8oWEktmf`7e)*6j5#77;n-|NAn z`l2Zf)kk=jGM_@bRH96&JefKH>##mqm%A5t0CZ2(9)QP6!Cxp-htvZimQ0;MK=lqh zVPfQqB|$bz zZSB3d11vOL3*DA7=ULowGMHwz6z(H(Qoglt29I-6{@3^7q8Q$5&6-NYGfWiL=y5sh za|=W1$5B1@Y=?7utVEsB9`so2AgW_d;N4Dy#IXSL@sKj|T7QA_h1&J4{c6hx$bg6N0sm$m=kV7R{(`IC8pyOWHyM{#yjXh+(Ny)Hg>ipc{t z%2eKtG)5$}0FNkpOG~LM~?Xm4e4Ua(uY}5Han6^|H0wQ^H@iuFU4J+RonBL`zTHZahAFEn3%0&U(<@jeo{k z0hRC_o!$6ztbHWLW;piPyFDHoX|09f8ogB)=k`p`W8)os{@1S#MEewf(b7yQ;r6w* z95%*U=fYkbIA64sePzvKa0w`)*>kS-bN;d=!&r0R77iiTnnX?a#`1l>La{k3OsMevBy}j3K@^N z_q`7aN~yXGwOTXovthcDf&Dr|Oxm+oU|}fpjHQ`#yOdlLDOIu+dFms)%aqYUN~jqI zB7Ml(cW^AM*tI14J_nEC9xT?ZY7dc6Dbf^EMs}Z>;>x%w$uu{Ef~IE9?O$zX>~+g# zkDX-o1!IjYJ#qG|a7ww?+NL>sgX653415>QvWKywtT+#AB5S;Wr-?eX-|T3<(u%Z< zy($}GaCPfm2YD4*fhH-oc&v%jil$CW53ojjxC77{zhtB`nE=0w=V<<~gU@XaKL6|2 zWuh1!?DW?-aObGZK0$w~K4omKHM3$2pCV$Gg5UmddihaD^FJL=nGbrR{0TM&L_f;t z=Z;NvoVsvqGVPJyY!yo2MMl~VPASZfmU;zjR;gGD?x+WwWttiX?cd!F$EFZ52mqGm zBb5?(m-Z}(wMU6bnGZn*Q;?MbfUVM|S$;hPi|TS6pMU6LziDOW~zD9WJSXtVp9 zN}-I>EZb;mhUd~)eC8ZyAf`+X!;#ud;e3ph5?=ie?SV@-ky7rwj^QFQA_Mj~@lahs zP_2;nr9|z44Ktxf)y_H2k?@l$RnaRx^DKNSdIjo7i_baCzWNW(9JbI1TcBEzmr zlsJ3dckroimE!yj%R;yp-I9TC$3O~*i9_N8fyBb6rBzDW6BG)@o#%|9TIxwF0eF`w z_an}5x=J8IhyIMEav1*3nprB$$5g5LEl0-fceKUS1J9rcq7CLqJzcYO zWkh|8B-Cu8DC+`~Eqo>isH%3EWu%4hoYG(iFO;$Gv}DZwJt?~0g#8dAhM&}deF48I z;Gnw7VmSON&4wrsnb^reJ)vg&WoP`PQo-H=Y#dLmBre#9jZNz3%|Gt18-F zC!&D#-VKCar3fk(LYE>%5Cu^I@lynUJE9;+5v(-PPe2SvQxNGW5C|4TkRB3RAR<)( zfls7~+5fzIX3nfxvvYIq&Amx(viI}sv(J9ltXZ>W&FW>tQ7-1QQn21~RD@6Nf+v-y zlh6jpk(7{6qs%}+$NKrYjDjjcqt-Mag*RNFAzKwbir5z@6zMeVko^H^749bCUnOMq zRaIAoT=|gn9h4@e8Okie(=E`H zyE{|*TcAO&&GVTMkn*%}8n+>n_P!ntzWx!A{|4mAfQ&B?>RS<_7w5Ptls4Ft4jR=@ zCmqs5Y4b^COHVF0D0{h_o6;&-MZ+{(1z#WNp|m+!IGcpAw7G|9a;;jN5G2R_;85Cp zHzZqxwndW5P#~ z$fv5}eB4vYmYy7oQ)$*rFGlvyDg#E2q#;gE^N`Fy0R>G}-KqqF(8-g8D#(0xDB_;d zLP3Xj2Vc6D7`!;FjSD`V20n45q8xiq>GMH{r98C4Ey(kNMoQ#K>B6AHrGgG`4LV$h z8uZGF_4A0nb~%3`g#PzH^VxvJxrr|pB>T4`C*G>yEWiJfzXE=z<=zDTb)zDm(5H_e;$x>oR&Fw zU%;k?ED~r2i*qlb4}>Ob9Flj=JEsL54s0FKrGZbmW{LWz5LzxyLC|(~a4F&0RBU-) zUucvtr=gVwLBd}ad{SDPGFa}bs;&(BIX(DVFNCf!MRIUVFAAZT-@NOjtAc*s8uW8@ zp;h`;RFLqZLPFZ1R`8*9dV$ZE3q7ZFS;2?ekcECyIzQOhQ31IjAecwX^-Qbo19DA3 zVs8|4*`CjH0YSd-G!Z#3(EL0gI66J&Lw)lCsj4p*{r9HcdU@(^Q*V7_!MUloeqo@w zHz27=cS)*zjvO8p(sKqkmDr8>HZS0}w(l(Z?de`pO=K~q&v z7h%yFm_ovo?k*%8k#JTgF380C*`Aim<3(DKSu)>Gbk~rmEuJ+y55wq_joQkDiaMoKwOm4c-hv>nlfS z%|L;qv`!&UCw;OAYf4q_1Bzz^abh7X3P9{fM^028(rO4DZGc?DPQzF1Zwvjn<0Tvo zi`Ek3uUu>`afLrlfzXR-7755G(xImrN3Xerv?@kIw|ITDkf*B9DZtI%)mDCyv0x(Wk*0#X@dUkyvBp2wrcaSO*6_uP9rIX-Wed#hMgGu?A}- z@XjFfQ-mPRAv&kmz(xYE7d~n~bhdLC#VXUZX(SLWVZAtY{!U}3dNJ~r%=8N-_AlO7N*9C{+J;mB<$O}QhPq@qLTg|` z`E!ws+kg%-chYC2wlW0q$@$P41{$WxkxtsQ2)(NI31#N0RH}m)F4oxkFO~@EIHpjV z)2VjQi+%l6QW+@g`BDsC$i=aUUqszTN+gR{xpO>)TBfQtC@iX~-ci(XDV<0m=h_&N zZYWwQQ>sI#q|Iq)1USU&;h>)-G*a~Bxa%%II52{>8Q`o)RV@*;@&AfcPKiE&USFn- z`$HS)e?r{97m)bv@{bYUJfFyf;RB&MZGv)r%?IhktZaUK$&JyvS+;*8A-2R z8|M@>opfUnixgT!oisMIh}IX*snbzH}1O9qzbTX8xatUY>7bR;d!QA0IDZ zITC%bN^_b_$>baI(C#5BTbRE}_$;BhFFMb>Xm7OhUtT{i6&h>xlk2Cq`N4U}IK8h| zD0k-w?N;uArd&0|ww?1CJcK%S)0DYc9-rfGCHwIR6~+aURSr^;wDW^(A6%|d2ijT7 z;H5G+O~3s#mAz+5zX0433#Q4#qVGJaVbGIf`e3k)vR7hj?BSWq`O*$~uQc2(^n0Z~ zRfNCG2^w6?M^`8r&64cgrJ?bSA}y-w<3$aU66S#P; zE>c=FlpBYIa^si*(d=6AVfpj)8a!leVwH2Qswi`_Jh@g=x;R)%Tejro2DFvyIesfV zg%k2w!`@fqGvkn^a^s>RUbKs?XbCsX^?x$VWuMQ?>nL-sj3+(zGS~mPVuX{v&lqyk z3)C^lwc1H1h5Wv5knp^~=dA@F+6h?jp>ua1_Voqzl7fDI5qzB=LXT@9-WGbA`xO#) z(v_lB#Fn*se$Y=`3vqrCuTCl@tg69U3$bv}&vijRaV^9`K|kk6OkqGA)BA#cdRYtc zhM=D_3$4-@aDs$5=Qg*fs`^F2C#7hI*B5$D>8Ayslx}{gw4Xym=y3(bu0cO>t;oqi zKc6aMO1oBr{k*G)S5?KeA}dT&!s%HnQWp{3R316GY{9}kPm7d(T+B^WReAb7?HMUF z-?Sd!C&l=4O4#R{hsE=ZIg%RAiWyzZ1x%?PFG8nz#-b(Dv>ssnupVIhLLQn;F0?`G zjSHHp`biNMon2FmEYV)h!Zt>vp9k9*A4Z=R31JP^dWj{ed6Db6lNOa!M*5=!m{Khr zXv*`A>HLHuUQO#IeqZpZsxyidOsSmT8IgVjLFj^%Tp}$K^z+`JpLiC^F2Y0k&^HQ; z(5F=Mhgke+N~xcBOPWF^*3bV1`?vrZ2@;pGkeB5`JuuPs?i_m*p zw}bCHs7Nf~b^$q23Fyf*ah7xbKyzZ?)4Z<9$7}1t4$V$Arew@?<-Q^f7mqm&i*8l?%gaeJ_h7lf^8!+$dN4`8#o(D^<<$`8J zTC(VIOsTFd?2uOAgm@iCGK-L+jV1Jzo@_tSSG)F!mBjiPNiRq*U~C(+$J!^fDmk8N z31ln<5=V{53=3_nQ&?RmjW2ATPPhuC=#53+Dy7whv*xC%I;G%4Gnhr0$=|)rbJK{1 z)!^fcusZ2Ih0L_mHq5`js>-1!Tf!PaKiLu(p*bSZWNd`yR~f{d{~5~g*s6^3#5HF7 z2R=uKu$J#Z!nXxqe+s_h8nYz>&CwAFoY8X7i?#7^@TDu*LB}-vtCuc<_G)ekbh40W zLoJGp(9?iTj#v)pzptDR_}axX;~g}30OpWAHU9aHhhhC|hW7x6npOL+48%Xt`J$*rVZ*Nb_Kz$r_BJ)lEbD z@M&dBPYw&Cg$goqRX{dVg08Q{0?j7_&A`@KeN^lj>{y=2dA`hqm?o|t!#IG7#58N@ zYnO8`>&LR7M4oR1K34{9>=0;<3N+xH+aaHk2#;om`lblpy)TQU(y+vybLlJY{jV8! zf1okr0~m;frAV$FO|LE!@s+>#P2**rad~-4HSN6INi#Yx=i2C`BT0=pQdKz7S1-13 z-4OcA z;KTlN2_w=;w*{GFx?dnPDo^|hRVPgfe9CdrlxQJykzV5ml&nSyFe`z5zeW$NLI7Ye5DaEm!ZF=y*s3xllA_$d)v$hBuYDNr!{A=It*Mj)nD4(uNQH$)Llv zK_C~WMY*1h#?X|2o*dJXrm8*`bV$?h-3z{G+^@$qZ|aT1dWLoadPLvwWEz~YsVF1a zz>?6QOz%m0ryGKz*erBV$5Lj|?=Q z2nbHn(2MzOqp$R21hdd7nUYctB$4UkfzH z1mx=hiG1SjyuE|3uLMNrzpSh~xS*jrg&GqIvNrS$PeyQBrlO3Lbqb$e?rCt&q(|-w z2mu9+`oNRJ!U`O{7&$TIf>=iB`H=9*zOJUC&GU(8T0TfkVNY{qh`XMeXq>MMoZ~qI zmj=$5xAI}_9gwpFqCPD2UXWhOLs~FZ$kPkwNOM>yd-d!-lllG-7D9)eDos^=G-Hhv z?4;iYU)mBjU&qm3@G+bJy1XgEeFykZq>~med^N3CC!;G`P2hpc_+(9++Un>F>>{(# z5KzZb5pIhzC$?2klxcyQ8a~VyIO7dIp&!0-KArAnQ}xwJWsTo7YhT8qDR)Pjtl1(t z4zlDjbLcrjD}IZeiL@>^lt^b$9hdv!Z!ZEt2psp_sC%5#&`RbR$mej9B@dnYl2mTw zNIdP3`uGJ+RgElS+6$kdq*;oRc`)=mSo&$+-H_{f)?1m6mX((fR_hU3sOzi+6eZ1I zAyJ;e3VrA}4qMQ#nqGXc4QLf4D=Ox9O=GIFONhzXEReR`gf0ja99f;_r{0(8u$>>bkyMIokl z1$oMKxwPs&Xk(!sL(gR5By`h8x!ZzHm7G-OxXVIJZwj$E zC0N3{gRh%|uLo&}K_kL4Kc=tUc-<0^823>a+Lnv?Jfg4kWMsS`7WXF$nyT6;q(!ql zUaKDoG2JQ1vss`yFlgi0ppBR>qBfo`Vv*AE!497#8ZYy961r)lw9Kly?4dGsV*m7g zA@oQSSF`-T;A>z#=tBda0|GL1J?JVxx`%7ApzLF6kh>pJ1< zY_x7LjGTO&klYvT6$)c1n}pRzV<<%UGI=&OacT)W=^}Agnv`x0zJ6LDDeWr=xO2R& zrlF-=!cNi?U6dxJF+{Bbuzubs{S>45bJ4e={qOUtAI&?jhz?ces=BGrMkoD@5O6h` z^9s(Lbga-==sS`RyczP-kQUzwG&fO~US&kQ=vz_xN>4_Vv&n7{RK0_)>(<4`&bcFU#}Iz-MgmRh}`G(yl_II_#u9 zgKcanoRub}a%73-tw<6dFRZ2UNIWfSLdb*n1>5*tp!r6@xsw(v(t^$+C}`*;=a3c{ zDfJTmC(Q3Wlr4JWw0Nt;6siY8YX&G^=*4MqUctGO-c1B~SXH%n;VY#t7B-*Ke#(}f z9D0Xn6l8>=Uf4z!(b^a3N4<3UyoLTj9yo9;HY)h=NfEisowQ_80`NKz@BkglQ_5Ub z#|vkpAvG78&!#jbrmF~7aAygZ#$UOB*jfmHOrxozVRh1}!8TSV>O5X4y(%qOMK1N85hc*IEvoOSohPRM!SS`qQP-kdTJ|BMg}%Mze*_8 z%Qe7sLhO8{R#z_SQ<`m;GecCKs*2~PZX5bLdxn@E6Lff3X7n7>1t=`9jYmf5yK3Xw zM@yQ60_Sr>`BR=UHzMIgJ8w~l7eZ4zA5Zg-r@b$eSNU+z-WR0z$jYIFd?x7dB&t*N;?$X!mY8^CkB|rN zlQ@A6zN+e3K}had%Y!|y zNM0Z_e8N{%;TxcNEIJ7^xJ-vP2n|s9no@nJNK?wo;zMbw>JFmB8!q^wI+tI%NJ>~s zlZT$t@i$1fd4U`uU8$(vy*a&CEU$%J5$lF|~6_P3B(e zLCpCg7V3-EF^=@{>ZBcMk&ut+Nz~x*z9toM@1&{MMDWR}b2}ADsv;m&wIa5)$i*7# zwU+U|_LAjd7S+Qcgxpsr;UohB|JyP^UDfPR&+0(|2mb5{$FD8xl{@jjaNtDdMeh zPwDc|rhON647|ohKEyuM7kv%3$23(bIo&r5?Xh+>0&9rvhZ4qFRH|1I`1B&9D{@Nd zYgSl|;L{y4d!$YG*)!d9TcvLpiLGk6QB{|}xh#L;>XAdKG|u^?bS5ba2z16drI%GG zL&xW&5U**UmrG{|NH5Z~QJzplJMRjb-p<`Ecjpb1&%jopL_H6de%e(ic})%L_xYk1 zWRc*Dx{P%VqIL)_vli!p$dfhU$UB!3I3rOnZh@??uk>Uj0|e2M1};oPnX;(As6kX9 z$jf@MuiHXP52FX3W_f+3C;OTZXx1h-pY9(CoY6J+H0uODdVUM&5LPGsFa74eX5UqU z@%-NMT;kqV2~L|&(^up659vPbcs1##EuY)+nM*BL zWL}cs-6LE)<}^*K1mk(IzYXQ;L9`wz=R+sw5RFITD#7K$Na;itvi{{*+!2t60`e~k zT`%@ESzqbN$en^fCLm3#1pi-<=ib2Qc0zo-@Z~j+EFb1d;!3$)f)4*0)`mrGXe=NW z$LrxB;SNC?SOw=LMC#x&ZR}OVf=<4jqVCimat{;b+3dD2{;y82hBeY zN4c1$m-V8*3~`Su32zdv7WaQ?K=eddRdsHV=ZS(QrN0p3wL0gl7md3T^aO9HiPP&h z!4hIFi0eiF7;?dcp!wSZ@?b#r2zl_4Kr>ztvjpT6z1R|P*nN(q)GUv;1gygK$f-e| z(Sc_7kT3QO+PFuBp(pG4j(|)G$O9o?{DWw`gh(A+rj3sm5?0lLp-hOUYR8qs`vptD z&P^ZsLm}?ZhPXe*0@lAA_gexI^ZT2F=ARF~ZWhGi{&GQ6RhtA$XqLyvYj#~ZyZ|MD zs)*A9y9E(Hx9?AFsHo6MLM!^L2J`V(6mk>3y z3eRk-pBEa<-JSHqP;NXX>7_I&U0Z}j-|P_1MuXG6@Mg#a;;a{kzJs^}1wyMsX%Z-> z;jgBGhtXV2Z#m~qdV8>q4-_;smmSjLSApi)u*PHGV9%$DFOz3rD}G-O<;GiO+{QH4 zuK3N=nN!6z$BW^P~P8+J3hcB~qv1D-0)ua%0t^ zCTcqS2w&V&gJEmIYB67~K18ACG#q-4r1Z|P zVdc!eAq6jluyS$g#MR)x3Mu$D^+Hef75B9*M6pmZroo$!ySn2Enk-U+Fh%qQ1h@Ih z5%z`OY0>1qSl%2lKKN&`P~0OvEYK*T^!$V`(+x1=6GI20G|`u7Ov5r{>b~G&mxZoa z@5r<2g`VsStx7NR2QraB(@8sL@n>H<24BA}+9aJccgPpiT&;Cuaeo(ug*Qb~y0Ivu zs%lS?)ze@Vw?>5Jc`P6_08@menaRS+8hW)hsw(bkSv-V}u)qTnAoQxjx|Cd=PFhV~ zHLOl5=W44eYcNV$j4$}4L>nNw7sr&A*t@V&%9ip8AFPVei+!CKTGVreF^4tO3q9G_ ztrV7z=|9O0h{fw&B99^PoBNtly(LpLTy0+)f&@}k6N1&f9?G$Uhz^`JEZS#R^g&X3 zfD{d`xHuL+q@IHJ^>ksYv@a=?en%BDr!>3nI4Hk#Pqk;!x1#xipu=8v99*DTuA3H9 zf>tNLP^Q;GnL%?3q8Eu2)HJQCZ_(d8O*`p$aaY^ubic}{zNUn|lby7A;5-iGa^r4``WkPwlT<~=S6(v5bJwje?cWvY)K)Y)r*T&H8+W2P3 zm6wFveP^)V14Hj7?h>6;2#Xd{KoO?+OQFqhil zi{eYeN@>ebJ8U0nhn=Oy zef`GyDEf;yX}mfK(TqrCo2{ws_mKEfK6u*2+OT)k29P{- z(-vG<8~CSJUwk4>7_V|MjgH#@WTYHn;5{Qg ztb2sB`bz2L(88Bs>IcjG!{95@3=BbU%S(7*#)w1TH#1j8J{4$220jl90#eq`(c;Ur ziV-Tkm`1;V1C)^!l|T^gMS7W8DkkLrvCPame>LY+pE;w zf)w-;4$M}6OR?~LCI|ieU4l2QMq3>td{kztg)^ZM_fDeYh>EbDAVkSnKjpfDc&2Vj z+XP?ALqpHsr=B`F6^H)yqUDepz7<7*7cx`Fu0Ti`1ya`dDIE|>l6`_j(a=S~C+1vg zR2DR-FMOIF8#treUC;zRPX*-spr7?KB~H!45ss2EP1H|p?aUSQgOhwbpQsKW!1g=DF~%>`zGJ;O^p(So)NDC`lq69bWj`gQNRurD6F$_)ZP1TuAM#XH z8M=LW1ae#Ic>QR3s5JbA-#n(B`a3K+(sb7JDoQ|44vUTzDP%?|p=3;xbs5FiNG0g< zxsm?)n3m@j(${SQ=bgh?%6CGK^~?|!c0B3D5@J@!BM*g@PBWUbPr+kIJg=XD?d*V7 zBcD9(orIYB&=(;6cw}HZ0pm*DW_j|kdfTxw^ZDdDY#PHKxQ*r7NNGk}0^$V;kW)J8 zOpPWzfmGEsbSucYN#F3C8bZrg2jHt0&a*2`EVX)x>8z+{Z_kLuU?6cHYvc@V3`SUy z^T3d1nZXMe=bc$G1zA3oP~S}E!A7k7DLK>ENkU2TXhy_3d?Fx!2}qntzk5L=Vm^Ni zZQ47HMAGZAfLudU*9tP9t*`_~E=KMMvu2Y+=>JeJ^kiRgf5A0D!Z9KAJun3>7xTGE zU+Kw6c{+DW6CW&N@ksFXctCCm$kPE?ChP}^C;#6Qd_5VE{Xt4A(RO^hOeAc`a_|gl;W46eiF2CZwUPzp@*nvBZ|o|_I$Szo&O7Q|3uK(Wg+zYf__d3VVxSn`g5Q; zG$7+cye#Ib=a)lXeva~~*Wu$uD%&ax@M9e=9^(GqEHI||V90R~6*iW6>2h8+#Ow1J z8FOBukT9jVI&0m+S0}wQn_^);H-%CwQ@q4<(}GV*aiskNf#$Lhi;Y9*`-M@6QGw6O zA*L$^nmB)YbkKa}N#b=+HmJwi*n~QtO2^3IVW-LD?8B~1^9CBm^1eKt#U@51pPr7V6V@PJ7h+Vj&j{OshD1RxeKX$Y<#EylUv5X2~UX zNY!bPo7(v%PBBie%n(FlBQ-(k$uwFg!-0`j&#WZY#$e6sjUlG0YjCcrGdY<07f2_) z5L%V{g)%eFzHM9Zp|(~)1~%t1w48mr4(CUC{q!=g7f;kYvyqP~g!Mdh^Lo9?lgGVj zUT;?9lj|_0vhSJd9fh8zm$_ruSgwtfX0#*N8OADmel{{Y0u?2#??LOxY))}@le?^dw*TCh; zb=a;f^4ichJh_x;%T?A1Ya{9>B5ez#ZGrS|Ezy34GlDXj+W=)OdRti(&tHuzg5s&j z&xW-<16x`2^RVV*kE{X2X?k23QCvH$czG&|Jo`d#R4+!Z2xGzrgwPMpnrQ4RY9pRC zz1XC(r8ihBxW)uMPYkiRNux@Y{x%PP1H!+Mf92c4-|N|H}x z5ZliN(i4RPBWIAzdNG1oU>^&810Ba=!THpW@);l2oa_<88oE_*6GE2;t*U35sFg6v+*xtH~jJA^sS&llE0yZi#p1Hlqz?s~|R!))nOLBf~9 z3=4MSs>)bn2h6SSYEe4`&3`5!qrx2YYhkV0j9d@7Q-~M#JZk2m&wUbT@GuK}aYg6OA z-t7gSlztrY>9K)k$zTcRhR`>pu6QnCN>>FwKMP^Sec5pp+@(FNikTep#hkM;_U=$S ztQRykbSq&8^h z`*QVr*|Iz?S1$;*Ix^I!Lmk`!jA?}BSx(RCweJrjo_|OTKp*;L^ zXeaC&!pat6h~|G(a8Bt9!Bas|F;G{ zhZCP%8&x&AprJD)Ls;X3FPv(d^GS)ChtBFciL#DEQrfo&E2XOgO*}2yKC$m`oqC}s%N$eZ@=)?;p2XL=p|*V}4gD;#?s29_m6KHM=i2A^Ddh|0`@ye|Uah%Di^0}}To#r>zTjUC&x=LP-5@zwc5=zp0~mXLo7G;!}hJU6Ut|D<$!;In^_ zupFUEiAahP`@n!i9Ucdyia)8e|&?u|5eWJN!RbxT(1GSbV= z)^}!A5&L>z84HaO?2%WDk+_fbq98MN&FjU!^bJo&RtPg}o8w@O!Dh;+G@d6F3j%UMgkIsWUK{V_h&<+Gsg*`f%#B zHDj&~wOl->R<_KpG(%T2emYax)U?`5ITmy7nSpbRMK7G&z5^?gQ)lS(JRCW19QqDt zWZnL$*>h%?Ltc_Zo9`v`w(pSJd@mXsIz9hiHdMhD6=#<=$V6nCZ-m}Noa@g#i5=z^ zoBz+XQGVk)=b|;^$3y5j6?48btZIlf5y=)-Pc`qngS=rB7#$dl0b(>95{*d6oVd@U z8!PdcW_^0H4k8j6Y9NFAvYR5y0Od!rK#259yt?`&*&GNH%L0E;Qj%`{a~`FWi5o+kC!P zXlOz*OB0-frD@B)kU|r?KJj)f`OtK;Fuz!*ZAv_*u5nm}{-A66e|3*lCBd0$ED88* zNIo@8j_0((R6d)KB+LiMhV-K@rmv&Pg|z{y8~2Ct4>#y=bE%`~!h}FNT6&zt zY#%~Q&I^U+YxPs(GKu1Anjt5;z!j2SL@p{9r!mmsESJXm6BLiZk9EtPZ3p&)Tm#$T zl0Y?sjY?C~J7{{fwwC+U@?oo)Rx>E2XPw-HJdK-cEG-H8DuI74^$zMzt9P&x1tkk# zTJzz}${9tc)%JmgHkMGZipoL(FL1GX8*iv2;R5Ncj3aOWEv!6scoii=0IFg0c2128 zLX0uQ3L8j+mK#fix3|*|p4ZeU{^4Gfews>Mgs>Au4K!#STYG6Ca6c~ptRYNRK*C;+v&%AUO@-hIfNKjdZ7#aaq|W=eS?eH!%8B{#QKT2fo?5% z0yWx*LY{?)2J-l}>AMI4w+USic*6x+-!_Fd;kpmq78+KlLKe1ExFfW68$%n~7N>^& z9nrjx>ClG_E&Pn)jpvPBed29Xy79ctp&RvxLZ2%%>a$I~COhqa9}1R(^g(@v=kL1d z1l_OJsQX^+2^=6%%zG^dE-PkdJ)kB*%3HnEUwddda9uNN>H($2#`J^duU)CppBiu| z;s%M<5Xty!AX-26r#u@9$=V#i7TxM$`04>=x^E%(H#I#6e4+D9~l&e|V#AK;7ek3`UE|!s+9Hei}Fo ztj~`aOdkitXEXZ2(|TQa+lFqBLJ#|p9)S9Jvp12GSrO((LDSdB0C+)qT=X^pIa184`v z0lsn5lG8eM{CJDHj-gn9f9`6MVjl@yF^a-z34d5-tEkc9yNp1s6aXy{+&25BA@@q# zD+i?U>hy!>v_@n=6US)=7oAqw06nZN`t@Ta(>JaFzNZfXUuXxj-gr(cZlHOq&<#3CBWJd zdyoP_c z|429Ec$mOy(K-5e&~rr%yvvXjYPAQ^OI z<%Q}9EhLr~G%J!PwB-IqdNuSdf{y4~G-wYe)O3WC`7Pd;kbjLgrwif~^UzSm8}c1T zH=dA#15;UiY7XHWSrWu_ev}<>1AqDo9qr5?# zz`6pey+T^9oS`~y|141$E!w1zhu8`&-e4=>Yh^!Bgr+fO4nWcW1Bw#I;t%V!wEQ5w zu@6xGl5RYa!j@N$BmY1TrQ7%%2lduC+@@XrKqBbi7vu{ufDSkepsfz3M+Zm&+|c5z zkS24oJeUE8t$&Jc%gtv9+E;EKTCHsd_I3S*{I<0GKfNyJAun#^OWb}&9&Hq$&rlqU zgO+g3Wmy|qt4Y{dYb9LkwfT)pj)9aV{cjgdZ%KLugQp+ELz@=p zZz)v%hqrm@$J$ts#@txPpu){=_{F_FIRd@7j<2FR3WZiN(}89aa;|B~kDS z`vHQ`gISFd&uE_}4nUWvQTW3BH2s+D-yp<%96?;+gJ&)vAAI~$`0U}h6KPGmCQ%rN zdj;LEMm5au(Ho~45cFyg3Vd1*V_H6u_REn^*e0hS_fLiYusLm5iix#0_jVNTWTn+RS#1!ZmE)AC^=7@h^y>5gLiKfs`FSH14 zdkGCQ7^Vk|$3X_(=?9*;&!*d~fJYzHjmI?fjonlpjAX(Exx~_LC*gWUH)POYMowv2 z9=uH-E#kQhg@PFM((1gDG!NM^@?bi_DH=d;?aGTcT;PimmED1Md!+$|>nG?oTK$g^ z1x69$rRfLHaSn~S9&uZfXr>;w{?~$#5%-K79YA=9%h9C!*&!}SyZ@zh!4qTCma@AN z0u<%Bp=$RJICL|i{eweS5p*zVq5CB$l&S09_snM9Jc2XYq2F`5I&1UDtT!5n{Ek#Q zol*%;>}#;x5c3Gqa8#f6Ik0jLeEaTewtTsU8{T>t_~@F}O#QKvp$^TL#qAgYmmC8b zBWN|rxqD6?xkdf63jN?Y&ecN$X-f=Wi^}yd{q^zi)x)&g1Z~{fQlqsJu63b@wgocq z7RapCu0|cO72SAZ<(2ix{K_lszx{>AcV`wY!o@wktG|@b2Psk<8z6P$>tFoqWfj@1 z#s_jEcTy77<2p7*3G^JgG1@cqvpxt7Yl;xs%#;CgKqu3h1p*z~!@bZV&6l7bJo}mj z0x!_4F3)Mvg8qXf1N_)XAy7XC40qgbBqy_7o6*X{yqLyTZTx-UvqF#pI(iG;_`eO^ z{1502qPcf=QPAL|6r}%M^kiC~8`BNckEyRmh=yUbntE_C6muxEvW^ft+}`G3T|lw+ zn$r()xQBi$4gDS5krvjfX^)vlrUm*6-Ef)K%p+(q ziwN3*bHI0Pz+qZ*j0i2?31#xe`AE?2PdWqNzUB>~NzgX$hw^ibN_!C*9r*h7ub7F8 zD0l-!{buq;T3E*@`oYteyVEzX2!1+mGu-%&>*@@#@O28(0_f)QoE9z8q3=0J-(Q~D zb4QRD7i?#^%?Eos^D9j>-Fj_fAdOLn<2jgfiQu=&Fyp(f$m?xAj{hNUoA*fD<_j*B zVVAg&->m%)bnw;*VA?}&JN=QNFIw0b?)fRES{EW5d?C71 zyTJAEtX75V3Ha%{epy^mkE&mD?VsOc9p@v8aKGnV4=KX!#V@P|G5Qku#eb}x z&ig>e)6F)GH}Ew#giyW#1LbAJ1y9|3iB_)dz1&7zVa&M4(#;aX&#vP70R4ySL-cO0 zh&QO#CKRr}qnjmzbl)Sx1qzF0n*|CR2l@)tjpb5Q*G^iS3@*9~4I>C94`fCt z1PThR{()|!;hbj$yjM>(xhUxX+A%A@o9@wk!1Y~MBTq={e>ikWL0@wyPGte@o5@Zs zrN2g~S-~OX&gp~{xtRQGitxE6K!;PlgyvqAeE9}?;d}}77`pLfyC)^oZxrD=ry$m> z2hgBJ0?qxz>GRW~&(j=ws-T~0MDdLP<9m7|?LC67)1`$punNfPSGG6jFGZV67nBB; z7csVrI5I8%;RXlO)qe$rb=Vl>cSKhQ6KZk#uAsJG82pYE^sp{1;&cq9%#4nc#t`4i zFsW}A8yWf-BHkDg^6~C3iu6GQ%zD9rB2nlQ=%zwvs{-J25ka3LKg?_DGPE4HW@_~? z^Ys8L#f?2ouzuJ)^7L29sIAX*3YDF3<-J^dmI3>N-{Zv{WsdU3kkH~i981X{10!s4{S!Z<4|9-Jdg?By)oHOlhXGiBqf*XkR3OqwLM}$ zzz3)w*+qL2sMRQ-olj8cp4~x%8!6&-qNz74=mZkZLjplbgW0x)PSBPEf13-y8!ipa zG&OxKY4BTse(?0;f^U=1CU(;WG@}LO{cey7=+5%Iyh{t057Qf5FL7u*X$iD@2!{(C z?Z*YhUQ3QK_~ASlJa=*Er9!*2Loe$SeZQl9KQTqSZGFnT7TQD#4d}`aeO%B{4t+w< zjcXJ#;{Fr;uqN>4^Vo`nFcsWRsNaZ5?QeUM4k7n752&=~NyvT%>jFXXtptk^awYhp zS7|7EoIp2p=FSp7A`4}4#uAEeY^@Qxpdd389@u zw^=%5FgN&`+8g)x!2$QQ{b}r$qR`<3C71Oy))zi^*0L!rM#4aQjYD@4)R$+?GbOVo zU+8r)iW5@9dV4@WN6`g(5?LB+6)5UX=mai?t|kAiv1Tb&fXH44~OpXvcJEAsNQhe2gK3_GHXN(D&Jq zdM8mj;dw_l_3(zC5uVn^#M}0QUgc<{OR(BVIt!GXd=_jsr#;;0hPxi(y@$xDAEo zfuL<~C?o?4S3}Xm1JYWDrWdT^Z=@AydQrMrS3vh9MFHj72wKC9c@)+m-uge|vMeQ{ zjVC`zOfZIyc^D2ET>mcUQZ)($_fzyUV?$Q^p(&nWGphql{cxDcyD2FeR`3xwm!|V> zeuhm?#Qcz>Rn&7hYmoUOSKx+@ku!Rkg;GCgPxa~tb_ajDJB(+76kS?EHx%;RK>YFa z_35lRuL3!T(>%~{ly_y)G354R7+VT@9a)Q&x3P5upZf~!7)SdaK?jpBY<*n{1^Hvv znrDM#v9AVnR+tA^hLQ;><@3DG$=G}&XhzeG=WktV>R9i^4qZ)Xhl=lTm;r*WhSQAI zaFkc)6niO4dY#9D9{N*8^~$#`qpWAppH&LYWmI#!MCYTL%cx6T8MR7d88!WU`DFC6 z-kiR%|Eb&L^z%i39Q=J*J^gwZ3jNl#snql6Q*kR7C~AJ(7^gsN9;4gV5aZ-?xMFo3 zT)%^#x`hnC-=JP1TwfE{@7J!{TK=lIB0SzhGwX-Edi}>-k4>f+eH*|X9*Prmd zM$#Z`tiI-d(C15}TcB^I+sX+<11L0a=--8QVC%OL+N{6<7Kgaa$s=k=3*8Mnr|Us? zVX6NY$>jA!F>(g92#7=5m(qem!Wld^oHjHbi)s3l)20Xx|{e>SM2>YS+QRM zRJwonI{I&}7#o1=PBlkb(*nmj?ub9uTp~QIL*`NkD0B%FBfLh7mDfNqx@4&C&BeT! zp)U$8LN-+E96!3OyIG(Sqt)6A`z{Pc*$5dpwIC~Ql-EGH#Kb?`chhZg+C*qDo5y3O z@Ts$Thz0wC#PgDO=7=}YncJLgCm2y+okGJSNj0#BiIf1WC!lKy`mjSs3HpRXmlgD% z4qa5xmmKNP}?-LLZ4)=b54g|EM1Q! z7r2sY4&NX~(4XXOiR;y*$&4k#~xTK)rv^90?y zOA8vcx7j&e5YM?OqDZa2+B~4EY3Zr7i~#lRBOstzlz#Ahw5wITjTF}j4%HF#I~}^2 z(E8EJtp)wPquoN#>mB+&LH%g^2L%01mliUAfZiaXaR9od&|c?gw-R)$L$?w1B8SF3 zAK?2Tq5Y+!)v?hl9f~tJAlaE+6mPn76m51+3!s+?En;Kn#e(`hqL&N0tE0VS0JJ+g z4p#^VFWC+x9`e#!l+{hL`$!o;w|D5(g7V%|=nHYXUC=ch?Sq1@=TPOlv_qc}+T|Sj zl%R{$C_=*RPopIg!QqBdgQ@U#uzTYY5%h37lr)3*^?wzHayUn%+uO-}uAqMY{TG6M z*wHHLIiS3RWY%|ryeJugj-uOA>0v?Fbtw9uptTc|!2xTWfFh3=2jmo>SiNm1`tU#x zaOnRE`elcHU(n$oFC{}l&W1jk+p&g%X1Mf`5U)B;^n-L84t+Yv`xpA*)W92JVY%1m zWo|EkYHF0KgC!(=QNP*xs+Gkj@`l;D?(n*H2l*7o%27dEsMX&bijDY`l3fu1htCmo7160~LypoKjEy|0^YK-UrU zE{9^i3AEN?0`0zJI6zOQHXZ+iB`rih(W2f9JeJ)?_4`Zehdeoz;2EP%FS2&{{C41w)7joN!Tw1^RKEr0@8yx&;16v4sy^~=(L2XP7K6O0m7mjxN@+SD|8mj*2cY>3l zxun$;@^#_6ByL_0nikX2FG^#qhl1Rg0k;-u^9=Yr657n7MJ@6I$qBjt)0G$K8|em0 zgF_Mvpfh`{9Wvk^F7m<-^duv(2m8kCC%w$@vsGy z4OpLq|8J+8{{cn64Ji6AhN6cCbX$jFge=nPI1I+;jKdv5>qA4W4-VKrYqVOXzDll) zf(9il%2gYk0g93U=xvS`<9$G}3)nckN6||m*jCl8uQ|Ar$-L(#pFDyHF7Sn%0CW`H%o`}iR)DrMU9;!R9MX6= z%_KtJjmTtSlYU>e?$-9Rx;iiH&ra6wd^XP(??L&)a)6$*+&qWeiwtKDGkKRKB}31? zb-XemK--x-)`K4h*4}eF^T^uqZ8*r=wh64QF_3kBesvEhNL#T*dIiO1-+{gqgS0@oMC)$gzT5qW~^>*C5M!GJ4noLmCe|A^~x zU|+ky_3*;=c;|YExV|QSABESh-<1gkXLV)2=tF|@SHv&kX(!OM@q}*rm!98sX>w?h zVn;jIqY78=_ppAY=dm?sO;0^qHu0jYyE9)F?~!c))sd6M4k?42#eRaYgROq5&~ z!Os@rswV+GMekF0#R)p*y1Dp8fr$HRy3JM32~yW%dWYg&!p zo5arp^aQuNiOS-)yZBMpN%U?~Y+txO;ao=-uFpGHv^pTw+Vn)Y90QeVSweExarAEC zVoVXP*k=sCC(+G$8Yt2N&u7V%V-LN2lb<_JjsUAmFp_qbI6>rlc2z0aX*2s+83s|fm6hps5-V-8(j z(5D=#UkrZ6p^FRc^A25D&=(y#zo4%;bRI!pcj#P#;)oBnaOeT1ju_A7(AUWcD87zv zw66#{ze8UXbm1D+e1g)x%_qaZ=J*_?D(nR1DO&;=O!q6js4EObJpx*k(}uzdfRZt0 zDC`6%ff=f_Tw5X}+-Mg~T?W4*6IL5#(A^2BuS_!3IiN+YVCW)(@_2#Dz^mx;bN}EI zXM^E+2;Iy9Z{Hz)czzXN9fKS_)S$Z>3&7PU5KW?H~*aUV=+fmQ@P z>a@l=S$rM>T#q4Rhb!7!HWmXHpvVcZh)3x*KPwRmSL8JFgVu+l@N+~R4mjcdfrO(i zn8yfX7q}`%Z8sr2b1g@c*j4R)36cTfaSRk~Ta28aRo^IWtmN=5M(7Nw@Drifn>1>) zY6ESHob3!YZrbTpzp&6n=m*aSyZXi3YINh-pEV2jIu1I6WGh?1uX&A|?sgjIIBX#@ z_?ZY@k#xPI-AZW3I&@n>uWLm89MmpCd#$70M$l$jzavxkzMtCV02@At-VpOE9J-_M z-3U%~sSMiNrG_%TKy}sRhK}|Qp}o@a-GS&qwt=I)Q)n%2;Hz=F#&J-cUG32K313^! z2@Y{)Dwo&CLM8jPOyOxvpA(7Y9?k2Q;mJq!Qc)o=EuqN@g3;p1^b2l`+T}n5e zyE;_QIor*lzZP1I6Bysi1l`41D(^)uZo4(cZ976yo^D7* zqsedwX$Rdjws@(LN;T+76v4=++LsPSBMc`iP(-9r~D{%RBT5 zLEr7rn*?3mp?b30+a3BBpQ=@err9T@m1$zSH})~1W)yg{vgWMUfR_tOF@fL z)XFnGm!i2{eODtbN@wG+s_?zfp&FX!fYKN;U{=vMXdBVma-i8kX#FmR%>*6W$iZ5U z;GiwXagKId;ow_%y9YFKW;X}dtN-^9?-g5Kaz&6_^I?IpCmoUOH=(EiNvMGFBk zpzXynAWqoF1GF6>XYHWfZYk7`kh3l@jteWn7@^q?>TRI^ap>@$tw5Ykk$mB6|1~AX zeg~KCD|n!*2XI(jXq)#Ud%o)ktuG%o71XyBv|ZBN*6^j#`oh7ZSW^ZG|KQ}+kv(5> zDT>~m&BeM{OtjOkN?erQ*SuvX#<5#%Usb2X1CJlxeJXtmb!b+@*6d>dvU?I=414xw#CH=e$p*LIX| z?`Vxa&dIyEaAOQR182j70sSx;XYHJ`cMtexh*skZz47}IEx!S`6V6!bb5 z3mv=iZA5LuT{3dJf$lg$7rkA3U42 zGqKA7G;7g~r!NV#pXJ-X+P1&nanSa<4^1^W&e3imq4_k{wD5U;E1@0hIOuq)&ligN zR{So);abN*^H4LbPh%|!PIVkM5xzdgs|nibmn~{w`h_*O>q|IS>zstOprIYD$=dZL zY-fJm%B7ME+w~KcoqRs}2p0;s7`w1vo zS%zYqFLF@S%YZY6L5tQ!peT4%J%!N&q^Wbn1sA&498kNcUWHTe}1(Lcw!By7?d8aN+qc;%_KILp^U}VxVc)?6HR0GL_5H%g82R zC2g6?rRS`-W^W4Rox27oC+HFX*8T{g$Au{SM6m zy;~XqMPC=_z}72+18tlebiUB9u7mESJvk@L>-kym=9*V$!Tm}}9q&7{oAS`FwzgaS za@jgm`t`k;c2y;7TP@W_{$Z25(v7D_R~EF@Bx}cJHK40jldKhOZI5%MT)SqPwWFoI zA1~3>EPh>3t4Xi3UPhi;O|s5()l~Dy-f+|8V7KkHCH8AqMh(R(anuY$)kB$av6!>_ zEkl)unnzsxD3#WmejG;EhWfU$uB&JsG4$(nbq(@aE(h!@Exa)f)jDhXdnm0YxpeNO zJk#~5ek^meq>vwR*-}uyK2^u?yp?F%-rIw=Ui}Dt^IGA-Tescnf$gEqS*!IfaH9;refJ#d)K4mZzQrim^!eeH4y0S8!fY zIIHWoK+<*9FVd*%3AHQO!>@i-2BW5SDk&tv4HV?xrXM@WRKHyEALj=obN!4+m8XeG)uu_FVzXbG z9OG<3EmZS~|N4@i2i$i)VVl>yr5yXtCr6PKmQRi@@`;!6$ilU6TL)*e=3~UK<^*3# zC}(x``SXk7mmb}+%Qz2aKJoEY4Yp~5q~tVF>pGDV1OKrWp8ugdZ`b3qT_T@CJGl85 z+8K)V_~82|3C-_IXwzawrUlXgZICa?a~*mj*PK*8)pbisDNw2F59vQk33PLDok;)T z_wV#Rbys|?%v?7s{66bkcM{hJg!2o|71oOI^?N~M>A!JahW_WS*E`px3fCKISJ*xL zEFsTLDFn_DK<^cFYr;5p)b#X*SkFVZp*Yb9DAq|Ds`bR19I9E znm$+48+>c}w5bPeOe^(}J~(|FX*8ZHOV&Nmbtz$iPH^bjg5K-UH3hxjp{on}phH&` z^dX0?Ea+s1t|aIq4jn1zqYhm`(8nFRoS;uSbQwYa?$D(KecGW*2>Pr;7Zdb3hb|)M z3l3dK(0@5}0YU%m(D?*?*`f0a`l>_c7W6+3eWRfNb?6%etsL4Bv_mZdOL=5kr2HEk z`d>lc=+OTNI=4e#6?9&QzAWf`4*j>F3pn&&f-dCH7X)3TMm6W3K(e+upOUiQ<@Qoi zLcionbL=uCMWP%P|xAbf};Gk(wq{lt`9uzV21{)z>V4`?}a{A%cQQO z#8qn}xSFJki=?Q}tTi}e>NBJx?q@O?OtKj#1JZha`oXh#eaU2!kR=1nD7x{y$Dvvq z-{(-B6Q1PIRfR+I`EvI=TIK6GtS%gSnN8Kuyku)Pa?sOVydLyy3qNbLnQ*w#$&3BW z(2gHpe~+MJ9qrbFdcLCt^>ax&64}da)V9K5Tw`cgI&?>&y~d%+!OuzPOvU}=$Lv8{ zq^CI!pBBD9>7uZ8J?Y_6hwd!27Gu!9PtfM?fY^97Xmw`gGRId>^JwO~K9Z@=#Kl<8TUp2PogjRhQ)q2RJ5gxuoE*e$4~biIXlpnQlZC^XPO^Q3 zgPl4DzPid_Wyj%R;b5zyL91(~SM1W_&o1{#9eTIW`frBlmru5Hw7(VFbsehTL-8CQ5L*A0lu3ea<2YO^=vofdSd4P$ z!$P~2L-mU)&EIeFlIizQR&X5jJ1bsZ{i@4*9qk`QhGiZ4q@XK0^lySL>CmSIZI;3B z8-HAA7k3<<5p)xW>Q`NwC0o?d>bG9r=Fr=O@6ry{FTi*XXs5wa$&38Lswo51=)4Yn zSic==QVKIkdBm*eMA*^P2QqUC~igSNKyRt(c5)`$yad=SBwH!K8P_zw<7VQ!6 z-N>Q032LW#AjUY&1GIJ?2vCgC0ktzpfZ|LNpj*;ypTpA^6%@6#p{TRL0cEzK zD9?dnFP@>l5)|c+p(vX`i?hNEJzLOEIP?rbKk3lZ1^tXee=O)h4n0NCFF5oBLBHhC z9|-z2haM;BVGhNKg@{FKeXNJp`dAM$#`-R!%na*mN55DP?dTWlVOAggLTQP5=(*N@ zYdy51w`>o?W%O3<_itjyer!c|gH5KkfwgQ#32lGIm>zZ<(7Hsf@uNvP>f=|#q8$m^ z<}oHus~pfWHF;MP4mkPEP#rgFj>Q9xR`r1P>a;lMs&=$NjTY@w#G*grQ8qFHpE}}l zz2m!;@V&yJI|}N@jg*6pKY*`}!}zhQ9fX4qO;^s^s029ZNCVo}7URBYeP}Afsg46? z}$(O){2&L-b!ZYa`MAcPTF`XO3hyPD4+$fCY8JJ^HR6` z1v=Psg5ZgJ$_xt)anjkdJG*fMs&h$`9l8>^z_t17OcNa~M!i7m+a(ww1A4oo-B3_p zlQr)})HaN78?7N6d}!LT@h7;yQ)qo_1tV{e%$FJ(nr|^_EM6metI_ACIDtd6CYx)g zyPO_W>t2%>{R3Y=)3%wQ%`K+p82eU`&O0^F>-qgd8k%o&>8ugP{Y(!!17h-jresj-2ST)x&@UC@Eo{_6>cn_Os^i$FO!R5f~Y z9pFbsbhopwH+46zuNky17$th}n$%wYeU7%dcc7{1 zTaMc6@b;j5y*;Rv_}r~Iz|(4IUNY@pcn(@V_&lT|vcBc0DevtJs{mkqK3}ve`B*DM zJ#yNd@~xe*_Pza%7E5g|cYIBz^_%YxZ7UqcxjetMpuUW19{E=ezUQ->aJZkI*4JxS zjIu7Yc4}9Qay{R!808fS?TS&>L%U*>^)RzmjJ|=&?B%80Mt^`yEuiQXps$YcIYZHY z0*W@0p?~ZLEn4K@fcCX=g9#9v~O{Is`Z>WFT9TBXZAB%PlBAsv@1nrz6 z=ocK??ucNWO%&~5gwJ$_QAOC9wZx#w*7{gy?S35AL%SP{_0aAHV?AKy7D|nI>9(@u zWkJ!iGW1`9F6Pi@1zpCWPYT-ZMP&VArHW~C&a=LADfXz3{QS`1&67P$_GbEBSwizO zVmfm*!O@}?g=BsPWOYG3t#a^d>sAxm<~i2p**?F@K=pvy+G3%zXP$$uV`^Tb)t@;v zKYuajt+E~JIkna%IcK#t$$IcJgY6C()=G0Jr=@aRPO_FTCT}%^u7|NvY}8;nKH2Wt zVx6^hH|yaFXFEGeYsIff(AvMu%OVTX(Y_+Pa(d(Drdy55uLL)V(n0yE>rP zorGvr>?!rdz&U7-b*TFOzUQv1R@=3UY^gJI?IK3KU_;IAR9~hl?OD!J_Z1Ff9IES; zTZ>}-dOc{5%BTEhqRIZ$=wqGMb$zPmJ6ibq(Ij2>>eEN2Ez0*db+3JM8hcbzs5#v(a%rr!)2R;C`l3yXHZ73nwLE*3{@WNxyMvjn zqeFQITJr53Ezg?E>ggXDY14wW-c{a7D2ohfcL*=lm2QAu~6X z3vUHz9&^&6w= z`W+|hp(3lgp6Fcl%f$a_3|GHSq^|5&M^=4p2|^OS#z46 zDyzEkm!8%2s}d7+v!_iN5&Jdvj@P5M`c_?YPT zr?r&uG10P8T{$PHG+&s>Wk_owFpgQ-LQWtHfwjDoZvKZ{a1+@s(00Eux5<#Z_#d>h z0Nv2ewDuc=7H4azevLz`Uv3Ld-+pf7tvl!k`xh1^J7)a?eS~g2n|sNA%R*9xd?e%GVOwp&Dzwr)zzXvw3Btu2;X_(dvwy=b*Eve!M|f4?ay{m?oDZehAgR z(}y>mxAEf`dQ#XmPKM^$y{(7~IGx?4MJ_o=LTlc4ja?Hg8EAE$={FADPWWE!P?f>& zYrj)c9^Yv;zIO;}GmHpLPYCFb4E~%M{o-Ns_Z6FCY^zKlgRU61IaQ!Kr|Q2psNX^K zF;*FxL+gdFe#P)tPQSa898hBOi83}52zhr9TK^S8{gR^Bna0Ab4;=I>kykhwbX}w8 zt6xX7lLWzG50SxUBZ2DaNzG~8{3S&@WeyxZBz&8-)0~=XIqSPutnU{tZkpdNbm#{q zv}Q|P)A7Ae_}VHS$h)U-XpTj5Xw7N7x(n@o3C+u(Uw-s?9^Y7l=VlpJa(w?Pe8)IF z>?<68?$D13+N{Zuj_)JF*FuBy&h&%2#ea2iA}J22|Hk8ZLElB)A1gioBxv*3C)aVb z`jyB{9eR^+@ZW8`PtfM?V!qRH__NS9pVrVEw|6)WHw%Z=9ID^1Y>wO89qlc`VO59f zcP5+T)_e+2GY79p{XXc*E;K!tp*a@K-*{~PvSzak8@bSKml&_)(8+@OZ)oZ_KR0x= zcL=TjTIOE`ZH`;>Nmk7qws4`16TV(MnnIq#BSP!H&H1RHn>oI}ZoBiv}p#28N<02Z~dX z4aKRqK=*a%7(u_{(C-QQb%!1+=rBR5GueKHlJ>Bh``vdr4%%bwPrv&$lFnqnd>iC#p51%d(dx=FFPW}F z8|Nh3oP2^Wa;}A@J>eT1snJN4$F(+t#&o&1+?vLwnF^UDxw9pKa1y zzxAi)Z62@ob(W58`#MY4-CghGRV!(htht_VuDiYUZ6PV-N8NS2y172KF)@^KdkF_0 zx4!FRU-Rn7sc$LhxU&B$3T6ZmYI9lQtxwx>UX$9Y@U5!_NZa7+^`I%_Q}ZoC>*duL z+juJYX)HXgj*k13*SUjU>I-ed^wKUFO>(q4vvyyrGq#7pwli)2-sws%EvuVb#XiUO zU0?YA+bUw!z80BF@}^&9y{XXpe%3rf>-QdF>;t*Qmk-MetCtq1um~nd#OM{rcK}J(1U^W^)~|f^hJf z9K00jr3BY>v$-#%vDP9(m2S;B%jb)BJpt!xO$%S6FD16)$3S#`v$=KU?M(Z6p)l!?K%+F!@$;oyn$La zmt~TZp zR##FFtd)tp`4YA4u=)}Ixn+re1h%VINe}I6W3~+RAYdi!Dsk3ByGoq(uoBsXl^T=9 zO4`-^tetjO2I~Q95X?%jDgjwQw2VK)Jj_ks$MAsuWuMqU}-TR2V&8u0O=h1svo7Aq@XM50{A%4Y@qWxL5+Pu=C z-7ms=_N!J~>uaqqFA%;f*b%%^^tqhgUv~>Z$ z1wi`c+c0mWk`V2l{?rV;?3&eG^}fxe>r;LE;dMFfa?X)V=W)Dt)|Xm(u=U_W!>VN5 zi%`nXMNd+GZ2|nf6s#?V>oNaj*Ei9B`)&YS;D;P*KRDgrzCEJfR8ZF+z_4m=@TXrh zQCI%D2wZS~iNpYBtY?6?BOplE?+-+p*TwZ1$63D?qpm(&{i=z&`f&5Fnt&;^z;j)Y zs?|d8TKG1p&ul@zG^44_-yG1?)-+L9{_c&sez{+^;J@i`l=#)u_TRtJF9)gX3C@lV z6uEny!yNzxT_bvqd4J9u zZ{80A7edX-A=_oXnIzL zt#AOU=LGq*(0#}L#PW%fZq55``xE2l)b#sT^?Y<6W0k?imJka)<-Iw^&8fMDvl87c z>tn3vm^Ys{j#E|5&ak!zEPvrp-F>>E3r$ZqALY==qF*~-1abSg@U@XxpdS^qdEbTc zMF4x!4^|)fQ<3#-XMgUmo*cYBRUjNUaJY+t1c$dcRL@oRD{=MY;I}&3yZhwOymM}O z$Kf&I&>RbYM!TM{-hAqGb1a%q7GKuM@Px?Fd>*zxqg_vr_oq(lxz){a^Jlc*E1@mz zWYBY~o8#usXxG!@n^%%I$IYM7e!s}j%)x6?&joKjp}IL1&HDoU3Dqx%41Tx3LS&e* zP2Z+pf^r|wUiv}PPx~ebt+_6=S`QMfD73xwRJSF1_!&ojEEjGesFi)7*;7y}Q-SJv z68^-G_X+K_j<25QW3>}FXzkR@*GePMYH4J9CV^@#GRB40_b79-Ca2Y^KxlpUw+F_T z46kjikPe^+wTHH~!g;f8t#C=(wpO@p)Lf&ttrgbJoZMPL>8W$#zMrMN+vYOWYF=dW0WWeHWrpCtRxW&4JvjvHU=VymAznV7ZHRMzW?m(d3Vu+ceCvL-hbZvzhS@S ziGTeZ6C6qgIuY6vzO$fJB7_bjPu%-7yR{z}oiP7IUwAEX{DT+DmlxIL`p=L147_Gj za=gyjl)7N`NXOB~Ie_<5M4r9~}+*jKr?Z@LIpJ!T5FfcVdh-Y>nZ>Gj^I|d=D-ogKd4^ zeQ?0I@{kcU)UKLZ{`~3Og>F+LCJH~BR@eNlTE887RX}!O13)@seoA_d6c_yYg{|Nq9pzrz3k diff --git a/data_selector/db/data_selector.eda.qmsg b/data_selector/db/data_selector.eda.qmsg deleted file mode 100644 index 7bf8953..0000000 --- a/data_selector/db/data_selector.eda.qmsg +++ /dev/null @@ -1,5 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II " "Info: Running Quartus II EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Sun Mar 06 21:30:43 2022 " "Info: Processing started: Sun Mar 06 21:30:43 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=on --write_settings_files=off data_selector -c data_selector " "Info: Command: quartus_eda --read_settings_files=on --write_settings_files=off data_selector -c data_selector" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Warning" "WQNETO_NO_OUTPUT_FILES" "" "Warning: Can't generate output files. Specify command-line options to generate output files, or update EDA tool settings using GUI or Tcl script." { } { } 0 0 "Can't generate output files. Specify command-line options to generate output files, or update EDA tool settings using GUI or Tcl script." 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus II " "Info: Quartus II EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "167 " "Info: Peak virtual memory: 167 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Sun Mar 06 21:30:44 2022 " "Info: Processing ended: Sun Mar 06 21:30:44 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/data_selector/db/data_selector.fit.qmsg b/data_selector/db/data_selector.fit.qmsg deleted file mode 100644 index 4ad3426..0000000 --- a/data_selector/db/data_selector.fit.qmsg +++ /dev/null @@ -1,38 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Sun Mar 06 21:29:26 2022 " "Info: Processing started: Sun Mar 06 21:29:26 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off data_selector -c data_selector " "Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off data_selector -c data_selector" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 6 " "Info: Parallel compilation is enabled and will use 4 of the 6 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} -{ "Info" "IMPP_MPP_USER_DEVICE" "data_selector EP2C8Q208C8 " "Info: Selected device EP2C8Q208C8 for design \"data_selector\"" { } { } 0 0 "Selected device %2!s! for design \"%1!s!\"" 0 0 "" 0 -1} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Info: Low junction temperature is 0 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "Info: High junction temperature is 85 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 0 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208C8 " "Info: Device EP2C5Q208C8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208I8 " "Info: Device EP2C5Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C8Q208I8 " "Info: Device EP2C8Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} } { } 2 0 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "" 0 -1} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Info: Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ 1 " "Info: Pin ~ASDO~ is reserved at location 1" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~ASDO~ } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ 2 " "Info: Pin ~nCSO~ is reserved at location 2" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~nCSO~ } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS54p/nCEO~ 108 " "Info: Pin ~LVDS54p/nCEO~ is reserved at location 108" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~LVDS54p/nCEO~ } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~LVDS54p/nCEO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} } { } 0 0 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "" 0 -1} -{ "Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "26 26 " "Warning: No exact pin location assignment(s) for 26 pins of 26 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y0 " "Info: Pin Y0 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y0 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { -136 928 1104 -120 "Y0" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y1 " "Info: Pin Y1 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y1 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { -80 928 1104 -64 "Y1" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y2 " "Info: Pin Y2 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y2 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { -24 928 1104 -8 "Y2" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y3 " "Info: Pin Y3 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y3 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 32 928 1104 48 "Y3" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y4 " "Info: Pin Y4 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y4 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 88 928 1104 104 "Y4" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y5 " "Info: Pin Y5 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y5 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 144 928 1104 160 "Y5" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y6 " "Info: Pin Y6 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y6 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 200 928 1104 216 "Y6" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y7 " "Info: Pin Y7 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y7 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 256 928 1104 272 "Y7" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b0 " "Info: Pin b0 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b0 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 312 176 344 328 "b0" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a0 " "Info: Pin a0 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a0 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 64 176 344 80 "a0" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "AY " "Info: Pin AY not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { AY } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 576 176 344 592 "AY" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { AY } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "BY " "Info: Pin BY not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { BY } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 600 176 344 616 "BY" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { BY } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a1 " "Info: Pin a1 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a1 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 88 176 344 104 "a1" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b1 " "Info: Pin b1 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b1 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 336 176 344 352 "b1" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a2 " "Info: Pin a2 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a2 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 112 176 344 128 "a2" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b2 " "Info: Pin b2 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b2 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 360 176 344 376 "b2" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a3 " "Info: Pin a3 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a3 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 136 176 344 152 "a3" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b3 " "Info: Pin b3 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b3 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 384 176 344 400 "b3" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a4 " "Info: Pin a4 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a4 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 160 176 344 176 "a4" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b4 " "Info: Pin b4 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b4 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 408 176 344 424 "b4" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a5 " "Info: Pin a5 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a5 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 184 176 344 200 "a5" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b5 " "Info: Pin b5 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b5 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 432 176 344 448 "b5" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a6 " "Info: Pin a6 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a6 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 208 176 344 224 "a6" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b6 " "Info: Pin b6 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b6 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 456 176 344 472 "b6" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a7 " "Info: Pin a7 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a7 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 232 176 344 248 "a7" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b7 " "Info: Pin b7 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b7 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 480 176 344 496 "b7" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} } { } 0 0 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "" 0 -1} -{ "Info" "ITDC_FITTER_TIMING_ENGINE" "Classic " "Info: Fitter is using the Classic Timing Analyzer" { } { } 0 0 "Fitter is using the %1!s! Timing Analyzer" 0 0 "" 0 -1} -{ "Info" "ITAN_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." { } { } 0 0 "Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Info: Starting register packing" { } { } 0 0 "Starting register packing" 0 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Extra Info: Performing register packing on registers with non-logic cell location assignments" { } { } 1 0 "Performing register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Extra Info: Completed register packing on registers with non-logic cell location assignments" { } { } 1 0 "Completed register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Extra Info: Started Fast Input/Output/OE register processing" { } { } 1 0 "Started Fast Input/Output/OE register processing" 1 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Extra Info: Finished Fast Input/Output/OE register processing" { } { } 1 0 "Finished Fast Input/Output/OE register processing" 1 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 0 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 0 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Info: Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "Extra Info: No registers were packed into other blocks" { } { } 1 0 "No registers were packed into other blocks" 0 0 "" 0 -1} } { } 0 0 "Finished register packing" 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "26 unused 3.3V 18 8 0 " "Info: Number of I/O pins in group: 26 (unused VREF, 3.3V VCCIO, 18 input, 8 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "Info: I/O standards used: 3.3-V LVTTL." { } { } 0 0 "I/O standards used: %1!s!" 0 0 "" 0 -1} } { } 0 0 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "" 0 -1} } { } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "Info: I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Info: Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 2 30 " "Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 35 " "Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 1 34 " "Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 36 " "Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} } { } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1} } { } 0 0 "I/O bank details %1!s! I/O pin placement" 0 0 "" 0 -1} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Info: Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 0 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Info: Fitter placement preparation operations beginning" { } { } 0 0 "Fitter placement preparation operations beginning" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Info: Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Info: Fitter placement operations beginning" { } { } 0 0 "Fitter placement operations beginning" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Info: Fitter placement was successful" { } { } 0 0 "Fitter placement was successful" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Info: Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Info: Fitter routing operations beginning" { } { } 0 0 "Fitter routing operations beginning" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Info: Average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y0 X10_Y9 " "Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y9" { } { } 0 0 "Peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "" 0 -1} } { } 0 0 "Average interconnect usage is %1!d!%% of the available device resources" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Info: Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Info: Optimizations that may affect the design's routability were skipped" { } { } 0 0 "Optimizations that may affect the design's routability were skipped" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Info: Optimizations that may affect the design's timing were skipped" { } { } 0 0 "Optimizations that may affect the design's timing were skipped" 0 0 "" 0 -1} } { } 0 0 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "" 0 -1} -{ "Info" "IDAT_DAT_STARTED" "" "Info: Started post-fitting delay annotation" { } { } 0 0 "Started post-fitting delay annotation" 0 0 "" 0 -1} -{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "8 " "Warning: Found 8 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y0 0 " "Info: Pin \"Y0\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y1 0 " "Info: Pin \"Y1\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y2 0 " "Info: Pin \"Y2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y3 0 " "Info: Pin \"Y3\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y4 0 " "Info: Pin \"Y4\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y5 0 " "Info: Pin \"Y5\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y6 0 " "Info: Pin \"Y6\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y7 0 " "Info: Pin \"Y7\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} } { } 0 0 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "" 0 -1} -{ "Info" "IDAT_DAT_COMPLETED" "" "Info: Delay annotation completed successfully" { } { } 0 0 "Delay annotation completed successfully" 0 0 "" 0 -1} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/dev/quartus/data_selector/data_selector.fit.smsg " "Info: Generated suppressed messages file D:/dev/quartus/data_selector/data_selector.fit.smsg" { } { } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 2 s Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "286 " "Info: Peak virtual memory: 286 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Sun Mar 06 21:29:29 2022 " "Info: Processing ended: Sun Mar 06 21:29:29 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Info: Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/data_selector/db/data_selector.map.bpm b/data_selector/db/data_selector.map.bpm deleted file mode 100644 index 86fc3a5dd782566a19d83fd09d00aac4334b6e94..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 615 zcmV-t0+{^|000233jqLb0B!(300011WpZ@6CZrum~000000PO()000000HXi^ z000000A~UK000000C)lHRLM%jKoE^9DvBU@@g#nL8BJ$gZo*tV>A|BAV$z2|CLJ?9 z{-tMceuapL8mnSe*+j^IAhw3%cych7_!KR>>XXRnQ<;gl}xfpxdO?K zZxDM@GXFGlq}~&80+|hfCMpoEIZ8n>)+Piwv;OzKzJ1DGC2L<0FX6&FWQpFAm{^2KN!E2mA z`OiWcwcMBK!tcYacMVsGPs{m+Tjwb3KTCTB00960cmWb;V*mnXAXWxqrvTr0C;w1C z7ssH;_yGT)ka%B5KSy`hpm-O@5JxA+U{}xi87hn*Eer`@l7WE5%U zr@x;|yipd&PzHt;FbPp631owmd3d_GxcZ^m^zmD91;{7{1|2ZTz@P@D0RR91|Njyz B0!jb? diff --git a/data_selector/db/data_selector.map.hdb b/data_selector/db/data_selector.map.hdb deleted file mode 100644 index 0ee64e9fe8ae8c8661e0562914c08f4aeb2e61a2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6768 zcmaKuWmFW)2I--@b3l-81VK6l2I&-(K^lgV1_g&6=>~zJyQPo! z{?EGWoG*9p5ASciYdz22U-nv?6b%innh+h${oj`THyc5`eVjeq0TO%y00lp1R|f!4 zNCY4t$}cF!4-^oP0O&)!{hjS003BQVw*VkOUmai!u>&YMIQ#y?Xixqr{w)#e|D-$4 zf6|lgKN*OAP(YZ^X!ss+90NcPVrNHJz_4J!ert92y?s6^_S*59BRH=;AgeuqA}enH z$WgIG&41KgxY;n|b0Y>F#>|!ec*19sMmx97FT4*1oOl=t%va~-Mp3N%LD?s%18f)9 zc{vRMjz{_DMe_&TgZaoYX{X0+$)|JKC!ej6ksL(V%~qZVQ;)X-D|Bv7XlYV_QF^@?cADxZ+Cw<`83dB6- zR`l9qznm_I@o%*dy#STn0vUfj>ADQrb@+GEzW0ATcQH5Zz48t7|MrCQW<_B$}i@tU;mpi-!lodXYZK??%1GZc~$ryEP%hqW|Cg!ni)I(qz!E z3dLpQ{XgoK4Q>-Z;}7js&H5J##w)6N^1iuxEoiMFrQa%VIaQ)Rwp$5B{NXiec4elk zIaR1=Jmct;5vj-q)>mjujI-?x#DUt9GAs6`)L|F5QwBz5D(r1ZrLXk&Uh9XrV1S>+ z^VxF_rKi71(ANCG$vO_w@_zes974ilp+hyy5^PmM{H; z!Q{T~CtuhTpa~ zWfq57Pf!7BXS5HNc5&=s8+(oqGu26nog*#>MmrBvYIB10_m*IFfsmok!2T~BzbQ$* z@`fp4y!uk5J7Bd|P21Cvpc=JvKIEFRnzl~t6%!C^ZuQjnQS!bv^sBW;_E_JY5!a?OCZ9Qd+VeEZxoD{^+7z*KMY5^cE{lQl)g8HLol=XG||I?Pf#22d}vRx6k7DBIJc} zoO%}?YYAZ&Pu4JnTXCp06iN?4Rmz<>y-Aiq;pD9E&CrX)RZibRqI{C&v0EEml@?qN zKUX=J7@U2pa;W|0P*mlBR5Idd_T%Vb5t~Y!`yKQAV69-AKmv2d#A?qEoWf2CqpCPDWs2V2=mF%yjZPqt_da|u z*;O!W{3s6jVe5T3SYIus=;PPh)>jopDPMD)_Sg2mizTq!v6hFjkU!Y5-Pw)*vUrgW_>WXE@5VICaFj6%o?T!zNgIs7m;;nuO z>JDdz6PJ)?zqH{lE<<8?KUZ$DFo#R)yX>mkz0tG-j5YZ;b7&lnHc!cp$gEko=JQYs z(8t~E2;xThcFE4#<^ubxR%x0-324T6s@=Io*TmD2FEv_2n%P=qj9t`f#!zQc9qHaz zy1y#kmh;Z1nNOx2yg}~qn`lLwfyO|7+mSn!#cM&Vg08uPa`Uy`fkQ2Y%Bq(*Q z|J!C!A7srFExnkz%1;rX-&&};2dEw`q%JXeDyX!Q;5`yaa(HABsLSxPg|V<%r$W22et0QM zLjM}0on-xHrf^TyxJmo+S{6I_QxyuLqSiSxW6V&ZrEx$Vzx#%^*o)5LtFE%qz<@ff zgtamsT7(Nd2o()aOLRaL0Mjy|L}U$3l@2dPq)X5Dhq&J#x=8>XiCs-G||b!TJ3uh4*E!+wCBD2&>N zGgC|FSv)$lsQ=X+X>bQ>?Y-KFlp?t`cKx<=lsqCtaYep-pzb z^|V2FUMnBUAc=~zF)?ajKvMuLtvRwZ|jTKk1cw=J#(w{d}?4b=d!(Rz?|r* zqt_eL_IEI=HV>>W2m*tAMRo($-pc0{bf~b`P{i*mt)Bz1Rznq)kI05^s7(hgf!-}i zOJ7u`8t)?IcJAm7ImZ-PPmi?(o2JcPJnaKw@Hef825KEp&uD{)R6PUqwQicKP+rm{ zjZ*liH$1SxKBr5URQu?Mznhm2*w{&LspsKCtIjmjfqZo&Vuj~!Z`;P7FR1PB+LU`) zYWRdIS(fsd1DVqu9x()dF!|u5+IUn(ASvS;Pgrd@ZpfN9ZZgbg zMkn*aVq}eZcBG(z?XE-23F<2>+@|boi4ceQB%TZ?MDDBAVC=@IWsNf5H!Wp7JBdry zaRB)+M3Ih>ebrP?GDFr1J!%89Fva=E^~Yq!yj^9H?mjofZ+mzE8|Yn}WPue`7AJl_ zK$&~dVzf$|rV)z*va}kqw8By?gv70EX^+{Q6uHca#}-UIk5xo!WUVM7obh|Bu_0rv1ca+7*Z8E;J;X0%g=Zsj^HAPh9BkHEP;&>A2Lxp<7pPo_ ztQp>FV*3>!SHGZ-d|Xa4)F?iex@J4BO6qfO$wg6zg@x_%b|~ zIabGql%}Cm7QHvqbyRd4)Z}Dx2k{BzXJZua!oxIFQ(nRDvnb;;9ee?V%PaF?vo@iE z6$F-9vag4>E~0cM34a}Q%0TB{kv{;UGFRByvIYknY3Etl%)_$_;F?BoOM^3Q)>9;_ zSFCCWiNeVPI;{lpBUxiUVc$WM5u#T2i)jaY1TYb#K0*xK?q-N0u z1cg9MbHkUJ&zNdT~ls{2WPE^csV8f}Q+9~&m`Kk{hjZrhfd)&r-%!)pX{Tt_MM%+jVW z6F)UgH?17@l=s{nf-V!}J4|J2yO?Qk*$xkom;)%l0_2+X;E&vAyj^{t#>IlT=18*E%aqn>$!6ZWZ&OVi zU4iSt)t{m)!@Q7kcxy&MKu;!BJo(aO3v3{N8$OJu?6bbQ1VfWFTD5JD( z3+|?5`tm!C-~H`v$T8WTP5kb}CE@W5`2+N{%6RzoV;oN&ZG$UPApBC8(6)F?%n(Y4 z?+nvx;Xib*e6SWWOZ^t%^^z?yk-&*XH_L2%GIdY;^6Ty1yIV20z2nOLWpa3VS*fJ;{__ zkaEGFDEp+bDTQ(OT^#otw9Q-cmS?~tP0O;t**;Zf$gLZgp?V(M%T5Z<=@=99ZzU`j z9c9L06U?pwh0PyfNdQZZJm1hHt`sx(DgWvDFZHB+P(+g`lbqHarkFe2$=Hi*xxw1qY(rwL+*PH!IQvc+8C`hK8gD=4%I1(N(SL^@F@{Gj=?_|Coh-5jXd)Xiq_ z{h|1erwIw1n9cVOKCe?O`FQJw9Y#d{F|ArDT1S)ioo2dyQM&NCupA-tis zr}gzGN1oR+7TV4>scKOdtdh;J1f4`-2rm73g;(tUkS=Fq0*J`SB&E@imV?2g{Bl5o z3A>Q00?gg{DQ$z(*VXt}vp0*|BsHC0@3Vy62N+Vq+k)z@M~vuQuGKN)`(%~gsH&5L zG3hjBBkom1ZyEZ$*@a>5=Mxqrr%LASb)k@HHf4P6Uql|I)?B*^;54Y5;T^@$~_fr*H|E@`$KE$-4xMjH{D z%^>^zPO2>^_m2CgFwdjtX~R+xZ6M|llIftWn{CHl)BJSM|%_b_nQ9>()0h#V6cMAFRv*YCU|(U$dBVBxIb$tf(dI(A{jnz@i4 zcrsU-T~}|N^{z2;JKok0EQ$ctbzgWK>>e#I2njEBI-kIq@MtCul~)yyG2lfDg`+vOax6APBxVT+_Q4MonC z^-_oZWfL8DSz{ic2i;B3LtF4?P|%dOUx(I{!=RtPa)x&1L#%qM?t{ci$CyXk1H|>P zz6LqTKtuyZ%-8d_oQcbr-ui_$FK)iNWp|T6oTk)ty50!U75b3NzdNWTZwXx_P2?(M z`?$yKhg`I-(Mm~fdC+(6u137O6+diV-SQ}X^LnhBv*mo|JRYLW>5Y_F zxM*AQ031IwuDM&Af2q6}8ryCSzDzuiKa z3b(RyMvjH&`av9$nn4@|n^OCw+rqfMI7bg7Kg*s=&3dLc@Z7aR&*rQ%gApI$h0iNA z&tr>uT8?62yv1xSm%+89gNw7rpa;++jNr~q+PH2&IE)5->$j;T(zyV8(y@ze>;y!dis_b^i^k#R53Y4-%*jyP=f2ua#F z3noqP#)kR_qy=J2gZxzwFq2)qWV5lDfA97t&u)Va?00!jwtGs>p7rV%iN`XwNKSP>g~lNZ z?U=zPvM1KVfuL2I8nA)H<3pK6v|7E5DZuOxm-sSU z9=*f`tLk?93~I`K@uA4S^{M4RgaWl+Qf#%ZYX|mWP^o?Ff_s&H#~;YFPt~Osow%yCQ@;u-B5m6c@;c(qL zlhIA%#PAradVaY?Jk}EV;~?O^?_uLvV1ZVsDlT&kO-uc2<0B}SO8ZNL<(r-)b&dL9 z$rvrDdKj!1m5G7e@$SJ1iRR&NLMN;?HX zZ=yfsnG(IvIPCeM1?6;wEJ1PVD-5P$n!1t7dkdqkwb!GYDR6GW=C4|=%}ae9HdCWfh^TpeJ|#H?T@nU zBCwmC4soqQkDor)XxA1Rag z96*c^;j~5}=m|{(1KsRKiZg6d5MDp&8{dC*t6{_09`#2ux=GTszvoSSWj>YJ6n*6>w0@12Uk z@jOmq?C6RHr}8r}fBTc*Mx=Es`||A^CkPi)oD7qgn7BA78hC$8_-WTel4cTFNtQ|= zl0^0WbWiv9u#sxqX>Om6*C@k{;5lqv+Q_pYbCbPNkBBI(dyElU!QxDw`(pfc{Zoo z{)c&$Se)RFVivXF?VC-tQ|nthep#GcT4&(;OZaJ?^tsD5>K2hwi961G)}6I0a$D%t zEAwX?-TNlB;E-lJ zbChpiV*BV=BOrf-9YVQ(46G56KMJ9uw|u{#GlzZQ?uJ{NH-=4+SB?Cq^6Sy>%yW9h zDUI6~eE8q-WPg8DhyJJamxRr0jF(scY_7h^%)s#fe+8pZVlo4hpMn^BQo8u^igf<- z4HXJ+Ux^EeNWOn5{h)Z^m-afjkooT3F1B)9}9E=7tK4N%;RyStYF#RA-Z z>wCFt{r8_eXYF~Jd6~1%Su^-3C@590QBhp}LF!+uXXD}N;Oasr!o@=;$Xdv9U&i4H{fL4{7=#*$9n+QI8z8r$)|68{XJZU^IwuN#kg1(d}ZJ!^RMs%+v>_F#^?e{ykDJ12^%eUMJ57W4z zk;mYhGlRD8Id`YSh}0@R&of86kjuq&bBQP_cH~dA4-Di z7mgqZ-$*_wi77_l3&9twSVWl{Shaq-4AGTSE1_Ll5FME;54H`&WNYEY&2WNi`_?df z2GZxr9j4zd9$o3i7=H<}=C;^m+Rj~w`|eylyQQiBz@p*&{aR;8(=8Zn|M#moFyFMh zsr1XgdhQlYf(H2if9TAkfphvYur~My?-2-fAQ~ms&#QT=3~FB*J~W?N$sf*LO{mM5 zPLw~K%`0(rZp58E>uG-cFO!6U_T=1o}Hc zTUft}H}GyYJ20zx*Eitn1hy-Hn4j;AWRC#m1G&9b?#2;aIfyd%+n}jU|?KHLIeFlPdpTr5vFd597wQNrv=fsO zp8JXQUEUkmAVkhaVXw-gRt1BD>jsWHgOo|cW}7`ZEF4eg?~<=2Y=Ks(*%^u+Ee8%w zwXp;2pG=D(E{jo}XA(woL4+!goAORPA|KvUCrZu6bus|CM+QTF$=S{<&h0tI-CklQ zwj|oMerS==*JRaY+e`CF2NgSgLO+e=lHC+ZIp;Wt_EXy1H7zNfDYx1Sf8!J&MBu4# z4oyX7mhF6}BW&F`_JD^l0z)`~LPLW>UpDN@;!%qfT3V;HT1gsA!<%DLrNTkK01;)7 zKqEn*OH&#a^qaMg4kEhJx~6Og!*Ga$O48}jS>jGy54!2gL-o)U=wyERd#+oDi>xaU zt&!-R0?Dermgg5p_7wKPrSs_Y!R1@p6sRQNj)1_k%9NeGMP32Uxp(=K?tn|+07247 z_=xvKB$`wdOr056QCwfho<5P{aldv_T4y4a41EZ70<%!|9Z`Q#?c(aWSbnEfqP4px>l5nP zq#z^}-JT#HS%eow<~}cHrsZ6zI6)46)b?uAxTUIK>d^j&Vq?kWoK|6dN6khXk2_-v zPC1qpqngU%ah(7c=YWbf_U}^oxi1;Pdr8c{d%rfQlO_sdiT<-#{Fl}LUdOr)UDHk%z9z#Az+YEd8WNU(%9Z|`iodaeg{RS8h^R)v;}5D zKKCE52993=hK2`ehX$DdcM!)|2APGX%5f|N0uj8apwEbjJrRam2mw|%s?~9NUGT&Ch`@wW}ivUYO!Qb`7OY3)^(RXX5dRk z_R2P$@af8Q+@{~>iVTzGG{2ETH8F2>&nlZcuQS_HN$-d8aWvO!A#I2yX3N9F6hxd(PTg} zIYNehmYb=-Ohv8}79EVikB2k;<|zb(sDQLH0c%DEvq6Y7$R9zc0@)OOqZpqu<<=gY zlSH?*zhy;!qiVSW%Sn6gUI_7c<*WgYlf?EOahiaaoR0!@cAMtW%^~kI&02)BTX<$8 zFBhhAs|VLee=!P6VBf)BMkJRx_npWG#Whl&rXQr>jtndO(=9oA+^|X$+U6pIK8p<) zBdGIP%dK6n>bp9i5>7~LT%MP`fQlj&K1M5Bx6zjXR*^&v(_eSSHjs~!!t6;(wup|P zLt3l3*r~ZGg$cyU^gRIY?{UD8tddoIGx^@j!>0V4Ve<7hH92d};0l{+s0etD208wRvq zr&9_;Wp;vbei~mol#9XNxQXfO!0h=O=M~d1>QEvHfl~=g^HCDdvyJ zm^^cyoDem^5-m`n)7|u!8|`(0Hos$lqszGU{j}M^G zOZguCp^nF=gI2rFY2-=lyzzcV4F?|WPofvGA;svuf`Xji$EXb4he-6g^<1Wd5T5{k z1`0G7gsU{EW+CkCergFUoB^9e@kOr;M`1Rv|8wV==~5Mnz;3nNyx?7ta7O6@a5aaR zI)g@fy)tTkqk7{#>4k=-ddJgv51@eaJjq#Py1tKpO-gn2V3q!YW-&#IIJI40&!Y(f z0|HfTtTQ1TSoDpK83w)KDE{L$OGR%2zJ=T@Zq|AH>_@rN%{+{E;a6Q_N6fm>9*b zfTUMSTzMrfEa1n+yU@X`C^u)E5(!Mtx8#@a=HC)xB-medW)SeSPXj3$wy(LM%}S%i z2XELL!@(|4me7qvg-`Ygr3D%tNpDzKgy#I%C5q zb@~en=xwx;6Xx3-s-|e8C}}q*2XbK-qEzFz?0|oQh`kHc(EImfpCmz#t#|wZi>GhB z@3ofZLo>2ZgmwerjKH76gX@EX&LD&=o%VO6on~A&_cJ~4^9$a#vvqOCsHPw?f}xcF zYk>gEvKBJP;@X4sAGoq&lfZl-^^cR}vAYh4S794z{6i9?oi@5ft)nhU)p|!8aEC%r z&Ll-1ehBUkR1z$eipm0!*WX~uS#~Jlgb_IUheM3HfRr}Y$q*+@OP^sQJ5t8ekZ`Cyn(sj6Vkl`3`c?KiGb|-0Yhd6{k!&H(vf~$bP!L z&(}fYY7+1%SQpJF7Ds<%b#V-YFyXEV^f3{vp)L!iC8ln1@+)E`SbJ;Nh)*ttxP0aN zaQGspz-CafByFI;(Mrp^w(QLOY@I-+T2tiIIz4*x2<=Z;}<(Ifcmy6wWFbP@S50BF#`v{ zcQ86a=Gb0kdftx=8l*8I^t}2EdojI%P+@rn(&%0p0-4iXHa0^BLa@ALjMJb)K~joR z`v-<`f|$>t1c6Ojo)s6Xp{>{h#HDIR`rPY+;#Zsh#vbUdzSB7^&8lRb_#buf#$4U? zvhO-S$SF#956=P%JGTXq!%22z$4ZOYCsx=aD_a<}Y(7;osV@65lQC9+OML6_R7G<-B;4Ri_8XREm5C^bCO!jD*l&i=B;~rFX}WUA?fQ{ww0bT` zlHPL2!$r7X3hmcjo8HAAEc$uYoAx)1gIb!^#zClY6Yx%K5j-#baaXaoM>NFTbmQf& zM_NMsnlgdhJK_y2`e(2>;b#)kQ=QkBI8^Hk8dmN#p&go(9@DsSQsVWa*tkV?UGd0; zG0RfSei(#-iwzd9B)eVlL=qPs_ZWwU`xs6sh=a$ElZJ(LL_wK-q>tBX6tlkm1g5me zKOVdlisOIzxT@=z&MRV-3gQD%?{vD4h{25$ z>~~0>si>sT;Dxy?CPeD0Mr7KO_&t(bYni~Yd)tlRE5np^hMZQ=^k0^sl;fj!%n5ai zJCr7HqH@Y)GcN}s)_|0;5`W&^H^n2pig$fGX4HB7>qXZUBl`0$UdT)}f|d4A0=m<0wCa@4}s9Ra-DQUH3KT z_wE{r6~fFey0GLrw(%G}lKq|Uv7QLsK9RQ-Td+V~_i9~a2mE$1+6qqSshjFX*^l3l zV`UCE{oITUdGYD%M@5I@uR|B%onwWWrG605kJYb1RJMLNe1GPoGb9#H-Aqq_QRGKB;YAp3?mno(PP9;&vL*Cj#>^RE{wK|((daX>A;F|tJCmI93$}FDuHR*q(n9G z#wP9w!z@6{((+b)Tf^d;(e)I5ap`R~uLqOIKN`We_KSl5Osw~pr@ zeya1$p~*3}OE{B^)~KG&)2W`8(nS>gJ-#ikdsZ6K-~U;jbc`$!Gc6e&Kg_BbpQte9 z*}{ehrBLm6DfwwjR@&7ek*c4oy^y}jrDg-i$il0L5z0PKd*v3D%kv)oBkgy# z&L7kYjq>-SDgFE01-acD!9?oWc-Q-`d7FX_>(nEC={*LwiiZ2a1})^ zUnMN6c@z(XbnMU5rX61?nsjDgvCybd_%(;NCjqm3B5(PqcZMf65o~_V?V=(RB3jqq zx4k`ba*I5QpUp>#uX?Y;dud(%s_C^<(s(}L&$ng0#v9Rncsb(zn5~fzKToa5w(cfz zbak*JDk0iphA%1XKAW&c@n9;mbdKH@%9r3BeT|y%{@*sL3(L#@-(SxOm?)B{GlOne+(?ud2UU{B%a=?-f{a!!^A$6tqDqvGQ%<5l82i78EE{5AL? z`Qx;Ul4tQU>=fTAFC(}0NjjthH=Dm6ok+P{Ptt$6q&IA6%*&(k(8Rm92%U;>p?Nig zQSy2h5cRTcy;9AbRV=yEZo^y$yrk*;Fwe&6ShdZPu*ED}f`H+e%($~SsXK00m5pfn zHkAc22gKDxwAti1b$qRd$2D7(oIH4p?WA>5w_ZG-Od0bfgv6G20>qyfjg6{W&hI3} zE)4IkPI-tRQ1Mb8RraLj~#DbQCP5cr%aRo0Posp&M3j+e3N>a2Bv?uPvHSE-t zcx@p_f7wUcKSG^H1oNMt9&c@^L&QXNE^>5-_untNUTd^#{)I2uo+KnSm<4OmYka(c ztC3~a+z7M{HVC)kLh8m$p8vD_e17&t4b)Lr($OM@XD!*MeNfMG5PUR9CU@iqqrS^? zT^kk8xakS_ECckNtmY`Nx8howS(DeQx-TSn6+_P>gB_;V=rCEQ3ucIwj%K(QS@%01 zr<8AXTc?y*e>nRL_Z(Lj_&2;av^k_BtU^mvr)$ylF-uNW$L8X?8df|mcCVJz3*x2o z{zQ{X?j#@!)NjLxZl_)&vSwN*@K%<7mCa#))O3x!QH^It`&bY85|948zOo<1wWq1* z+unupL~=WdOfJX8aoi{+__jv;?zL?uaWe7SsT5+FSD0R!=$ARMisgs#yP{1Ns`t8h z{V-(j!LJ0qm!u$^z=otN+qau`!aIKk>%YcP<;FdltGQ{SAGT<}nLaBr0lLYX%7TMBjzx-% zn6)R#vha&Z#Zfx={15JJUvme5di14xJRwbRUShU2T<>u>#sel(o7 zjGzI`<-_tUfL**_tOkP3L^9qT@v^q!2<0^H0gT1!Q6Y?clmPEdQln^ z2eZrS8f&l!GX<@x?8jUXE1vxhc{lK8#AG`QK$WRF6KUYcFiXYK2D`lt*5Y?R5qAHE z++g+B=!^6ITHWk&CQm7g^|EBNU%XPm2R<~+0G8>~E!H=~(aswexD@b!zIO{}cbb|d zi9bUw%#^PN(j66*yb?lBaKc#{k;jm(CHLv8usD1(Pak#E!7MS&H7D&8@6CZg3s|000000DJ@h000000PO() z000000G0&+000000C)lHS;>l2F%+#TM;x2pC@4aMC@6x}Rb8#S=wI|wcW&J1zWp1& zLO;Nb3lY(Uv!Wo1^W4vK@{-Fs`|ZNZ_U*|QTO_k z`~WvV?diMIaL@CozdcC0lL`txRdVyUF>_QlkWgs?0eG5cYrSTz1+!nfWBGp zA7U`I#;C_Nwj%4h-&^Z(E7p`kQ_rGki9fXg!i~1+J@c3M3&N|3ak@3^MN+d3Q^bfsG5=EA ztMuIVZxNMQ%`!i?&HUB|f#B{(wuxhz2GY1eq(jVsNTzHgZ4$@J1*NN5gPWCAz5;oP zpc{yDXHzZqyur=P>Zb(mP-M%4P-o-J^(XFml5yg+WRwrh8r&Bp<6Ow#n7=mUdCGa| z;-90M7eC23-N7Y-*hhm4t7M#q6R`l9?N43;O>;&Z?sJlH@`5OZ<6KFN`_T+8*PpzU zO8NVki8G$F%7$T#sW=lq841t!CojpA56v2$=u+#57kXM*@#aG&&J!72u0P#LM7a^x zymfJk#4)rtm+|)wxPfcO{74epSgxwGL!iumlu8Q0aBK-du1okmQDv0a%=m_r@nmUv zG@6WQ^cMgC0RR7Z0TN(i00K@R76)Qy7pHh<56^&jAnocG5)>Kl=^Nm4q2s?L7Xu?u zegTMp0)8M3QV&(?=^C69ty}<6pa50F1f*f+dU(19IR-g0mOqT4R&`7agFzL4e{{|4yk;j-ogr2)PtlH=31yye;3yY zs~0ce2CMLZsDK0JDu{1y5 z-}~41eRKbK=00ccbMDN!Gv~~?#As+}Kk(7foc|f<-&_~$?qTQR3=n?H3y|`%bF=~g z`QHP01$g)bd4RmU!T>F>ySJSs7@%%p=>Px%v=jk);7s&C%KxGX z_J8q|`o9Q4KQO`jO7ja!hi74Rq_jLh$x9Mi*v{~0G{oEg*|#1PGZqNiL>!Rvvelv3<7m^gp8e>ohDx1F|9dS zDO*WT&Ll2ebqRzYa-W!Qzq#upRuD~-cra(-*igApafZRI&C98Ak&@Q7*j)(I(na># zofD2O8et4+JpS}Gob{6_b%2a8mn7N%F^`ZkEBa4xtnb>-&g%LJ57XeF_M2qI_H{f4 z>tIENB2&2~rG=&y6M>Azz&!~Fj89k9UYFyNk!v|5_U;e3z)nG4ECB*Im0S<*!;Hjv zLHvg9e-LGKwki#uXoHj(IK%%|(HV8vL!6*;V6RmleR6=e$U&)eEwhCDlH?S2l0ZUz z@Sh^W9S^?4^q8i<@>l;$E)uf3NCnDG%=op*KAY=Yh}- zv`)iglmq@x7XO0?!ZFn3hO~7VN$TsFiXKQ9siQh@^~fb8Y9E;vPK#o1Un$#Bq+$HI7Aj#Yxgi1s=ur`I`4B&}oRS%Q8VK+`*&r54x|UOtU}C zD0E_N^Nc|LaB^v*cUxd*ou@0zAPc1V|RhSA2AZ;;gs$R^@ z*h_0HBzeT^~+*}fnhOQ)2EHSHM#whS=2?i%TSzT=ig19(}2yrfB4`N+SB)F<^f-PM^~+oNaR!yAVj2-adHGwf@fKdpGAHNw*+W&%&2b8)seL z=S&W?qY8M!M{|zZ7jfxH?&4tMpa`Udg?(q@4kN_+Qp7%qA466H=~7as$y~d}-dv9E zTTCO=d$X1=i4~@Zp2sX6Wp(xyGl?UafkH}vG(D(LquS-enZp7l>nDJD!_a$`O&RsF zWjq}zlj*-9#xG0jdx#z8pMA-GK490|N>W9MJlKZ5#L3uJ?JM;Z?y8GKphiC#r;|^l zwS1X{NG42jJ#@4JbKtgK9mjao&v6Ubmnw7u>M+j(MaDaCV(nk8}0wIAOg*hRjqiJ--ku zFo*_x`;acHRWDMIQ=Rw!Ls|&kh}g3hIf>%8fHbx#(Ik3aUOV;PksBH?77fV1sZXLpcBQ$a79o*OC5%Pzpd`~ z-HDe!R6_+g0WomfI)S^f=QF}8lVWyiyY4{|$Qf(C%F*YxjzG>;P=P_Pv+}=$aO~?a zdTgTv90W+dxh1slmB6#)WX^dt-b?-R;ElsD!%7#d|HSOIiqDxPk-+PCr-r*>5ZK6& z3l509FQ^VQ?mFdsW7u!qcjH9nTxGfOB3`AR=Zhb#>KTH-dqz2IInXt=XU82UBDoPK zHN)2R;V7A>?FXDgc|(&NB~on|YGG}E9IBc6Ay?6rk6)8|O*^m!-&Lz;isvJ9SW%s^ z{w*uPy&F^r9)7Bp^5}M{eBtJ>tQ{X2_~K~-(>X0M>UZbDys+G^#JKqHnd_xV-|D)s z6{h3~c8QlsCPVKc(< zJ2*zRwwldX=D~wwz)k9))p1SQkwj{ER8{v6UP-?O0WN9C^jqbGcrf9*x6?;(l3U^? zU%FMa{8_#-kor#qcY7Tuci`6MQ8b#687Z|I(PXusC{NVivvf^_*ugUEzE>v!6ph4Gy~%xl=IjOC1?=_qE`FNG z8@e>T_HGO)E{RC@;3_3NBS*d4bkPEelU^n9vOiV6xG z-5gWHB!U0VrNU-O33%PCKQlg%7r;PqdHgBwXP?IAFa4R$_^<$WirX#y9lan51b<)x zL)CDCpw(a|aMOvZRww+VOhEV5*5Hfsu9zk)>wL-4^l3Ub@Msi|<#-biNp99}`p=UK zzQ+6;z{XtfDMs{Fgs#A_lJ!p}yVqqAUp<_UWV_|Ab-D;iB-r4c=09wdB&<*2iVL`^ z8_1KCKYtLWgNtj8&T$mP6Jv&#$7hTG^3IAlyYXaC9lt6XANkfYm7Q*!Q=F=a5FcSP zQWD>-Tu!R=G;^8r>vk8i-IW=MyvCJ05TL`8Y1WXK}? zAv_BkCX&6*QAJHw=dG>38ltPmi7FmIAIG8$WN-I8V%0nyXVBZB&>Ko92M@jnZk_`- z@qt#!1kI!*zU@e^WIyR}zgHxxQ;^G?qtS1BZO6>_+^z?ggu7mrETgEPMAqpQRGQ;H z76=w}BWnJ|`V{bD>xD0~ zN(Zn=AEh!74<_J%2gkvK(!fm%$%FQDX!<4=)fqN`wSYT}YoU32=)5+)XxFsj z`-W!eyi$`zCfPm>#&vzbmm|mvKpWGn!Dx=?!qmhcuMUN+OWDA>l6U6+}Cuv_A7ElfEOJ8QKJl**8s!jOwDlW}57itYh^Hfko*<@Xlj zZy9b{Ig@#1WYCQmuSUkyE5>yJ**+6$QXg7ZDd}c9l6wP@zl6Y{SKvXj;RI^%U@F?0 zkfpKwJtx{pDlnJKIjP9+7ZV>(HLwnBzu!jt z^UX>fB?@#`Tl69YbSK50*n~$t&w2;^vqxd-6G#_Lgn9V)tsnP(*dW92JSK^Tk& zgW-DPH*k|k1JODB#%CnK*J`lc8fNMph)Z(YF)4)iSzqS9l@(svEq~TY*H`=f-ht|j zn(C~C07{c^@)w?c_C@)ukSgCG2ir=`vGG^m_UOAojkWjpXSa_P82t?%%k{S_>6EiV zuk^#I$8U0&Lrd^C@-Ml}hngzNnL10Fb4FBzU$ZGZSO$@P9<2%b;%kI2p(;be6Rm(L zRh*^-gsTgg&shcSWtru5Sko1(iD(rP25yhfij|+k z%i7~=LTMQd-9i+eDeFBO)igiIt~8Q)DJBygaQ>0gU=am@{e>%Psub$UWN|98e}-Z8 z-zew8*wDN2@e1-E=wu55jLP~+vU0_vQd($u*2Lm_+6b=DN%;Vbh*zYqV6a)n^a?uzKJmZzmr*Yq*Ff8X$Hj>pWt#!1`0UHGS?+vo&!SeJ7 zo*qe^BnEo4KIiEmW%mw(X3214T$pw;eN+%tOi;7DZZS=;H7;c?iN#OTy(#`sGXD@+E)eOH$-Z=HyEn508w+e6M9b=;rwgwQn^B&I@Q7y+~MZx-<9A|sm=P8(~I7k=4Ne6NP?3Pdv9-_Fuv}8oag4kp58tW;qATmDqO8M))%ajg?2nq}A4N4a z4Mlbq4h{~M=WYFsDUEYjV`EO&4tDtUEgw(b)7$^Tp%AGZaX*o_fs3ZnFW`IwLy_pN z$ne4d@peCrUB8zH>_lZ?a0>XY(xIKJmIruZukB`D-OicJNm5>E!yoo|`_WK8sI0-} zc8Q<1742F*#AeUJbJ5JjMUUh^Bn*$jQav?%RIz35TOlmlTwyoQ0ye{_+C0x}QJ%0x* zU|7D7qYZG1=AOF)ihcUF$~$*fkR0wg`~mh1_qw#YK+E_04Sfukvj|PRyvInsyU~cN zQZpFp0flT0uWgO>O81UX8yOmAQV{6r)9>8Qs;Gy!tij{D!UIEJy{z@K-Drz-n5W5J z8fFld0!)(~kd%^X&EH9=nyJ`uZJk6|a7^ET>$UfMwY&4)66p9x8M*E7#^z-p^y@F4 zwC*nE{NSDn2+N81Ob3%$-IRjyo_iLz z3`cmJg`cv|!?3;%j?w2dL|>-g#;|y49EgBt(dImwJ-+2vgE)ap`K!zr-nY~eqdWpn z87FRA{Xg`QjkSD%YsE$_LoV^*7dl$vOG{DQE{vBn^MNdP>t}BuJ_t3CathCKiv_-d z@V!NK1D=*ii~o%$h0k@bB%Kz$V3FGBTh;Rr_d~I^fY@-sSZ=syvT^c?@^$q^x_ZX0 z+qtr3_>UZwOG`!K=^@=Gw+D-!%&9-O!dAbRGCq4x?+%$iWKNm1?wwXH-+GcsyzPu+ zb#74@Z`yU+np>s4ZCye-=bln~Ics@+pK{o%2?>;0vo6eEcUZCs8<>rF-2`mjPE@F5 z|I=rwShc3HFD_fLR21F&%2&y|&DfV-+rn~P_wEDuO)1vTsX?{^T!h_`>5uvD=Mi?l zTL*^wiuV2W;xg1Dmk5b$BMM?H`p#1%;TV$XX?vn*7Hr%39OR~8E9mE}vjO^DNPb^+Hm&B@@g z2&S!ZY6y{RaLYffL6@c30@ zn4vSGmD0PPavVyFNpHJgD(ZP-=qqGqwc4y?nSbQad{ID~#VWUzW>4c}?n`B=K}Tb# zMMq6H-m*KQwdHR5PuWQN}FDXwevq^x@nCGl6mxIXVu41y}=R-q| z5t46(YL)c%bG5tHTid%SkE-Q9$xkMr5*%+@9fRQ8b*+@{NAOu@B*?iXa-|(dccn_@ zUj<~GusoJ33hq(~`j=g-=xp|1ZpZa!-dpeSB$vmxai6eH-ZCnd5xV0&2ai z#qnRC5G1JY*EVy$t-}_Z=k0+kms45;wI2cv|9BL;JzT+j;%VxMnyJd}W3Z-GAoHNh z+t%BX;%~G`d|p5T^E#d({=2ZlF((h7=~$vb%AGoU z)9Re`0UL(pZ3YBEeT{j}4`YAik$T|l)y=$TK`%Y_ZBfS0+R8)S^Xn_g>Se^0QiJpU z{$PrrhIo17{75F-_K~`?4=Q@B<4yeb#qDEt`87EIVO*f&;0Q`~xmc-*nDh1_r_SFU zs(Xk7Mf|?)^_;3JQ#x6^Ud<{AsHj`C87Uu*)*~i!$`x%jp6&RYd&mJ5$UQu0Gx=pB zYNTc)e&A^0c;@(d>C7=}Rt(u$5w~^VHrk8g!ScYz3|@?QGzAaRZl%&fx0gpoch4PkG%`RsI%CrK9-3Wo+m zknD?!LL1>6{3HWHn#7puNa6+hB=3Z4fE~_7S7Br5l0&+rU}j%vHgoZus16xiCUl5g zq}kD0?+_@A9+5^|+4ot@sV7CzWc?VVmBf$DT?zU9;2ov=nbCJS#q|O{vTylhx*c|? zA_R|EV`Wkq$s%~`et>JTu>+yrJ6BXAHgT>+K@?OMg%{hV(~qT=rKWHF{rfkU0tK3m zu~|`H-SlK0o4cM~=(pP}vgGDK)O)%?&}9ZMuPD~-PXBxS1n0{EOiQ;)V5xev}FWMeUt5AY19S z&e%hk5Qw9W2||XTHS_tsZyA6QLeuC?Rs3)kOhBEA*cd4mF>OUju-VL(HY)d7a%&xy zVoI2hipV|vr$zDf1VQ)HR+E2e@$!kQVOII))Xcj&&>Ty+A(eax0)aRpI=Hv^`&%8C zrRAIKOOsLQR1wc}b?xE`wl7loxG^Ll-?dCcJP&4M^GOQj3wIunqd)7SF1j;ayjNV1 zDBt4;WNHOPy>~)G@)rND}VlV%Z$$w2*{+{$Xh-CU*2mB-eahy6YpSf+!gtsF&emxS2%h zCzSTH9v-Yw$_@o#3MZ`qCGNoG@dQg!lET-6B$IB<0jz}nEYMvEF$h3)gdSFeff zR(L`|m~p~hR0m=5O-xLfkByL%&gasrJuD2R(FKH`PV_cm_#h<+TNa{Ud}=TFRN^s4 z@QbewzNTXmAogs}%_vv{jEYr{n;E12Wurw+Rf3V9mEbI2{k7puPWZbPx|tq!nFUUU z2?R@R8WXwvYg66n*hPLNOdPbgk^3y|(k+rBe6OTTJ~ve7r6?7?izU`B<{Bf+;tGp_ zV-w0Pgra@x33)cvaBr;c>KXfMeIMF8MS)Xw2@fL23ka8ze2*5#d9{6;_mU_!R0>SV z{XVX7!=OBJ)7Qp&@7YDv`h(3}cgv>>*-!Y}iwdi+fiFLdXFAthUf7cP!gp5$wrZBW zDq}m=7G-2%%na;q-w)jnMvAdC#yYfKVrur_36Hb4@EuokREl1U3{6Oclzy_Ln`1Ou zAs)m=kkaa9oBHy}`@2_%S0!?O(G*E$F_B%{K@_nfyw8Gn$Ox8QrB4R?zqc=IqiMhF zeQOBT5b68DCbf%9&avAb2DJ8_;%a6PWc>ZYm$QF5B{DF=?>Twl%i}v8>>0Rrp~6R Q1;)4+XdfRj|8sZ#A3{9c(f|Me diff --git a/data_selector/db/data_selector.rtlv.hdb b/data_selector/db/data_selector.rtlv.hdb deleted file mode 100644 index dfd6a95cb7e672218f84afc90dff19bfbf942259..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7151 zcmZvBbx<2X(>E?fQ>0iS1S!SctvD14h2mDcNGPFLa3~VmBE{X^y~Q1ZQ-T#I!Ci{m z%lkZkeDltHcXPA1zuDc{yW72;xg$YCL#rS_M{|DaGEZ|o8`m%PpPhkX+`K?JcY7ym zAisbRkXM+8PlSh`msbp^W8>;!Z)F42vaoUl@&k3$fciF;Kt*eNw4@!@3VSV^^ae#`K^lN?_3#3W8rEWgL)-LI<;Tkr|#-l;5 z*1f!e!7ekc$|1tM97wBkJRTN32~3-MR%CFBNYu&)8cemHh7zF;2o{0;~=dT3D7Rl zmt^ea)OuYqHLT);PkY%itc1AGT*4*c>mO1bRVy$H2{o>UbhJ1La^XkdJ||(OSCNeI z0-nesgL*Ol4={~cQKDCl>GIn8dg4yks)s{)Cf@FY9DWe19m1U^eO7~Xh5;EsOqkk$e0Qj`{_(;Sj!A=B z;8LO;BXQLoqqDp>f^s6KR)NqLjT^KxT!Fh0IUO}nzjsKOLsty7Tel15ZuVnw01W}oOa zq(^U)sA~mI)YVeVz>XD{*R!CY=TMt2f>BjFl#kX5r+*VCP^>HOW|y=yjjxa_Z7ip8 ztSgtQ${hp!;Vi3`YV+r=iLc-sVk>K(XLHQ@qa^hGr5~JK{Y>ziyIJEYTit);{glcO zoWdE5WxS&Zwi)Q(p}djW|22Q3`Gk9*{)02mc#Flq`bUQKX@;FH$UGBB(k*N%%_!S< z(Uo`rb};vH*6HcK2vwhaGk$5H@o?RP5G^`WZwK5~G1k3E$x=+;Bog#0cnP{; ztpuj~{1m0FTl>arLSCG$^%_{8ul!Rz(^Xd6hQC)1&I%%n5qx&RrQ%OSi&7xODi>}l zj7Y~*OOVJ>D|VbWiTMsn(7UoYNDZvkSrY|&y+Bg*q}@wKBW2GPBSaIpalM59TxS~o z;Hbl((MhVNA;IHMEm-l???;eVj9p4u$fH{bDyB6|e%3=%)Daw?CDpgZCbgcmwO7SN zkNib7@=TB}&#+nlbsd{*S)FPc1*wuwy6@P26qzvje^h=kjxmM``1GySn1o@Dx&n};l^C2K3}-1&L&bsZ z>O=zU6L#uKLb&4MM1OYwd3T3lbbo>g@0yvL3gPUg7k;8wPNP^|J1!ixG)bYDn6uW) z9;X_8CipTnciMi!7CYn*Ie5ml!!Ss^=ymF=gV(0S#}jGuoc#%g=#d(>*mrh<921fD z-}JU*!FsY(8h>m@adP>IwMB5I;}Nh=^sJ>y#a_hy?^nx!XJLs|*~GpUp$OdPVcIZ&W?pru1i0j=^cq6aB^5 zUvp+)S?px-9uI7+CDZiF7~Tv_3;Y?VfaplEKB(Twll-<&Vt0PDG~c2sxRtzg(m^>Y z9d(US`bVqF31IteXP(3;G92?6Em-EQJ0X*ZI)(XHW9Pst2e3leaiM84kYU)N_h3G1 zh#3VMwBjG6{JE}}VlCWEV(e`fARTS`NmTYQ^oun-+!~%u*n~&ga$EIN)j5H2g>qw& z7>hQ$J*xQ2k|&xdR!L~4r6+5a^U==X=!QT+I&`i{b7s$<`VxcB=j_zt^oKlZ`5FnNqR z{HW;t>aj!6vgLTJWnqhizCrBaD77YG+WXxlwoo$l944K8SwTTbGQg$rDlwY;=fWzU zUz}-&!<1jBt(1K!KP?-K*C$yYf2k?Xik82ZyxMrdX zjVs;m0Vr>l!1x&+BVq$z;I}R#T+;=Ya}WJw(F>x65R51UB?}ZuA%~JF%=>IQSOLe}FFlLiw!L(AIN-gF|Hb^J znsb#@cHb^qJ}74M_KT#tPhoO}t`Y+S4xv7SgwMAFQId_b9c}KcgSS~p9PR}Y0!@;d zJO$}KiSHW|y(dkZSWI`?m&>n%H(VwrBNE9@0D*I0$>%UH#LqmdB+-7IDc43By)Zgl z*ivG>x@$0@7!j$vc%QDB2&?TuadjtlMWx=MW;A9d0q=vh_s*hbJ~B(ba)PTemQjB= z#?czSWdna<4QHixWddG!w;8fthY?~8ilcJw_cH)g9k8I#F@EC@nm@KqzAF@6t)~r4GBi^QM?v%Y1YxolXIz9EN z4z+6)VUuRqoqE_Jk34-0BgvEaaLiMj^#soOY@P8B67a7R$-YxEer8zxWjt=nM&K=? z%^*5?Va3tmOSqg)ORe%ZSe`;}jV#{M3A3!1a%o+%lxKB8PRpKe+|Zs)E#Lb*NQyNk zknY|!RJ+lD*IeD7P&CRZlF0u13@_td0d z8FWG}Tm@_e`JPuyNNEbdz#}5CV+sQWI=2C&JP?X@=>~AiOLNIfW5NX2+CUhaLwLy@ zu)u2*tPx!RufB4p2^sns^kc4I0gpm2_#3Q67<4GU8WQFz1-zqEV>5cEiA!cvQdpjD?bJ9tMkBD5z$sGW%xJ~< zRnObs!q2wF1NWz0rAXc6f@b}%%T?!z0@ubp9IuCS%hRl5tKczd=sEobL1vY2k_Fop zKWyodyocU3MeU}6kjApB~V`+@`pbeFH;%4|K2moZr>`Qn71teCr6pdg*Z%ukKUxi z!KYO=@XyxpJpOfvYCG*&@hO}6JQ`hTn{NQ)`5~C=N)!NT zdagKFr_I)~*7#ti*2?PTXu7 z=PD#V9y}1H9I8{hCK8GnhoxiU6~U6B*3S+$jmwUrVP8Na0!jPi~j|i(XMN2$eHA`GyXYTh2B7zsJVg(5Tq9Y zBl!-ChQX#~RbGV)friC_A*!RrDyQ#LtlJ2iGF2Bsd_J(Gxzj_*AMu0i1DnSfqowKGvyOO4&^>s9M@(FoT8uW*orJY3&TE26tPz zd|NoC400_7Mn<;;U}oUzr4+h)n~Wp+q~YIT2&oV#KD08NcSZR#Iz|TEx1k;ztrC;G z){BAf`j`Uymm=PUO0(`#1`~m~z8q=h2hhpv(#BRUCFco@(Ku90}QVkPTP@rQ6 zZNgwZ3cYI}Bpv|5Oe%Lk&k{?=1&RUy28O=Ty^76O?DbLT#Rnl3fLK{vis5SqCyHk@ z$Ega(>;s+wiE*1uHA39tc{Xd*qXdYZL-m?Aj-?52@8*)6*9OF*FTFaJ6sq{Xxf=>1h#&2xWx(wO{%K8Su`dyO4?fsz#)zw|F*4PEFCOU)-+2{0G8j;!e z6(@Aem-tAPGVz0f14wg#r&i2_gyBR0gfs+B`8gj^Om;1+*TmPgQQq+#7u5&}|7s8v zZfq3fasq?FPMNcFGm|sT*-cGE0bJl9y-U3IP5FwePB~={Lt^@!5x1>V%-if*&T$E} zv4xnas-h$w_KfIYslGQ2Lj z>gGO$m<<#aFr!s&qV+oKfzF@1BmbtCnkH`S2vYi>T3boP$cjCu9MiwVTj3dghInF@ ztDepGqhorq7GN~Q6iHInE2pA%rl+Q%c6PG22OIHfTli(~>5IIG@E2HvFk%>asXk-ju5JhWr5fP%Z262vb{_2>T|R zJ3R=kp@t%jt5}-~FRZ&Yf4Rl2&=c*H6?)eiOtMR@m%zd%DAUcpM%iSt#wSmX&OpzKOjt5B0jIA^J>XtiHqA#M+T^Y_TJd zBZxq4rt}jUOQr1&#M2kXRXm_>dO}1BTF$?~8-;|<_X`nmT{lm>$27{c>3oOP*TkPp zbI~m>6M{euRdh-G^K58al17Q_E*9>}7R*jrVL!sUM^zO2X6whFMVFBXx!AalGsT(c zG)D}?oAu<)_Hc>6+``yz4QtLZ|zxVyi%;^OO&iZ+3BfqUK$kZ4hpPlFjQ2VEif zh@OKisq)fP%t4ODK}0nBWfXrHVBGjd)Z<+s&_z~F`RtwQJK61Zdi6>Oz+HeKQstO9 zH09oQ47&B3{p8IkFIBO3o#%)cdT@qo=iiI~=9LO!=iWgt8fskKDNZNi3-PhXFFB}I z#(Y$(NC~P{7y(&Mo`fuac^Vm$CzyVa<=e>}6Ng#IGSdv?&^=v*jw;|!nl=>b&>nJ4 zr0=EsoASE;Yfu&LQSoE+&tvv1i3oypm1{ZcX%aLV$&PR|6 z&ZrlJ@Be8#N_C!I#~w^uHOE(-;|{2XX`s8>qwdM~ei?CdlXZMr+Z_ijE(yW5`` zrvDcqzrs5~qvO}KGfs1C(u0SBR9PkuYMnpYyN_q|pD!>iOSw*nuiF-%3Q($xteHMe z?H%prW$JmT^vmkK2#_Fo`M_H(h*)Ypy9%l6al_gC$uZK-Jih;;QRLU?l7@)*okjL| zDYVPmmOd-gB`aK1V4^=5+UR-?ZIQp%UDz^5L=p#3Z6`S_e`{_u9z`u+cDYI{@Ju&X zR53lJ)_M878PDh3^cMN1r6nHW+gQm=#NKUBB{C`ELT2Hrwq;9W%C1FC%y?l**9lhm zYWzatfzEl41fe=!%H3s$`uZjJre@4Gi>r#A$4pAS-tTbVRrhw+ud!_XuWw`BnFmGI zfs+*Yyt>Z4X0UF@ez*>zcjon2E1p<4z(=w&fM?Rfmr=XO(FR=BAi0=k*GvjBUy^Zu z+Mx{+GY0;pHh+J4dG`?;uYrak^t|LU1irRHfASy;p*IH0`icQ8&iM$pSR~tgW@o@j!RFWlE6H(~UQ!ShB&J(r z{!8^xlDX?{iEJ@DJKaRXuk&>hX=)-6J|4)m6u+>VG4_mkY0rb|Us6#@&C?xT~V?eKMY+ zVlA0IEbE6L*BAcZ@ERt!$UGFdc$`B@%B^W|8O0)Ivt@nu&aRyRLZGpCc}^YY`1p6V zoi=?@r{5HCy@m1k_Tzb`O`5Ma@(n)j<2odKYWj6%`s2k3kL>(?yVU{Dp#c5E0PJi9 zD_dT|E8}Kz{-CW>@!w`8GRUw`iUp5Z$2O zP(*y?rnpUY|M>Ka@c8J3?)yPgh1`Ptk+Wf1{nzA_V4chiYnTzWO7Pg&*dg)$oyF#Y z79MMJf+D%;h&-q`}!brs8hc0K!O8e}w z@_G5v=jeVpshqOjBc>s4LtsZ1QLr-?#DR&pD6rjA`e@|Lcxiho-&PEq(3i}eR+k7^ z-*W1<%jirpi}{m3KlDfs%`AEwe~tEMRMT)?~)^{bX&m;f5NF^zxbO<~igRd7OpIZBky z8Tz9The&DeD_TN#FxF(lor#uHuM!4uAe+=)>Zd?3- z_JO>I+sNjZsAQm)KtnZP8msS{tesY(8Ba7eR( z$!H#ZY-N!?Wx$~oQBOrZoyOGZ(qWJkBgB>cO?lCptjPGipIbwUBMv2%1RKAd^^Dr@ Tj^C4Wps43)$`6?Tn>+slqPW_h diff --git a/data_selector/db/data_selector.sgdiff.cdb b/data_selector/db/data_selector.sgdiff.cdb deleted file mode 100644 index df0bde8bda32ac1dce090300448bd5142e2a0931..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1226 zcmV;*1U35)000233jqLb0B!(300011WpZ@6CZnhl&000000I&l9000000PO() z000000Llaa000000C)lHS=oxzP!LUz`wqUi3%&^Ai#lWbdjBk+<5%b}sNjYoin|Q> zBJMizoa9!zQk|;KKwub2HMi@g?y2SO)#<9Ls##GKTbcg_FJ_edL2V6O=yyis=ab;S zAR40_9AN7PFh8rRL} zT#Aq{zBNgk2*Z09TI#c=7gFFbRd$wVT zB*ALFo7#f4Etd^{;dc7T{;}vjt9sjpJEVi5PCoq=ysfr+tt3~dmtUcmM~qEC!g)Ya zUE(cxubz!h{Lv<9c*9a2UDi+gmSwd~`ol$9eslD4o-F=bPQ5dZO@P8U zUj=d6`4)%thktI|IFY#}!D>#uvrZduVVp05IFZV@{_xj`8z&M`SMQwDwkl3ul-|7e ztXY_Ae#UWadh>qRiG|~t6PntN`3lFAd8QZVvB2NgAkLn(UWdduFE};<2;+R1<1~Ha zV>;p3q(5f9&c05^1HFq*n~f85;u70fZmZm(1I7VRY^P8eov8_(TSKl=^Nm4q2s?L z7Xu?uegTMp0)8M3QV&(?=^C69ty}<6pa50F1f*f+dU(19IR-g0mOqT4R&`7agFzL4e{{|4yk;j-ogr2)PtlH=31yy ze;3yYs~0ce2CMLZs+m=G@mkXRb4I$S^Q4%84;CzWnQw|Hj&u5La8LFCdXOJRljEt%C)K zkN+)*N06IWh?|dxM+Br{330PEw*;w~ncIW-KpG!FI+mY7@)owxe{Nnk|0w>oKh*!V zMtJ|VxOD%u9+>+^gei1dnMZ`uOkw1pMFnDlvRI2l7DH|80=9|ttgPHZHenE{_@7`o z*VI&-S*`GhRU#WI77S}5A3ExK(m*2Cw-Az<*jKcErGFul?~qSVToek ztD2o-qTh5PSh9rtscZP_$Kz@sIT21N3?v!1ureEF<4cv>Rrj2#rcp2B0DqtBgb(fe zgp5{M9~ASAW#%Jin^rUg(=I9|+mFf3%Q7baYVP6cHc`@EdiB4bh>!IEok*<*bYq9( zKOtkG2aEk*fTs9pl3kZ5MzTy2$%v6i3$&+i4TAcqtHm= ztge%+x>w8z+5aCc|3}Wrv!GuX*15xR*?xzw7`R*JobH}9mHO`z(FU<$<$5j0Ghn$!(9ic2WE?VUze_A?A&GwncwEoZA zp$$2;l0{4{8Ka5AK*MLnb)96kGdRJSL`d7NR*FjM<@Iei4u0A;X?HPHKWl~0?&xUFh(dDTIfL!Us_W$Z)oGc_&i^0Fn3tdBg z2B^1a4h|i1TYi{Rl^kR!gtze=XsryNZtq-iTAT&)^Q-Mm+1B57`BOmO;gYxJXjsM% z$i-J;P)y*OBy66Z8de?A@@=pJPgSIjt&5}c`$#l;W|WhGpr87*ag1%Y&sqDBHAF70 z4QPva-nflVsTQT+(?DfD?4>ZSc6(4Z@{Ir;`(>vd-$oFh1vu0Y2eEXoGr-c=7kh+& ze8CPRQ8Q7PY(8wopbMOoajIrZ_~`!k;_v2Zs`{|l{vrn&mJMiTAU9TPf}kf)pU@v8 z(zbSpQ$$(lQ*_!pu;Aq6q8)uFN-uvUBsGD18oi3RyOe!Ad{=z4S`1p zZu9IWKC2w5JIphT#3%YU%2LtDq9nA+rR9gEds{%cEugzOD`QCI#PYnF$j|c7J2Je1uP0DQ3!PZ3DP4%fA|8~AB)1M zUWiXpRE=dU#LQDIZ0bjMbeCaMQZ?mFP4(aJy8N!i>^1qoop~Fsd3V-F+0yfkZ{sx( zQV+Ne1YG9<<~U`){JW1zp+77uiHs}Q!3hG!?S-w7e9LO)_UFCTNyp(4UFAJdIL zf62sH7d$)2m`xu@sdM%2+5y-2z*A=6sfLt?Q(nr@hU@*#3)bgLuUzlb0nJLGi(In4 ztg?r3jFywe3O5dIBklWRqr^z;b_hRhbFgx0 zAwyHt;@>Dc9;(^c>0E#*ToMt&R0O&2vTz8Fz%a_%ZPI$d3uv~JI=o$3@U@))Yk~tt z@OP66wSFq%t;jzySjf2YVqV9w8*{1L&Oz&%uNbQ%HJLXsUld(n=|!e zWI*nwf04h9&6S+oA{+Dil^@MV{>^kD($o2YueD9Qj|;P#yVhsAi>F z*8!i!Pk#Gf`dLiAF$!VId{qkaF%06d^{y=% z=K81h%Js!mqg;1m!0+rK?iEVK2YiINbZ2XXY_p64??M7sBHwi`fl!Ap7soLVNbKF^ z`9*Rl=ku$PeocJSPibeD(Mm}b`mI(hnFDJ%G{>Xa62ds(3MyRs^@&uwk+bCIZ+mYS~{u9rpHKCG{VkR7c zmhVpXC%-#+0fe-&Z<|=gw_5Zq@omua%W=0#5)k;TSY+UcvoJj@J)KyzVYxOT`>dz- z@D*@f4uH#ris6AhTqjiFhOF>Tz!>L8%o-uVh0p7?Rx}$$$FF_iK7QJmsCkhu`u9fy zQFa-`oE=}cy^r!3CqrDuJVmQ449AyJO-9v6HVf!T5`R4K{w5IL(v|9LFUMtIW4gMl z0I`w~)H~V>2z9!92@Guk9|`l`u=TfOZ0vq6y-JXmE<_+SEVUlwSm2e)kTigZQRrY2 zqpL-qq0|rUKginyl?p|wNhVo+c@amZJR`Zm1j^JS=~L`pFBW2qwJZN^b5VTJW2j}r z?Du!32M>VMLuDW&2_Rw+nt@GFh)9835WUzkC_RcqxH7HtQMeE@uZuFRiw2-5lg=d| zf=qhPol9z0mp?kbM5E*>c$O%5A_J=3Tj(i19g~;e3^9~jSgxQM_0u>M-^NK$xKyy` z?=WrwxB2gx@^EmtS)w9HJ)WuDI2O~$I{RL*>!TrU!DnJbXMD4_{|Zoqu!)=@h)FvF zK^Bf6i$rKif!V^Qm{PZX7+8O)Qt~7$hZGIXccjcGySn>F>nH$ z>GG}AzWLBlO@`B-Sf_zl1IxaZf1es=ClD^t%91V=O)ZHNVKtI!Pebm@5_!W5QfIhU zi0M_wePogSlKib1o7_wOL5}tM6)0ZbeLz4mQp%N#$IZu&6vw`XZ6TGGXSmAv+Z0?S z^e^g;3848BcY|$6u4}N>yL6DM5kP>MD>!+{@4XE~VDn{tCGQIaF zxASZUH0y?z#WHeO_Pv(cSJmT6D5Fq((3881L^K)6wGjrd-a7Ja5M0TciU7%5XH*ju zw=kG0sfNA=+eHQ2MFiVL2it`Q+x?qH2HV91x8SnpUKnHJ_$9UGRYoF&FSf z^{qSRIOIMZ8|A^?Ci8mRrXjb_!E}L*MKt&2W}jP@lzg*INsf-4iS!8tzkGKG(>ghY zOA#XFB@^jWim*rovs`za6hXaLA{bJK5PmO5$H4)~0LN2N98poQArMak$h{k=UxYRy zpAXu0+dt_jrCYhzQ%#HMo~~Psn!dKK8~j-4#n%&k2sK~W-QDWlG8I{cuHL&nZSOVx zC6lGILPm|xjM^K)?%M$IoA~|0KQR@49%k6ED`tM!a&9jvPy=;<9x4bX&crxUXVNyE z(21qhI=nbq4h_|EcDnD|x~ifLP}7NPe-SyvkspK6qtSO$Z;uR}md^iX&M{NLNFt{3 zoc>(+)(ijmHK6w7r|PD0OQj_fY4_z-!@q_jSblSl``nJ$I9I)n!v0W5pLD+F4^t--nJP>JjJ?9SFf zh3lYPMa8jv=xkwrK23j1puVx8ame1*7HMMdl`|zCRe7z(AyGA&Y7`%&(84F)e7EXb z#5r(A>VGE4a*jSgMSj@+ZtCO$=HjU3TyQr+TgKhT1?YT_2$FrVlxi5D3lmR&1(=i^ z@5It9XP#Jz+m&;a=g6aKQVP1}oa1%=(ZY8RbAMC!FehVWMn8GoBkO?{HP}&W=(;wu zHldP(4<$A~zJ-=_kF@-#FZ_ zev5~XI^vrWM@lgwKzhCT$@%$dF(o9O;O3U!2%Z;6=`KvHVKtk#;_xF7Dl|mv?m|4-D#$u>! zm@Qxm+MAdEn7v+!Y=s;N9A|V%Bh6T`m5?1Dv9liB(I9rOHn;^Lia%coLLcJ0XoxOp zsG;+r+7b$%u;7OQQ06UYo!%1B+3_wY>+%Mz&Y>dg&dlSFM7oJGe3Gl;z|6y-ebyq_ zW$xI_xB7Y}IEF*$OMBWz+I#|`A8Ms(8EUfq(9KGFwNhtM83j zp7+``i2=BOjV!2KC1r2?V4$$Jsfz*cH07r0m5;ZZOWN~9`|Y)Jdx17v2j2YjjkG(f z&anKCLF39X>DDy-4(ARf3>uy(stq?WXtJlviHFl8j0-w5leOupAMwyDiHGxAG47kw zh3UsG*D7Kz6Dpp)Dlr_5ct@ivQx|RqT~1TYDpLU;DvOas4`w0uVELYzx)Gw7QnI(s zmXHzV1VfFMaAcx!*Y|0!2;F+6=L&BwlJ-5CFN}*id%Mdog8ISg#9#93(bV$Js;-xI z?WEem$_r!S+N^Jkj#?p;!5=2+ou%HRvn4>Z-+9@E?l(MgVM}+)XuGrQe3fcS~vV_-#vnVD!f?qPdItTM_N*(H_N#X z%Xr+DLBeIhNVtwM8?(q!RFt-|GX)-HQnUY`aB2m-9<0H~81gYixG8D$-Zu9^ak>{w0*PecAXkMg|1i5gFH=VK7FGs;+E*4+BaeSm3oCLNWWV0 zGCjYaPo&qWAaq*KE`ugyxlFJrJub4(5tTBFgjq!Yjtg$kbAc(GcO+2ut+_vcGH z$6)~yrCYe@RJb=)rw{%5pcF0f)?EM8_5tC!j$^i;ije4?S&m5wd;mTFrGp zcduql%Mx2Sx^rzRmsEZ!UfP0_{grGud=Dv_?$gj#(&ll_vwYE9I-qq>dO3F(Z+$jb zF}z^p-B5a7H`P@!-NQOkP{=jXt2czYAK23!rbmi-GcY@oAff$`%D;ggLgKSJrD98X zzOcv1e-Zj7>EY7{y}ViKcIsR)Ec`X#3hWWNGpjmD-%zcGHvTLaqf!8F&TST?-)UzL zyQ=EmN5>$$8N_|^_9IGv1&86j+prF2m`XmLF{G`TQm$Ajm^tTm=MrwZ5GV0`z`I8n zynf4}#+srdsZ*BZg=<;r2+~y*Khm*f=x9sk+`QTc_robZ9xXRJ-sX#$I^TU25dqW1 z3lL3l$4B$N3c^DgvQFi-^5W_~{aQBk!OR30}YO44;xv47F z(eJBDvria`zWiCvpHIHj5CQ9h$7N<&ml^NL2fY&6`Gztyhs520|kw=?cE%Jyl4=H8P>Jy&(e``n&Qr{)#a zu@5oUF$+(km!WW<{S|auRXZW_FVCIa58KqI9rDqcx%PA~oaB9s*LHIURQ}R)|9SO} zEzSM)nGwRkM*o+SfO6TrbV-lDkU(DX%2Qi`{Vimvnat$V_#eLJ!Ep z0#cI9_VPNq{#Vn8$5l3H*zc)7>A@;&PszUV661M2cl^tAPAfdIzYgprVi7hrzpP7BK%RDzjUzN+Q z*iY{gRK3?x5JWrEZa_|Knr|vgbajF<*Zl7zHU`GyqX(w9=11@|vuBT-^;61?HeMB)`A)VYs)wJXGVjv$)eCRHO;~@_F$Pjf++I991SB;=>DX9 z9{CUOkDdGF!N%H%za8mLZYxg9mtIHr%QL!MHU}VkwgKz$fC8n7YHS_6f&-94=* z7G(~HHo`nVN#|60$d#tLJ@k#t1&@dZaJPfLE0*8O9JM<}l|)w2+8`x=-@=gz0p)t{ zV-*XxvOYRksaXQ+Bm%95uy}JuWuOs)0qjJ0Pz9W`%sd567!vYgq3UHjWnf(bkT&%Z zCehIy<{zUS@5cJXH&iwn@XK4h=dhR{*n}vB*E<2iIbU#>r2o*A&4^?If#1e5=Aj_> zsx&vsr{g{zouFBRK275cvC}=?Uy_TY+^yBwAp4gb%uTN=A3_c*R5H}zFKNDW3os~i zO=7HN#&tC|#uIN6&sf{#e5MUbcsM%wKuYw|6chh*PHt{TS~3Syg`{NGO?3jV_#FV1 z8|H$0*yck6t$zNp+f$)OZ95GZVQ!_;RoZgrK`@DG!1~^QNSjMnoGuhGQXt5uhASt+ zxD;#p%3e{7#1<-E6rzEs(viu@RI%VycpWRyR#re;Yn_BgiedO8jxiECb;FV zotZ3GGT4@ep-5FPjaFEX2&h6r6IF$e`8oub@Q3|%bI$4xO=Qo^S!g~NsWDmwljF%9 zAjIDegHC6Eg!Sqo3qIuiSyEY3fb{~1V4pjX+X`F z&9n^Nk74n-J~$dZy<%nZ4L=!TNivv4D@5BbKF8i{n39+<>k#BaSyfshI>$1VK4u(j zV2`m;H?4)P#(kCcYA(hoVh=T{>(7HC3_b;qx3h^)41be$3rA7>5C94^o&a0r{Wf&0 zf4pd!{Vdhm1fIergjF}CDTuQeeXQhsSVYo<8rX`Y6>YXIVSCA}Z)gVUe(VbPl68B# hW5+)O;N)>rvl#9x`{wNDl3y)P_Yx!e4*x&7^FO@W`f&gN diff --git a/data_selector/db/data_selector.tan.qmsg b/data_selector/db/data_selector.tan.qmsg deleted file mode 100644 index 09d9276..0000000 --- a/data_selector/db/data_selector.tan.qmsg +++ /dev/null @@ -1,6 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Sun Mar 06 21:29:32 2022 " "Info: Processing started: Sun Mar 06 21:29:32 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off data_selector -c data_selector --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off data_selector -c data_selector --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 6 " "Info: Parallel compilation is enabled and will use 4 of the 6 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} -{ "Info" "ITDB_FULL_TPD_RESULT" "b5 Y5 12.694 ns Longest " "Info: Longest tpd from source pin \"b5\" to destination pin \"Y5\" is 12.694 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.995 ns) 0.995 ns b5 1 PIN PIN_45 1 " "Info: 1: + IC(0.000 ns) + CELL(0.995 ns) = 0.995 ns; Loc. = PIN_45; Fanout = 1; PIN Node = 'b5'" { } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b5 } "NODE_NAME" } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 432 176 344 448 "b5" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(6.147 ns) + CELL(0.624 ns) 7.766 ns inst6 2 COMB LCCOMB_X1_Y9_N26 1 " "Info: 2: + IC(6.147 ns) + CELL(0.624 ns) = 7.766 ns; Loc. = LCCOMB_X1_Y9_N26; Fanout = 1; COMB Node = 'inst6'" { } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "6.771 ns" { b5 inst6 } "NODE_NAME" } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 128 776 840 176 "inst6" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.642 ns) + CELL(3.286 ns) 12.694 ns Y5 3 PIN PIN_208 0 " "Info: 3: + IC(1.642 ns) + CELL(3.286 ns) = 12.694 ns; Loc. = PIN_208; Fanout = 0; PIN Node = 'Y5'" { } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "4.928 ns" { inst6 Y5 } "NODE_NAME" } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 144 928 1104 160 "Y5" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "4.905 ns ( 38.64 % ) " "Info: Total cell delay = 4.905 ns ( 38.64 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "7.789 ns ( 61.36 % ) " "Info: Total interconnect delay = 7.789 ns ( 61.36 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "12.694 ns" { b5 inst6 Y5 } "NODE_NAME" } } { "c:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "c:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "12.694 ns" { b5 {} b5~combout {} inst6 {} Y5 {} } { 0.000ns 0.000ns 6.147ns 1.642ns } { 0.000ns 0.995ns 0.624ns 3.286ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 0 s Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "192 " "Info: Peak virtual memory: 192 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Sun Mar 06 21:29:32 2022 " "Info: Processing ended: Sun Mar 06 21:29:32 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/data_selector/db/data_selector.tmw_info b/data_selector/db/data_selector.tmw_info deleted file mode 100644 index 84ebf94..0000000 --- a/data_selector/db/data_selector.tmw_info +++ /dev/null @@ -1,7 +0,0 @@ -start_full_compilation:s:00:00:15 -start_analysis_synthesis:s:00:00:09-start_full_compilation -start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:00:03-start_full_compilation -start_assembler:s:00:00:02-start_full_compilation -start_timing_analyzer:s:00:00:01-start_full_compilation -start_eda_netlist_writer:s:00:00:01 diff --git a/data_selector/db/prev_cmp_data_selector.fit.qmsg b/data_selector/db/prev_cmp_data_selector.fit.qmsg deleted file mode 100644 index 4ad3426..0000000 --- a/data_selector/db/prev_cmp_data_selector.fit.qmsg +++ /dev/null @@ -1,38 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Sun Mar 06 21:29:26 2022 " "Info: Processing started: Sun Mar 06 21:29:26 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off data_selector -c data_selector " "Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off data_selector -c data_selector" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 6 " "Info: Parallel compilation is enabled and will use 4 of the 6 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} -{ "Info" "IMPP_MPP_USER_DEVICE" "data_selector EP2C8Q208C8 " "Info: Selected device EP2C8Q208C8 for design \"data_selector\"" { } { } 0 0 "Selected device %2!s! for design \"%1!s!\"" 0 0 "" 0 -1} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Info: Low junction temperature is 0 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "Info: High junction temperature is 85 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 0 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208C8 " "Info: Device EP2C5Q208C8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208I8 " "Info: Device EP2C5Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C8Q208I8 " "Info: Device EP2C8Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} } { } 2 0 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "" 0 -1} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Info: Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ 1 " "Info: Pin ~ASDO~ is reserved at location 1" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~ASDO~ } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ 2 " "Info: Pin ~nCSO~ is reserved at location 2" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~nCSO~ } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS54p/nCEO~ 108 " "Info: Pin ~LVDS54p/nCEO~ is reserved at location 108" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~LVDS54p/nCEO~ } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~LVDS54p/nCEO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} } { } 0 0 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "" 0 -1} -{ "Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "26 26 " "Warning: No exact pin location assignment(s) for 26 pins of 26 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y0 " "Info: Pin Y0 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y0 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { -136 928 1104 -120 "Y0" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y1 " "Info: Pin Y1 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y1 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { -80 928 1104 -64 "Y1" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y2 " "Info: Pin Y2 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y2 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { -24 928 1104 -8 "Y2" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y3 " "Info: Pin Y3 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y3 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 32 928 1104 48 "Y3" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y4 " "Info: Pin Y4 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y4 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 88 928 1104 104 "Y4" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y5 " "Info: Pin Y5 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y5 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 144 928 1104 160 "Y5" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y6 " "Info: Pin Y6 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y6 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 200 928 1104 216 "Y6" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y7 " "Info: Pin Y7 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y7 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 256 928 1104 272 "Y7" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b0 " "Info: Pin b0 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b0 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 312 176 344 328 "b0" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a0 " "Info: Pin a0 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a0 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 64 176 344 80 "a0" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "AY " "Info: Pin AY not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { AY } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 576 176 344 592 "AY" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { AY } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "BY " "Info: Pin BY not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { BY } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 600 176 344 616 "BY" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { BY } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a1 " "Info: Pin a1 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a1 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 88 176 344 104 "a1" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b1 " "Info: Pin b1 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b1 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 336 176 344 352 "b1" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a2 " "Info: Pin a2 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a2 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 112 176 344 128 "a2" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b2 " "Info: Pin b2 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b2 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 360 176 344 376 "b2" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a3 " "Info: Pin a3 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a3 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 136 176 344 152 "a3" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b3 " "Info: Pin b3 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b3 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 384 176 344 400 "b3" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a4 " "Info: Pin a4 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a4 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 160 176 344 176 "a4" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b4 " "Info: Pin b4 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b4 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 408 176 344 424 "b4" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a5 " "Info: Pin a5 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a5 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 184 176 344 200 "a5" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b5 " "Info: Pin b5 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b5 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 432 176 344 448 "b5" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a6 " "Info: Pin a6 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a6 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 208 176 344 224 "a6" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b6 " "Info: Pin b6 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b6 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 456 176 344 472 "b6" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a7 " "Info: Pin a7 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a7 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 232 176 344 248 "a7" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b7 " "Info: Pin b7 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b7 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 480 176 344 496 "b7" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} } { } 0 0 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "" 0 -1} -{ "Info" "ITDC_FITTER_TIMING_ENGINE" "Classic " "Info: Fitter is using the Classic Timing Analyzer" { } { } 0 0 "Fitter is using the %1!s! Timing Analyzer" 0 0 "" 0 -1} -{ "Info" "ITAN_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." { } { } 0 0 "Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Info: Starting register packing" { } { } 0 0 "Starting register packing" 0 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Extra Info: Performing register packing on registers with non-logic cell location assignments" { } { } 1 0 "Performing register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Extra Info: Completed register packing on registers with non-logic cell location assignments" { } { } 1 0 "Completed register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Extra Info: Started Fast Input/Output/OE register processing" { } { } 1 0 "Started Fast Input/Output/OE register processing" 1 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Extra Info: Finished Fast Input/Output/OE register processing" { } { } 1 0 "Finished Fast Input/Output/OE register processing" 1 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 0 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 0 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Info: Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "Extra Info: No registers were packed into other blocks" { } { } 1 0 "No registers were packed into other blocks" 0 0 "" 0 -1} } { } 0 0 "Finished register packing" 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "26 unused 3.3V 18 8 0 " "Info: Number of I/O pins in group: 26 (unused VREF, 3.3V VCCIO, 18 input, 8 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "Info: I/O standards used: 3.3-V LVTTL." { } { } 0 0 "I/O standards used: %1!s!" 0 0 "" 0 -1} } { } 0 0 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "" 0 -1} } { } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "Info: I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Info: Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 2 30 " "Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 35 " "Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 1 34 " "Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 36 " "Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} } { } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1} } { } 0 0 "I/O bank details %1!s! I/O pin placement" 0 0 "" 0 -1} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Info: Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 0 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Info: Fitter placement preparation operations beginning" { } { } 0 0 "Fitter placement preparation operations beginning" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Info: Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Info: Fitter placement operations beginning" { } { } 0 0 "Fitter placement operations beginning" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Info: Fitter placement was successful" { } { } 0 0 "Fitter placement was successful" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Info: Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Info: Fitter routing operations beginning" { } { } 0 0 "Fitter routing operations beginning" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Info: Average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y0 X10_Y9 " "Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y9" { } { } 0 0 "Peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "" 0 -1} } { } 0 0 "Average interconnect usage is %1!d!%% of the available device resources" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Info: Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Info: Optimizations that may affect the design's routability were skipped" { } { } 0 0 "Optimizations that may affect the design's routability were skipped" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Info: Optimizations that may affect the design's timing were skipped" { } { } 0 0 "Optimizations that may affect the design's timing were skipped" 0 0 "" 0 -1} } { } 0 0 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "" 0 -1} -{ "Info" "IDAT_DAT_STARTED" "" "Info: Started post-fitting delay annotation" { } { } 0 0 "Started post-fitting delay annotation" 0 0 "" 0 -1} -{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "8 " "Warning: Found 8 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y0 0 " "Info: Pin \"Y0\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y1 0 " "Info: Pin \"Y1\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y2 0 " "Info: Pin \"Y2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y3 0 " "Info: Pin \"Y3\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y4 0 " "Info: Pin \"Y4\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y5 0 " "Info: Pin \"Y5\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y6 0 " "Info: Pin \"Y6\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y7 0 " "Info: Pin \"Y7\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} } { } 0 0 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "" 0 -1} -{ "Info" "IDAT_DAT_COMPLETED" "" "Info: Delay annotation completed successfully" { } { } 0 0 "Delay annotation completed successfully" 0 0 "" 0 -1} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/dev/quartus/data_selector/data_selector.fit.smsg " "Info: Generated suppressed messages file D:/dev/quartus/data_selector/data_selector.fit.smsg" { } { } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 2 s Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "286 " "Info: Peak virtual memory: 286 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Sun Mar 06 21:29:29 2022 " "Info: Processing ended: Sun Mar 06 21:29:29 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Info: Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/data_selector/db/prev_cmp_data_selector.qmsg b/data_selector/db/prev_cmp_data_selector.qmsg deleted file mode 100644 index 0da5be2..0000000 --- a/data_selector/db/prev_cmp_data_selector.qmsg +++ /dev/null @@ -1,59 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Sun Mar 06 21:29:23 2022 " "Info: Processing started: Sun Mar 06 21:29:23 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off data_selector -c data_selector " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off data_selector -c data_selector" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "data_selector.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file data_selector.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 data_selector " "Info: Found entity 1: data_selector" { } { { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} -{ "Info" "ISGN_START_ELABORATION_TOP" "data_selector " "Info: Elaborating entity \"data_selector\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} -{ "Info" "ICUT_CUT_TM_SUMMARY" "34 " "Info: Implemented 34 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "18 " "Info: Implemented 18 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Info: Implemented 8 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "8 " "Info: Implemented 8 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "230 " "Info: Peak virtual memory: 230 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Sun Mar 06 21:29:25 2022 " "Info: Processing ended: Sun Mar 06 21:29:25 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Info: Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Sun Mar 06 21:29:26 2022 " "Info: Processing started: Sun Mar 06 21:29:26 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off data_selector -c data_selector " "Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off data_selector -c data_selector" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 6 " "Info: Parallel compilation is enabled and will use 4 of the 6 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} -{ "Info" "IMPP_MPP_USER_DEVICE" "data_selector EP2C8Q208C8 " "Info: Selected device EP2C8Q208C8 for design \"data_selector\"" { } { } 0 0 "Selected device %2!s! for design \"%1!s!\"" 0 0 "" 0 -1} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Info: Low junction temperature is 0 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "Info: High junction temperature is 85 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 0 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208C8 " "Info: Device EP2C5Q208C8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208I8 " "Info: Device EP2C5Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C8Q208I8 " "Info: Device EP2C8Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} } { } 2 0 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "" 0 -1} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Info: Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ 1 " "Info: Pin ~ASDO~ is reserved at location 1" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~ASDO~ } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ 2 " "Info: Pin ~nCSO~ is reserved at location 2" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~nCSO~ } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS54p/nCEO~ 108 " "Info: Pin ~LVDS54p/nCEO~ is reserved at location 108" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~LVDS54p/nCEO~ } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~LVDS54p/nCEO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} } { } 0 0 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "" 0 -1} -{ "Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "26 26 " "Warning: No exact pin location assignment(s) for 26 pins of 26 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y0 " "Info: Pin Y0 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y0 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { -136 928 1104 -120 "Y0" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y1 " "Info: Pin Y1 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y1 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { -80 928 1104 -64 "Y1" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y2 " "Info: Pin Y2 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y2 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { -24 928 1104 -8 "Y2" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y3 " "Info: Pin Y3 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y3 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 32 928 1104 48 "Y3" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y4 " "Info: Pin Y4 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y4 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 88 928 1104 104 "Y4" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y5 " "Info: Pin Y5 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y5 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 144 928 1104 160 "Y5" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y6 " "Info: Pin Y6 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y6 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 200 928 1104 216 "Y6" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y7 " "Info: Pin Y7 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y7 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 256 928 1104 272 "Y7" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b0 " "Info: Pin b0 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b0 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 312 176 344 328 "b0" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a0 " "Info: Pin a0 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a0 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 64 176 344 80 "a0" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "AY " "Info: Pin AY not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { AY } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 576 176 344 592 "AY" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { AY } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "BY " "Info: Pin BY not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { BY } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 600 176 344 616 "BY" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { BY } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a1 " "Info: Pin a1 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a1 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 88 176 344 104 "a1" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b1 " "Info: Pin b1 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b1 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 336 176 344 352 "b1" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a2 " "Info: Pin a2 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a2 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 112 176 344 128 "a2" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b2 " "Info: Pin b2 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b2 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 360 176 344 376 "b2" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a3 " "Info: Pin a3 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a3 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 136 176 344 152 "a3" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b3 " "Info: Pin b3 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b3 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 384 176 344 400 "b3" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a4 " "Info: Pin a4 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a4 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 160 176 344 176 "a4" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b4 " "Info: Pin b4 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b4 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 408 176 344 424 "b4" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a5 " "Info: Pin a5 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a5 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 184 176 344 200 "a5" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b5 " "Info: Pin b5 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b5 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 432 176 344 448 "b5" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a6 " "Info: Pin a6 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a6 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 208 176 344 224 "a6" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b6 " "Info: Pin b6 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b6 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 456 176 344 472 "b6" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a7 " "Info: Pin a7 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { a7 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 232 176 344 248 "a7" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b7 " "Info: Pin b7 not assigned to an exact location on the device" { } { { "c:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/90sp2/quartus/bin/pin_planner.ppl" { b7 } } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 480 176 344 496 "b7" "" } } } } { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} } { } 0 0 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "" 0 -1} -{ "Info" "ITDC_FITTER_TIMING_ENGINE" "Classic " "Info: Fitter is using the Classic Timing Analyzer" { } { } 0 0 "Fitter is using the %1!s! Timing Analyzer" 0 0 "" 0 -1} -{ "Info" "ITAN_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." { } { } 0 0 "Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Info: Starting register packing" { } { } 0 0 "Starting register packing" 0 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Extra Info: Performing register packing on registers with non-logic cell location assignments" { } { } 1 0 "Performing register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Extra Info: Completed register packing on registers with non-logic cell location assignments" { } { } 1 0 "Completed register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Extra Info: Started Fast Input/Output/OE register processing" { } { } 1 0 "Started Fast Input/Output/OE register processing" 1 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Extra Info: Finished Fast Input/Output/OE register processing" { } { } 1 0 "Finished Fast Input/Output/OE register processing" 1 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 0 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "" 0 -1} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 0 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Info: Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "Extra Info: No registers were packed into other blocks" { } { } 1 0 "No registers were packed into other blocks" 0 0 "" 0 -1} } { } 0 0 "Finished register packing" 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "26 unused 3.3V 18 8 0 " "Info: Number of I/O pins in group: 26 (unused VREF, 3.3V VCCIO, 18 input, 8 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "Info: I/O standards used: 3.3-V LVTTL." { } { } 0 0 "I/O standards used: %1!s!" 0 0 "" 0 -1} } { } 0 0 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "" 0 -1} } { } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "Info: I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Info: Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 2 30 " "Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 35 " "Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 1 34 " "Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 36 " "Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} } { } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1} } { } 0 0 "I/O bank details %1!s! I/O pin placement" 0 0 "" 0 -1} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Info: Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 0 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Info: Fitter placement preparation operations beginning" { } { } 0 0 "Fitter placement preparation operations beginning" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Info: Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Info: Fitter placement operations beginning" { } { } 0 0 "Fitter placement operations beginning" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Info: Fitter placement was successful" { } { } 0 0 "Fitter placement was successful" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Info: Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Info: Fitter routing operations beginning" { } { } 0 0 "Fitter routing operations beginning" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Info: Average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y0 X10_Y9 " "Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y9" { } { } 0 0 "Peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "" 0 -1} } { } 0 0 "Average interconnect usage is %1!d!%% of the available device resources" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Info: Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Info: Optimizations that may affect the design's routability were skipped" { } { } 0 0 "Optimizations that may affect the design's routability were skipped" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Info: Optimizations that may affect the design's timing were skipped" { } { } 0 0 "Optimizations that may affect the design's timing were skipped" 0 0 "" 0 -1} } { } 0 0 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "" 0 -1} -{ "Info" "IDAT_DAT_STARTED" "" "Info: Started post-fitting delay annotation" { } { } 0 0 "Started post-fitting delay annotation" 0 0 "" 0 -1} -{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "8 " "Warning: Found 8 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y0 0 " "Info: Pin \"Y0\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y1 0 " "Info: Pin \"Y1\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y2 0 " "Info: Pin \"Y2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y3 0 " "Info: Pin \"Y3\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y4 0 " "Info: Pin \"Y4\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y5 0 " "Info: Pin \"Y5\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y6 0 " "Info: Pin \"Y6\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y7 0 " "Info: Pin \"Y7\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} } { } 0 0 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "" 0 -1} -{ "Info" "IDAT_DAT_COMPLETED" "" "Info: Delay annotation completed successfully" { } { } 0 0 "Delay annotation completed successfully" 0 0 "" 0 -1} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/dev/quartus/data_selector/data_selector.fit.smsg " "Info: Generated suppressed messages file D:/dev/quartus/data_selector/data_selector.fit.smsg" { } { } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 2 s Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "286 " "Info: Peak virtual memory: 286 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Sun Mar 06 21:29:29 2022 " "Info: Processing ended: Sun Mar 06 21:29:29 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Info: Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Sun Mar 06 21:29:30 2022 " "Info: Processing started: Sun Mar 06 21:29:30 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off data_selector -c data_selector " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off data_selector -c data_selector" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Info: Writing out detailed assembly data for power analysis" { } { } 0 0 "Writing out detailed assembly data for power analysis" 0 0 "" 0 -1} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1} -{ "Info" "IPGMIO_CONDONE_ERROR_CHECKS_DISABLED" "" "Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled" { } { } 0 0 "The Active Serial/Parallel mode CONF_DONE pin error check is disabled" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "221 " "Info: Peak virtual memory: 221 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Sun Mar 06 21:29:31 2022 " "Info: Processing ended: Sun Mar 06 21:29:31 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Sun Mar 06 21:29:32 2022 " "Info: Processing started: Sun Mar 06 21:29:32 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off data_selector -c data_selector --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off data_selector -c data_selector --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 6 " "Info: Parallel compilation is enabled and will use 4 of the 6 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} -{ "Info" "ITDB_FULL_TPD_RESULT" "b5 Y5 12.694 ns Longest " "Info: Longest tpd from source pin \"b5\" to destination pin \"Y5\" is 12.694 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.995 ns) 0.995 ns b5 1 PIN PIN_45 1 " "Info: 1: + IC(0.000 ns) + CELL(0.995 ns) = 0.995 ns; Loc. = PIN_45; Fanout = 1; PIN Node = 'b5'" { } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b5 } "NODE_NAME" } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 432 176 344 448 "b5" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(6.147 ns) + CELL(0.624 ns) 7.766 ns inst6 2 COMB LCCOMB_X1_Y9_N26 1 " "Info: 2: + IC(6.147 ns) + CELL(0.624 ns) = 7.766 ns; Loc. = LCCOMB_X1_Y9_N26; Fanout = 1; COMB Node = 'inst6'" { } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "6.771 ns" { b5 inst6 } "NODE_NAME" } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 128 776 840 176 "inst6" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.642 ns) + CELL(3.286 ns) 12.694 ns Y5 3 PIN PIN_208 0 " "Info: 3: + IC(1.642 ns) + CELL(3.286 ns) = 12.694 ns; Loc. = PIN_208; Fanout = 0; PIN Node = 'Y5'" { } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "4.928 ns" { inst6 Y5 } "NODE_NAME" } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 144 928 1104 160 "Y5" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "4.905 ns ( 38.64 % ) " "Info: Total cell delay = 4.905 ns ( 38.64 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "7.789 ns ( 61.36 % ) " "Info: Total interconnect delay = 7.789 ns ( 61.36 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "12.694 ns" { b5 inst6 Y5 } "NODE_NAME" } } { "c:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "c:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "12.694 ns" { b5 {} b5~combout {} inst6 {} Y5 {} } { 0.000ns 0.000ns 6.147ns 1.642ns } { 0.000ns 0.995ns 0.624ns 3.286ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 0 s Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "192 " "Info: Peak virtual memory: 192 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Sun Mar 06 21:29:32 2022 " "Info: Processing ended: Sun Mar 06 21:29:32 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 2 s " "Info: Quartus II Full Compilation was successful. 0 errors, 2 warnings" { } { } 0 0 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/data_selector/db/prev_cmp_data_selector.tan.qmsg b/data_selector/db/prev_cmp_data_selector.tan.qmsg deleted file mode 100644 index 09d9276..0000000 --- a/data_selector/db/prev_cmp_data_selector.tan.qmsg +++ /dev/null @@ -1,6 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Sun Mar 06 21:29:32 2022 " "Info: Processing started: Sun Mar 06 21:29:32 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off data_selector -c data_selector --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off data_selector -c data_selector --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 6 " "Info: Parallel compilation is enabled and will use 4 of the 6 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} -{ "Info" "ITDB_FULL_TPD_RESULT" "b5 Y5 12.694 ns Longest " "Info: Longest tpd from source pin \"b5\" to destination pin \"Y5\" is 12.694 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.995 ns) 0.995 ns b5 1 PIN PIN_45 1 " "Info: 1: + IC(0.000 ns) + CELL(0.995 ns) = 0.995 ns; Loc. = PIN_45; Fanout = 1; PIN Node = 'b5'" { } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b5 } "NODE_NAME" } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 432 176 344 448 "b5" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(6.147 ns) + CELL(0.624 ns) 7.766 ns inst6 2 COMB LCCOMB_X1_Y9_N26 1 " "Info: 2: + IC(6.147 ns) + CELL(0.624 ns) = 7.766 ns; Loc. = LCCOMB_X1_Y9_N26; Fanout = 1; COMB Node = 'inst6'" { } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "6.771 ns" { b5 inst6 } "NODE_NAME" } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 128 776 840 176 "inst6" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.642 ns) + CELL(3.286 ns) 12.694 ns Y5 3 PIN PIN_208 0 " "Info: 3: + IC(1.642 ns) + CELL(3.286 ns) = 12.694 ns; Loc. = PIN_208; Fanout = 0; PIN Node = 'Y5'" { } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "4.928 ns" { inst6 Y5 } "NODE_NAME" } } { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { { 144 928 1104 160 "Y5" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "4.905 ns ( 38.64 % ) " "Info: Total cell delay = 4.905 ns ( 38.64 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "7.789 ns ( 61.36 % ) " "Info: Total interconnect delay = 7.789 ns ( 61.36 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "12.694 ns" { b5 inst6 Y5 } "NODE_NAME" } } { "c:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "c:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "12.694 ns" { b5 {} b5~combout {} inst6 {} Y5 {} } { 0.000ns 0.000ns 6.147ns 1.642ns } { 0.000ns 0.995ns 0.624ns 3.286ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 0 s Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "192 " "Info: Peak virtual memory: 192 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Sun Mar 06 21:29:32 2022 " "Info: Processing ended: Sun Mar 06 21:29:32 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.atm b/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.atm deleted file mode 100644 index 26f89f87cdd235de0db44906fa072153662323d9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6860 zcmXw*2Q*x37luU-MrZUMy(P>jNiceeHZdlmx9Ghy2!cT{dha!e7Ey1MAo^8f5S=7S z^obJfANSt>yz87bXPv#ze)hZ1x8|#%xQBCh_~-8BnR~6PCwCX@=@w0l_^4rYcDpP# zP9enevy$p>c@}#+`MbX?EzYmvJb4@p{7`V+sHsR>{??X9sy~TFg3TBPg_R3RgbJ2b z$%Upb&Tk}MY<@c{!}MJ9zZ)z6Bc-jMo$S@cG9In>Bs6y{dSu8s@aE!!WaiVcvWor} z*-IXdR|AUetYW+?VAj=f@_S9&EHTF8uDd z@|9o|9cY=_`}Jen@`>rIw6&Qb+J(Tu8=gn;w}{+CVB979)Vc%4d?V+lf|g)#T`}Brd_lz;m?C1#2n*#Lkj#wjlqN{FVlJEL zm}W~PSwW%v$k&Pq6tFm&T{dBg*W&!~=ydCf_kP@B2miN}qNHWj_yI zOvvaRx?a!lf?g&bVd#f%IERcn9?AHV`pJLFO5El+kLDSQy)kN#bBZn3$o}K^{{HRo z+xkt+O1=e)ya>-q#`yRx!#J=n^XBa8SEQhwpYGY2gIOZ2+0QO;sDA0}G(M(iyBz<0 z)v29h^^w1hnwOjZ$x1SBf0w5D0Qb-cA-#!sm!WKMAVd5+Wp@E-IWC}e0zFV{7};8C zv&7)lHiYrL;!CYcUzz}!P8aT4fS#d$pLG2_eh@`LBaYV^d%5u=1k7p|+j=1v!1HqJ z5|V5tZQ3QFUiXRrv=?VbFaYwc?wf$@$O-0Wf5B0B)A!70j=JsMxrjcB*&}(Ojf{iYEQD)bzd2Z29cxu`S)72H;-J zgjeyKRR5=AE?4COfR-NmvY3_M5n50@$t2d}2&XMwkBY{+({#R^^ZY1 z5yUrM8#51rQ!XK!J@aTYeLhEua6@^vL#=N*>vI~FohDU>aqHl{6n2G-*l)mYfOExD zd1TA*V3}_dZt%o;8IYB)lS_6L7(GPyma)R`=<~;=zkwkdT||3Z|Iix z4~n?!lAfEQD#FW*q7R`)%%Pys^}4R+4uX;hI)JPWO9pe>yKnbRDVMrVH^i+>$bXYG zp**CO8yu8t5(D4BmIinI`$k$1<;CBU%E!|D5H5zd&^%P5ZpHTx_hstPIjniL^^hnq zPv=h!Mc;hcp14J|eu`UvU(jiwWgl3_22;%c)_-8C{%4q7EQ|aB0crcTt?Zn)~+__0(nE2(mxoBWJL{XjaX&It1P`GY7FD({)AA7wZrRm|r>Yt;x6PKoM6CwX@XqF+8iY?wm! zhZTN#E9O;`;u@5Bwq)DQ#_v+zEMbPDnUaD)C^i_iO7Co4eoiTTFMUM^4<+|I=4l8z zmh0SHEJmI9DEx}oHJ$QkQK)M=isY@g7<|~fciV*RahY2D_JZT)fWv^sq7Z`xy4jg6Yxt&V==AXh_~_%xSvMZ_@;w!(4)~~1~G2{#4$#Z5`mbvxdbmGCY4orOl0bmhr4skf5^%{ zj(9x2=oi7FImn3;f2=GU>ic70+m^`0)6R#Dr7+*_#GW=J*h~y(EXh(Kocv)A>|TS6 zbOAYHm@}Zqe8xxz{3h2%@lTKD6RyuYibg>WkG7JWB7nGjBoS$}VM~OCJ`)m6%FJPq z7t-{U%P|ra!vfZq-t=crGNjq~_RB-oRhAS<^79?!C1kb^FJzI|brD)$8YWa9*P^%U z%_McKZqmOBZCydbYo%Uw{ai=u9GbZaZM_30O z1Ob>U^{VyO4IMI<0SYpEdqleKN4-B1Hn$TtuXerwKt#;dM$|^?hK_T-i*$MTkF?N< zJLlP55L#aZ!bZ@_k->N(>>^!kBQ0rD>2>!NE}KcBTn>d-Y=l=T9WUCsD!=F0U)W!O zj5=Q~9LLD8EFOczom1>C=&dha*^ac}e?*Yp<@1i9hmAWQy#BsxF-Jds?DFOK{jkJk z@!yB@|7DwnIGVp^l>3I$+Z>R-Vj;Xz>wJNI44OEeJa%eCl>GHQPQ2Gn^B8#v?rLkk zn*8H(v*a!t^ip(nnCAG8ryJaYbmxBd*8K^Zna%s7Q~BM?XeyHqR}FcEvcP%3i{vMp ze82gf<2;4<=vt(O?zWtfS-8}8r`y}jizy}D=I0ZC?V7d@J8PK;s#Z5md2f-lH>ub8iLgeFxN zcQGix5Ue(VfBy5wP1Q4!RlV=BxF1Pqkg~dkR#1+>@b)J?%*nVEa?dC9cmn$T2%hPz zA`eKK1Z%r#vBlCk+QYL=AW8xUB%=`>xO7%>XB{|c8q_R~Ch$VIJ3+Q+l~8vR#GAmU zE&SLaqK}RI7l|bD0s-%~0VJH)c2};GyvdX7d!qPnM8KPc9zFoGY#(A@N=Yz9ReGka zw!3DXB)hmB_nELVTrWe2zu<-XueI*l?$?>)SQ@i6EKLoz^*INnQOW-z94c8u7AD?g zj$h~N*-`_d3DI;08j>@SdEh<+rGG2Ei~6%x0T4l6U^ySQMR9iuRVF)C#>u)=TGn#@ zYoLkJ_2G0B7|YV~g(<~JTC%OSP_wp(bEl~&sx4}ZWXF@h?S=UvHU{udN1IFut+jdg`I@K5Li+<4?H9OjnWiiT3d){ zF7h~Z=;g)6bl`%&p$M{T%0bC*)%SDvNiYMe zw;Q)ZRruq~XF#o+A3V*Q|e?Y?p33nN*iQ1*-T1^Z4@VZR6I`JWbCNjYY} za5Q0rnO9w`J|;>z%dj$i7)*GrJTm$E6Y)W zX0HbG^|zchSfCB(ZY3cM7a88@{zqdqI|?o8tn(=Oq4Z)&kt_j|rEe6Cxcwvo3&a&O zvN5n8n4HEZah=qB^YVvLa#oE%K{XQdVMTC+u-rxTtx02O;gHiPqm4vDj)-i?grc?$S$`hsKf(SbYn!vHD>kfi0tF5C2P26GB(bC8c3;;Zx#ENFnyBI1cS)8^zP z#~L)Wg3-cELh%cnn>26HP0HIxqSI4;rT%>0==*xLsH0|75h;_oPjKSTG9Lu!P1d~- z`tnrGNi;3^&;G-t_Jq##`bob;2y*gFd=foJ6-@UxP`RTqyo%8LxW?hdCQ()oXxSk! z6a5y|gZ_J6@QmiZ={K^@#X2705ILKnRiPcC=TVhyy0dcQ$lX;T`~8$MCRlkoz{9KH zG&4dZye_6qmjNL}WFCYr5#u}4Sou9^_I2yq8w>knX-Cw&dC8H^WXAMcBaq$ zgCQ03ThItZ;%ON4CHp}Nh1zRIds)JkAc3~fT;yb^*!nnAXh=spPiLHV;=KZQu;V+Giye2Mc~{sn~MMZGeho9Iu8Y{>jbDQe>zIG3GsOOSR!d# zppL*;-+J#J@W{}`HjyaC`Uca9-lk;U4}kn~ZuX)ZkdvgBm#6iwv1vP4^AYqi`YU;2 zgY9NV#(c_*J+R56^=smEb$##Y`)lScSIP=kJ1uK*jMTtO--@*4-!ngdQ)ftIH;d8) zWQfg#cP!rQU924au$^iS^;lC`g$)&cbLD}1b`*5~?(y)iizbJvHqD%H$=fIbo)VVh z^zIFJshg2X?)(j+_?4IR%ImAmI^L+NndO=G0!+oW%H0hR^ysYCS*7(WXC>hH;RBWL zSKE>BRHrvheL}yRwang@hqS(2?xC0#UDWe*w>1x`7c^1st8UIVQ z%Qp*Voz*g;xpo%+%8cI_bU5{HzH9@6bL0WXtD70j4tP(Ezum`4y4)ntYQm@S(?|_m zqvaYo1l##2_9?Rszs<&5o9h{UXkk{jaazYU@`ZR4-fahu9TBal&DfT#im@v+m&MHF zuV*@zJ)n#FQX+oeCj+ROTVtGKT!%RY>P6Vo*ATFPa**kt+iA;q-gP7=^6y=nkGS zz?;S*p+Gwp0Hyg?@oMwFa(wt5l zSYeIm>}QHXo-qAChavgNx@euHxR7Q-E2*t>u+;JXXM#~ofM?VYsrzkpTJG8-DqD)_4nV;du*Di@KcqJ;FK zh2_ZU1D=BzeFGv}f#K9m&Vu4mkP6^}wm%etQH_PtaTem?i_U5?8usx+l-J!2@MK7^ z+R2m|UJN<;N{cEnAb^lScHSrqCu28p1Oh<-Xz3Sv_2A4c@1IbYkNW=2IqyC$EIu%{ z&UfC2;<>~$0Ql|8&ckyiheDbjM5(hZIC8mgV#O-q;xXG1Oi)IyWGpyEKQ()QG955= z=0DR17`==z67LzOvdrDB)a zMmif2tlnF{dcQ{y8c!W}c_DlHxR;q_Z;_KIZxs_M?Y`P2_&|w z@W>c4OdBq%u+8g5U_J4WAi$ohmrQ zEvNoaW*2)s$|w#IQjbaM0N?_*w@Ruw?x<0ZC`<2@z*s8nJ1rFu#=NnvCaG=ba_%=n_oV~ieW-<05FNzxpVyCvM} zoa(F~b(gxtT1VXQ)P$iQ#Lx@N;s!0AtHsN5sL$1Uk zhj}Z}QI-C`Z1QH&5P<;ieD2KZYXgzTV+{Wh%W&_9*k72J>wj0)_Z%2Q9$TG!32!^L}aJfVn-~qvEcg8rP)`gv2Nr<7c zygA(JdDQAjX=5U6>hr&bf6!Buj=nSmOZ5$o5{99La*V$!Xj0y_Duj*vr&SL_Fwi5K z0WW3jpAiiX|G*Z7gMUV(8j@mXn+3qFgM;h--zV11|N6A`dfq2uMfMDfAj^qr#O8s4 zrE_UxE~4sRleukU|I2Kt4#UEFD3HMaWqpNeOx2cK6DX%ZjysrSm|fMQ3F(fC4a*1kZpOpzRpaE1r@btxPeln zZ=NrPu>XqV`SwC0=l*Ac4f3sp?cc;u1kc{{6SJI@Dq5m7Oe**j^CxwDp`&&>V39JZZr5c_Z4=Rk(pGGnR~M@#8yJ1co~^_8HZp zk5aPnXHRP-9D=Yk?eaKVBT+7|lW~KY9W^PE@|TMd$&~=7I=-6| zrJFJRSqL`yuo=4}wKMMrW)JZVJ<1lsaaGz;49GBBO-kMJEs9}H&f#)rGJ@|!Zr&>5 zRa@$HkqA@eGYnCejd$uIZgbpNdEL9a;7|G5!c%Nf&8QUK@E{%~$xu&$-_jqZ>7+%q u70P2O9B8A4L|f_~yg+-D92T?N0FCbZF-BgS9l=HC%zys8B?7B>+x`!8QxSdu diff --git a/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.hdbx b/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.hdbx deleted file mode 100644 index c826cdc69ff300965a91ed3dc18742df723b75d3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1862 zcmV-M2f6sH4*>uG0001Zob8)kZ=*;ShOgsaQQ~I0C3g%3#`d)4!f{9sJC5x!IICQt z0?H0M7*GM}_O!Zx`<^O3;*cggvPQdF2dUGs>*LfpPgQZ=A}|=BS)3eLuzSeRyV-lB zOeV?)EXxx#4KvCJRI?;zBn#rGHyEJ%IAb8#ln|Ch(6BJWJJ+U)mLxi$IM0$i zL)##vDcZ7lUzBbUjDfZ>qq{hWcB1#YAo9Z8=U;vL7|#obSxC{IMERC@S<2@kY+4!^DJiR zB|@u^5*U`zEyP$N7xVWNZXKrZc$+i9!>1Vu!t}DoTR+mshq_;oRE#U}LLX+|@fa10 z;1`4>Sslpw0LvI7to)28@K5SJQkL=^^R;(T293C#-*Z^dd5TO^`q;bJ=3(fREqU!- z`Ehb7qpLiCu(3KsU|bmu#Fn%u6N`35ygv{|)<4icsf&za{cww=F(=CRE)|}GEneYr zb4SIs`KL-A7_WL7@7Q7x`Sc4^EBa`xVijZVKkSer|J9GZZ-N{Dc?bX)^Wayp>3dbd z0X<+ONt{eX_7puRGLnca^)8^~B0D79iN$h#JDtN27u%5RQYd*hTi&kBxv_SxO>4Hi zGuFm+ADN5Q#_pq=_43xSmYem==%dxzaIE`<{i|V_7JOY=wsT|Jwz>G!NAsC6pO=M4 zjqXg#w7EfRe(l)P)oOY*H{SE{H|9dLbi^35WzZu3#5|&fuIbrM-7YyJI{E3s&5Uvz4yLA2SJ7uKzk*@WT zs=!N}>2gJGq(3L8DL`)6k!vG2uJQw9>;^fWAoPx03pp%T83N-*h8Xe; zG3v;*kyEP-fwe}4P++%C?>llW-Ta2xCSY-%p)W{G+o*_CR+)8d-We9E3$Pi;BU3EIR^`@p=fzy=+ zIM}N}lx8OiIw-tqpu5_vO@2W1Esys;+pkIh@0ids-SQQW;DiW9wp_~Ix# zDHxt8^WG3cj!!Ub-Yzcp@`-Zq?GRL|MW{D5pHdJ!QTDwZf}`qMsNm*-V^knKQUARi zgbE&43}4)XFVo41O7IOaSIJ_2T5J>HzCRxqF_l6s_Kcir)MaKfl7CsBF)Z z7MANPJZ|1v()bnrL=AhUw1%~Oz%TCof?)kVwXT=n|0A*Tj%A@yYgzh?Mh*3ou`t$C zp*>4N{}m#2SUMc3zt8Wkt)Z3-qM7j*!mH-~|06!gHs7O}bvDyh`afMc=EAa}tTwf= zz%gTC*C(XEbZmNc(@tl$xm-A_B@bx5T-vX0;1{voPG{@o&1O+psp9*zUT#+Pw>mZ& zWkGgu#I!ZHDBp?vv`8Y4)-5FQfOU_g{0$57==#<54fsAc(AP&2<}c0goi2S8uQE6R ze_TCP%5U2scJh=mr^G8&rmE(mlF=R1Reij8pTKYbsE9-)Jp4(khsVQQ(KUTIQdM1R zw1}B69zCzh_x{lFgB?vXKDw$+h^|qMXr4;wc1t~#y3~W*H^d|AR!)(9l7Jhmj7I+W z?U+U}!!LligXc^<_siv%efXRUZsT%fwCdsQ6K6*w%F1^DT4Bg742C~s@Jf(9@%X&s z(}YGojXd!X{Zojk0)2U_;^iABj{;a5^X2R}*bLK8>nW`M*{{a!)HY{)!5M9fDPJkqDd@~Z7JkRJ|EuE^uuB#8ja_XeJ(vwUyh-uG0001ZoVA!+Z`v>v$Dhlmu-2DNnp)qI7^*!$N+mW%S9;|sN+9c^ zv7`!N(=_e7&o&`xV&?){Dx~Vz;Q!Aj=NupB^z;CzvXuy{CLBc1N}1yM%!S$0+<;5m*>bj#}M|xtsnmkmOl96F24f| zZa;!Y-vi^7q>w8<+M)od=JF@WCq^72Qhz^zVi~rVsbYu&{cA*C-0|laA9y0SJAfpH0#m4InIu-uRWOiIAy zC}2bH;UX_8@)E0>R|EuNDzByR(xZ4yy@Rb1Ff4i4%x$)x*X;l;P+u3)a=g3)Lo_q--qf?=eef4yv65}p!LS|_r0Keo*^Ujr z3RScIIcH&$LC8wJ;}~f%Mkh@TI;V6~AcgIDB0 z%7kRm7U8ybJ%7!AKNbI-YC^=%mb%$8KW=bh@5GDUaQTf7^Dd(mKv^O!c9&X-%l{%_ zbT5IrmtfsXSlvsQ-Am90iE`47%1H+oglB$Uf9R{6+tp`!K+X|dmvaPHM60dEw~z3U zDuKI~Al*x#?j_j&u79e8)%`Ba?j>l0gmT&&#b-JiQmyn8#YrkKuE^g4pMul$sMvLflNt3R!UwWXf zM>M?^%zG;+d#n6@XQth9%`;G9jvn|7T&ko2GSg?j%L61ZaFz%N7xIGb@6a^?T5-O# zj}w)V7H*P8!P;xqxUCAQeFzx}5-arT{l;Ehz8KL}$@YFxAxPocLW|eehTE$2OjWzo z-ZQ2GW$lAJqi6^iv*L(q@0l`$$h6cm`7OrCqPX9fX;nz={bH$!#?oS!zD=OT*E#aS nn|FQL>#-WgDW#Decq)1-CEH*Bvbe7I6jqXpH9oz6vqMTF8l1gDrB6!=aIvmJETPJ$S$0{;>b#e ztTR$SeZP<2DqU5?fW;=+89D6$>+2`&7EaBgQi54zg(Fftb1sO&!YTmYkm96u%^~ zWWEpnjULumLRJT@hdtPWW(!W}SIY2U0zVi>e+;*kg$1_el}4QirE(QkH(OWZSKgSd zf@QXp?OaMX@S#n44Y#UhSNxT;ca#rqWLjQT&KUNt{(PokXNdT`c$VgzpIPyn{FAVsgGc7)=UzX9X|in*FWt z{>)Cf^sDl_lOyGedxdcPs^71We06n)F$(>xdtR>2(#;*Dhl}auNQ>m>IrZZQx6y4UD<2{+rt|k)Hw8Q5v_#Cq0gVPazV2O=>*xxKQmx>Xb0640uiQDMUXX#sY9d zJT#C1$PWYL`H{>ju*rb@dzmIFBSVUxg>Aj$c;Kb%R;f>TzuQXN4o@Tz@SOJr1l?v8 z^M@Zl&#?rR)DhbPwRulOZI&o8v zJ}5V<5oZ{Bf4#8X`NKEam=>7aJe_b{nebyhxK?t;JPMe}l;W0u!DT6G(~vvsjIo!s z)HKZ0G-BU`=6}QT`{%%p!U}d?6gc+;6^ax3ARkQ7vp@R$>o3AoKT*$(WE!7mH|<@s z&@8!ShNg?m5qn=A;ohuK%VeJYWKFW=99B0p?EGsVtc*%ikr`-RJ2+SxU!%t!emcNV znt$C<##k3k>#hX;@h0^pPRu)Zv6y=rjw0}Xv{1ZoBXr?~^O@j-Y+M>1q?#f7p{We} zu_3rKB(KU@f0QHZA?h|fVEW`u;y7^7%+1(;_P6o{d#xd2aqJ1m+;KCS*L8F56uhw! zG@wf(A8j!#H$MBU+Ht{++%?7#?d;|JSlyNBJHfP9bd41^R~WQ-oDOF|p^ zo4Tbs4?k+W5tiE_h5FvT1Cn99BAO)3__OxDyL@~MagLBZxzWs$)vwHdu7VIG_1=Ch z{&>L8pu5d2-a_N};&hC@a+JRFDOM$x_C^k}pcp)%-}e($br+q~8um&ln!ULnigZ+u z00>Hr#u&Z1&Fs`2*wO#8^?L){5;rdYIDD@iI0vJ&+Y6sztW4c zcFt7d@it#t;VljZrAOW$%LJoRQif&@&#oQsZR9XTE^8m?@;_Iv(wk*@ci;mW>dwsI zv+8=|iTG5x5Z}bth2-gV?MJd7_Py}tcyl_@$;LzMH)A&A3x7PLDG$$J@SIAEn7=14 zfpveP5xC4v;Pi`ocw8YfBa^6kceHgYTvh&=;8_baC27t7Tz^l|Z0T$Jx2ZC- z1w3fv`b=(Rc$jS=ocQ@oAOtWE3L*kfKdxbRH>2yd8O`4aZ0*C$-odX!`%<7&`zeE?s<8(OA;KP{f@=YdTGL+=t{5dd+NE&E%sBO_q$WAa`#TTfmVH z$CJ)o&fY{=b8HtwuuId1jSv+@Hfj8_3C)q-_=VDAH$&AfHoG>(@bK`@L8;eKt9X173i3hhv2Ft2PA zxmGZ_7$a-Q-Mkr+j@leAWmnGWigI?S&&`+RzQ#TZ-uL&pW%(V3R&E!Lbg8Rr#>u%c z0Cj|ksUlAaDOn{1V3%T+ABv}(@bM~M3u?bEtEv{4;Fe?8S3#TX5Zg@K7YTJu`c+Md z8=1AgLan#SVMMv>`l*B=rQ0rAL}2WlxX{9KD0<^n>j+&`@#;g1JZF8$=k4=b1ddjw zu|F&BKb_`am)apaCQwpuNoXP`p!5Dpno@o*iWC> zZoPM6-1}fd8#?mkZnJl9Zi=yRu_}BG1?592Hp`i^MxTzw(LhM!f0W94Vv4ETzmi^b zHF|XTOEaGat4mbFjGKlIny0E#Fcl*A2CJAFvmRz z?>~5Z=UXZZxppcpeENOF_H_8FsoC5gPK#0Kg=w?ylMEWZLZk4x&F}5S7$%OSj8%x@ z6)x`I=ZD9?y}&d--n%bZq-hs2zh%tgDa3LE*v!8tDxkR$SQ4!yPli#VzL?#}o0sK= z27msr{@^5Q!RdAkme~WY9le&8`Z>vatn?F1b8Ps0MwGX%w}T#K5z;ozy?`)S?G%;T zY2hU)?SN@W9w7@sb$uN)sHxz#X`Thoz7>1U$>C|wh3U4a%d*-RN$;?+P3BpgbS@DW zc44*P$)xSORPPI3{o~?;%UDZCsmF12H$wBxz(>9Sn&U8)lx z-HZ-QPC8ePJD(a0IskScryKwKd`UV=4IF(Q3W*LZOgd+dJ3k(4<2vfJuj!3QJw;`u9zSEHoJI~|K2gbK9?CyZQrexgjZVL!snX7mi!>dt&tuf=(AbJBwTAt@n zvE)x^{|pcZ5DYpMOS)h_*h>CuJK`pN@$YRcx(yUh0)|SZBM$7~xj2?CJ>_0ovfE8g zR;%7*p}98(sk`p?r7?%sN=G&?>v}F_aK6>v3NlQ$E4-Rq+NUqyuTM{6$$cMMl&G_0VV=3im#&l(*o|n3*Qp~IeuUKS&N4vxrEOzd zf<7gj**kzUB@u>)=mv^7oHY6txW7Taaz2x11$ujFfJsOt{mH03)eBm7_qBT=>sLx6 zq}AUA?9mB6vh%}PdKe#qantT!oNQ5N8jcWtflQjU)y=HIT@DJ9?{tFN#{7%%X=PKl zX|MK5*RzT7#||1)-58OYP}xToOa!iIL{&7u{*yh}of#S*l|W&@C`Htatt*BnRhPn( zCe=YK2=g$jmZYPiBy$Z5wrWChyVaqF0$?0#GiL)2IBTAeFAexXl9u zkhrGHsgEq?pZXNV-W1MSd6uFTOeu+Ay2Wt`!@3&p%`Gqm6lZ->$}vr=8eg_gi2gBO z%5hLs&wNzc#&RybSOKg4g{r!~>Kq7+!FR$YH9(RG{{j;Wl31~9i>aD*3+$)}X0Czb ztR@VpSrZ|{MX^aBkJaoVS(AS-+~}c6FKIsXkh!Nc7@4iemN4cinRK+fq$ewgL1>7w z+Aj@I6!o)f#OCEKUJ5$9Oq&LpuzEr&t?Zp9!}?w8XwDT)Uj;<1$JhWq#A-$N zZ4s=R-e2ltsktfOfuxc7L;S$wmhk}e;oLx7alKoSY)klN+Ziu5_7Fg~Z+uli`R&>E zUF(l8q=V2}{DX90%B3G+qu>&hE(h9#igkD0K~Tkudx1l=u;OB|l3mpJ1mX*{{c z1c?-TH9&pTUx{a@CypKa&D_!KAvgn8b{{o@=*z!C4<1M&G#DD$0%*0@C||Vv69lk^ z{Lu9Er-|dV_CY^Ym;EK^ExYARcPVJsx!84zfvAYFcdyAm(m`PCCrok<|K$~J8}?tK zom({m0?N;kS)|x$(2wkKFqwGUg7`k4m6GZMiQM`~0 zr~21`{7+muk4~d)>|XY8{U?J4oWN>mATO2oV# zE|BA8#*P>5Dr_zLzq-E+vV+O~`>(o)@yS9Ls9Vx8WoNQ&`lG^B7ja%4I%1t<^{jv2 zc2j5C2cT6i)rfi6OB5_{cFN#yJK*m0X<wP9AjI3v1=i9Bx^!02d z#T8q|4%h`*Ylt)0MvrlTE7OZt_%)S^*k`N#0d)9xK!!UI-pnvdM7SB+kinM~42_is z2lI8tF;Bi^y$}vFJ~G~-@8W2%4xYpK!AD`Iuk$Np5;lKcwse0$xEi*zf=ut3>9ID0 z8hl8X9g0BK<`Wa$_0MJ53P3h;4I&QlL1yC>?K0L<-&pU?qTcAeya;!Z%q!*Ks0f(HtLUk3 zHazk>0C|=@tYp?Dg9^b=34blJFh z8x}GA2<`;4;4b4bK>XDs|GuG0001ZoaI?vbD}U5eebWx_`KU7(yyH~ovL)lj;*Y<-SWf)h&9s) zHUxK_*&n|tT9kqg`?$mx$+;)@-jj3TCFtt+oP#A535%o4R{Pj!0U8By$fB3a*5l;n z>v`)6AE5;il1ar$@DWhIEwEl^*b9$+!nZ&MzA@%)^5duVKe#wHKsRMP1ZL+17^kp( z22I1b01s3wSwMk9f>&UIhg8SVXv&^%fFIyo7QZ$XFcv;S|9lp*o3NEhrw_!|Tc5$wn|+Rx<_VM6xB_Tdqi` zQO$fZLLF`ep2w;~SvXQzv8&q39`^G>CUBg}MJf?|e?GvZQ<8G&EeTI4 zepd7XuzzD=#=E1(`~LOV^_%rH>f8T;`mU?9dZM-W*IIk; zw)Ot()sx>)^NH5#T~qJTuP48u<`b>eyB)npzn=VtnoqP=?^=3~em(gOHJ@m$-aXNK z^y|rQsQE-|_3o+OqhC*cL(L~zt9Q@z9{qaq8)`mjqWU#nbqN&A7!L)Fs`gaJ5X^7& Ywt+2}UjnZ0sW(^oI|~*53%8JRa2mUNk^lez diff --git a/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.map.hdbx b/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.map.hdbx deleted file mode 100644 index 812284c7d17e21828a33a0522c6d5f12cc1b9291..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1767 zcmVuG0001Zob8%RZ`(K!$ItPnAavOla1)7=WxGWWj_b7AI=0u3E^-nm ziFUZv!yu(JZqe_)L+W8gX^Mq!Yv_=~9#X@ZAIZ^Q3a_rvIN2XK+uf(=)%Z0shC|~G z*7YG8g(>9(n)yEABxOn5xw=AkNybqoC`z`!=<$a@0TpZ)qcllE(M4ghV?Nquu`ini zk}gObpd`Jg9EoI`ej}VJQ*nj-$Wnnuw~GbZ6Q0JUB zBJmkXX@G*n&mtP9d1ttk#V}Tsf0a4W$33S)ga;%t?;q-?%0rr{umD^nlxshU1D3-n zLN2f_^2pE>!i)j9yn2;+!sl$S1{v)*r80zWdC4u^5tt2%ZVF0IF0S>5aPt`;`+dlK z;)N82MOSj{R?+Gz2j5tF53r$U#PYnm5HLy6p{lINK6G%{ZX-4rM|T5I9mMl|pWov|)*m4C3MJyPORsQqH_AO}My3Xc1BZ!*aTX z91G-X{*LKZX{v~~8CN_4ni3X@%Z}{*K;r<~-Xkp^SChs7X5aNWRg2&~LYi(~>Gl=Y zF-F*Ui-&KqrF9-C7jnn^=v)*@BkAX_3>I`IkmG3YIv3k44BeuqZ2eMv9A4_^I%AMF zHhT!18~rP_C0CTIMY|yWSA@~(PxLqS&?H|!(qrMwjkCz3Dsu288$9W*X0F^H8)ag$ z={R29BZ~w22+fAwADGz0SjG=Kq!z#VuJcoIlRx(ifN>xG%SC$F%OGa+BkrbPCq_YF#&faaPV+x>!v=xa-kkF}j{k zUds_T&P?@mmDllnGh4&>x@;2uJ&lN4#iT8k&aJa{zD(Tp-D0A3kv1N!VED;wrOeXu zc`{jO%Cq3U8zz2UB7igyC$69&)P{s#dcpRU(YL$Ewz|l);MYKZEvMjTMXC>^jFwbI zYA`5B8M^fp6*d7CZc$aJ3b0zntOjLehr4Tol`QRc%c^39^|H2O+^Fq6S=;+9t0OD3tnJup)OG{*;OMSp zRk7;z%i7)>HflR;L9f-JM^t7d8O)|+FyJ=mwMw+cs*((5Q!-%Kf?g{{Ypg2CU^XQK zmV2<3qBT~PWU!i&!GznO*GkbNE31+WR#P&V@QCBJQnbdZk_=W;GFY;YJz3jZDOzJSC~LblY}EDv(ym)2T6@i>m#A!`feLmYi$!{(zyjlS1J?Cs>By%4 zGqk>h_QvQz;Tl-F{MdZyXP7y+6UEk9a~s+vwr7us!<^cQvg@p=Nnnav^Ob3_Lr;`n z=giC~7nYF@%=%?bGjLPn_j70`>alZ%X5c|d?b(~>;zn?yGCON(@_|xO z!)8OwTE&fE&{Ats!_|!d51NllNV_}nt>JvLJ}+H6lw0zR`uCXu>P&ua7Bm9`uM>ss znevj4Oa-pyJ=1`Prn?j6?3vQSRz<5R%H&o&QN^AoEcucrXVnz_rVgx5RIlgBN}eUj zSv`BMG;M%&qHH}+ScX;YLc?zUD_d6h6ZPtO(!zRG;c@d-k0mSoi8}R6Y4s}kfRFE6 z3pAsJ>&#YbuvJlPR)A$PTURRdKS|RDrqOuq%xCUmF0)_G=j#`d$yAB$Ts8kq3^H4(!Ab1Xs)wcyR(6PxC#%(w)$0#8?hT}gemsyc zd+tWk)ZVEh%r2A1RE1G|+p@&X1m$i4R4ZMT-Blx}J7_Df@snr|e}kHd#3Vd?r{$s1 zFf(k+?)6R6wi-PW9;hRyr9BFIZoqa_q;hoA8WP*07O{Mj(CwD`CiSQf^`(dV)GLD` z(S8qM@FE-i-OoCWd`_PM@vfdS@x8~($0&Tt1;50l=Cr)RkM{14#*`Ole_w>5zrdjP zO9sD5vZId8x&htOIH0kw4$mG_6b4)?d*z4j-7IFXHm39OXITEDkINCP_wlF6?P%?c zkfs?@6CZj=lF000000LKFW0000007?M> z000000HOo{000000C)l1mOD=zK@f!T`$96OghbXp?nQPG5fU;H>=-O8A%hH-9A4swMBbd}#7Ty7@L%BXuS3xqQpy-4}B%^EQgqlejN&ZD84xORLq` zR;0e<1D9Lr?CGV|Y79ROBpFib?7E6ffu zHu2YNg5ooN8NuQfU&SlL>b~kh&S5?*a0}HC1zDIrIkdBZ+&lcj$(V|rgbRmx+~J$G zi(jT#=%AWc>QaJXsx>H7X^KVqG@%lgREaSa+o94S+|RMx!5kyj*6<$y4l6W4p&vlv zWbEzqA4Cr-!m6EHfrky;M1Fz%8>HVqt|sOHVu~R-X6(6*+8s2rKaK0uK!nR^TUX@SvK&P(Ig)4J#;!BCJUZ zD?AoUv4UbH)(}-W42vjg=c};7_7Fu_U)osVEUsZFpD|*?3O+;;*0hBc-m9fpL9r5R z3spG`izsU6Tv%ayh$5_Sh@T^s|6MSTxyu+gaydk7P{D;Df`Y(5I^msJ3KbNqpz4CA z7zRZUwX-LvusH+~)J^NG%5dg~FqF>-v0()tEUpP_1F_z$@J1}f3W}9j;n5+A+9`w; zwudOfx@%*FGasQ*`LJoj3O-yF)(Ek%!tPA)HCgr@tiwynMpbNGO$T^3s-`V4xybzmWLgkRVS#_jn*P zC^9+HxQQ98oCT`M8c4&;_jL^pc64`zD0Pirvm9h10|N(`WMBX(l>%ZX$6(ipcn?q4 zAjcqQkI47{|Dcd~Pd_(*Ztiso5OW2fT0jPf0I_edJHqwB&K|D5jvp-R_1VG7kj>|Z zD)w{%y4TYUXvg0cO$~5JFu - + diff --git a/double_selector_8b/db/double_selector_8b.cmp.bpm b/double_selector_8b/db/double_selector_8b.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..366730ddae455112412c453be4b562376fb130e2 GIT binary patch literal 637 zcmV-@0)qVy000233jqLb0B!(300011WpZ@6CZW9Rr0000000RO5000000HXi^ z000000DS@g000000C)lHR7*?4KoG7^R1iV%;z{&ws@c{mco2H=qzCVzj~t?DH>T;& z6p!Bg6(S;PoG;9bOOzA@!PmlccE5h}`gTd4=lNExR(m1;U2KZ_d8U3g$sdwoUDFwU z20yeu>yaDlr}|aueTl5G7n~lok57X78Y8A5$Q@}_a9V;a3rCTA;WUM_z?|leAajf~ z6w#<%;Xuctmj4bhWyEN$2d-}Rg@gMn^3(;9%trG(O3xa#!cj)m(AiZDol7%gE zvcb80&5k#So{Y{vEgY@)#2jB|BcO=#Rcnbd+JPdfn){y5Y;r+`+6P{e+VilNjl9co zC(XtquW!fQI1EN%Ot4LZR@aM$y)+zz!>khr{jS%ugCvT>evnW^ltp&}ScqDJ>U*$nKe`E;RouD7UN$2E5_Dt0xaGPAxBkSBa@6CZroh}0000005c5$000000Mr8j z0000009FqG000000C)lHTziaNMHxSLr3-B-7O_CB=u)u}BSJ~pVr%G7E9=rC?H^VC zs`XJ4Kr}&(q-s_TK4U^7f~IP6F^WVq-~&{^r2c`nY9PeKs-2#y48QoUf^X2j1ZCk!hVt8(OnY^X%;#yc)9*XMvF#kP7y9my@HfA0*3pvIg zW2j&}%a#B+?Z*qZsBJN97%GY zG_syA2&`uw%uEOri_I<`TrxO#@x@D)F4YwPc^M@X-~duLk_1QT{eYYNjAR&^8k27M zb^?<`=_ekaOw$p*uRS;UJYnBNL{-9c04;@#`sJ{BC;vs|i{EF;`7A2GM))}du8PV} zTW#vU#j8)*08%?XZp!!Jo$~{N($5kPGcGkETKy0@Bk50`Kb#~RF~{+}tZombHka=D41sRy?&>ym_drBdO){!t~ea=%YQ`XivEb-&8lFoxTHcV*ZtGHkz|5`<;=Z9s-KBE!uU$Z(-yG4W;itjI9$27@pb zu*2SO7#$o5l;8Vsv7N4ljB^oJ%2?4&JN-FerxB6y(TJUH6FX58uAQwfZZs;I(5C@c zub_?f71&X>Ruk;_MUnB3BIDBmJ8jr+*1(~?)#qey<@dK$;;U;au;VPzGtcT=JA6rG z{M~g1VV@_X<#Ff^ z13V51kHZ!4$U_zef9(94@OWxu?EGtF?7aKI;<58`k@1!a#uc7J6v+tIM*vrQBI8Qm zf#&1NrI9n6?@RmsxU*7pa83o|&aQwCwulaPMdptmwmE-XCNi$5z|M1MWCY)rZxb2+ z7LoC#z*Q`)1IP6u8EzLD>>LuAZtjbWn@9+?+2mhJ10&drW=m z38Yv@2fOAR75W4gm17^J+xN#!>#J)ju=7*GgKy_MMF(RMUwtv)tAiDeDRz#pV$EpV zknat~;E`wSyMnF037L7&`wF8w0nf&VpWg$zyaCEJ>?42<1IqpMLS0JeDnPlK1~oM= zgRTS=r3wg$r{GIQqFkR$67DJl138AH{KBuUFtrRQc?BMeCC`ZXX!3qt%64xA^m4p? z01;XmG2g2`h8%g6>PU$DYd}dGS;RiJ-4Qp%El^`uB65Fc+T~7*p7~xxd=o_5N!?%oXDtcj=6=vx+W=*|_W`;H&>Io= zAfH?6TtIM?=0LyTaZ}!l<-Eq>=2xI4Cs6_=H}?XHu~4^*Gcn&C zu5QYEF+S@mX%q={a}Q{p4Jh|-Z`GybhAkBHcm{B2?;MvWS}r%`E#=l~921VFl&O<) za?z}Qr{y>bZc4w~<((|n;Jt?ZAp8D&1bthF0dPE;)bs?1>ukD+ZbKA^ zHz5$lGDa6~+n7P+vQl9lXCS0sGhoUvnQP|FTl`tHbnt~VR4{mutCW`$>d5~adDK?O zGA08_GCI0~xh8?4;lvlpFvPSan&8`_A~o4En~tZ4v^x0SiVc$lvqCx(wRnHR+7O&6 zfEbg}G<_p-qsY3pK`m{cBIZJPlWW5>Apy8fd5NNqJZ;Dhjx1yAHe{va!-g+H6go5S zd6cvvO^j=$dQuD7kT^cx0_RC?DujUZSx!gy0IYGoHv}>C0k6-t(E#Hsk`F{g9V^>c~%_H4U$w zvmf$4QVAP!e&bw+S|iMd=0mP$e7wn>4`+!DdFja7@VHvjfWItoi&Zw*+0fro`R|4v zS7AG0J?=&7h;(Hd3t2|J``jDaV)a8|47554F}4zlyro(F?y3PEuX}YJDb)b(6tavu z1l3>>riL15MBdJ-BlfTwusTe6fy=7F-Lpb7Nso8~#|7T;sw4W-96-II2Fz{x$4D)! zVSJognkqviJ%Qsx9g*IEAyXmCs6&r*3VOq|8uYj@tp@#bael6^Bev8jrq=R_V*_`9 zRt-HmVRCHP<*32kQ$Rz#GGWpi<{;J)dwku1kLy{d=+Qj|y@4CUl+chu0s-hfk= ztW)&xOu?&)wo^=rnF8LO@chs}ZSvoVXYMy}Y;c!P%{m2Fn_1x2st<4N9=kLU%czDk zt(-oQN_jPq6C3NubHc>CLY7g7rdzA3)xa}G%UCR>8vIb~4ORu4fL=%R)xghR?sbm| zELb(z>mI-_eI`jSiiIa|!u=;i;3?wLbtSn0F08$17 zCOmuDoohNm^E_5Fp$Sb|gM*HPDOsI(d=BJg5t`4Gruq8PG@ma`lNW^~MEK;L4F}WK zV(Q7xOAitvG@af+Ok0boN0W{zAwrWoDF@TmV(QW4$0!M;`On-xmE%i1<9|G-i3-Zr zLb}bNNiY!I*6}t1sA6ZdB`BLg8llOfqc=^Y2@&+bnG;fZHb#^EbKyjqJj!Lr z3AI2Ioux>2_D%WvA!J*i=^UH6`sCJ;I?aR1voYCW7h>y(JOdLBQH?{J0>p-hjl`Q3 z(3L~8BR-w6&ZS8{+tw%aR%9dc$zY|06nQqL&u;M94SmA6luAd(M#r7qPZU0#RroRU zPdMT+_fN-@6VL7(>+@oIMi#trK7;FZl5$>MnjDiGgK}TX({yT)<|X+%M{b$9G9cF5 zHM8cf=~diKyh;jB7j0&EB$cs<%yuKb5=$okGTPTT86N8JdILg657lU;Mm#8Ti-D;Z zq?|Ym99GTHnkLlu7}gdbsrZuzyk>zvg}~2s@HBGNPS-^WTi_AiLBqj_B82R?{4Egv zU|ogfKrtS0t`m8shmn*HIX&=)*5{8I{+3m(ApO zvqm%aZ6=5HE85poF;CyGekT2VVMLCxC z;kW_6`j)Ay`j{a`@v$gB;-RQA0q} zWBY~l0DKI7%5t|&;`(wd5PMiUHU%E<@MK{T2)XTL1qGRDyaJ^FG_99v^ddXNhQXpvx8{o8hH z=LpA)W@5aeSUOW)lERXrV+?pjSFlCHX=*L4)21SCeg85z&XgBGx_vUDjFoZp_Q~%D z#~C}xC%|GCrU>gR-d&}x1DxR#Uv2RGd#c!Dt~PLd_2UJcM$hG%{aIG!`_|3C@i3F zuG`POULQDT3Ot7M=%-a6RXBcH7)tXl_j-B&rqNMC^CL|GCB{GBpB#iWbe%=>yHD#w kljOhO)7kCZFiW6C${;L&k^SA)sXSajH%q{F4(c3%w(kFEdpG|i{g|}A3{-2^1S^hcD;vm2GOMfnPa)C{A=$%r)!rAd9hcYysJ!GG9*Jsr5WM&tY@E3 z51s8xiU?zr$kJj`md~I z@88zidLs=YXY!3_B4_t``~K_uVtaXB*p9#9{`dS2_d{m&yT#-Y+KF$gsCC7-MaA2l zzWCcv_XkAH*$Ge(ix93lEiIVeqi}C9&`C5%&tY4sN@RwQLaF^z$V2Ld9eU_`d;!agp-p7ler*zlv)50``&? zONKa#4ObV?AZZai{Nn%Ehb9S>>vq2zXI-Hs zmvIExp{bEat#sEcyz0$OqhtKPW$KFA&h37odDJiaEudARg&usT4Y98`v0c%pE}B5#qw59OAT8TFmrbV|xNn6q3?JnPUeIQ>3X!536R{r+Tq z-Oj;?TXgVRxr?9g6s0YD#N2=pq`_Lm`k}I2SO7yZ*azG8glxLNxbXe0Iea zJ-t?hpBXTghZ+BI&>$7~p$I6>g<26M)5S$nqSROx^HC)TNIUI3E?YiBTd_qz@Fhm! zn%qsTqA8{V*^gdE`yb8m|DtvLN0SS=D)~?jww_}2#Z>EBaF<5(v)MVy_k8rmV4if~ zx6JS$*2^9uu0bf|943ZPIV|ytwp-b__d!`$SzW$ZXY3?JT-!-PTm$RImAHwrDIDIi zx-s(#Wn!}Ph%OUq@0mawgsR31f9{wl+hi4v^ep`3?n`krwZwj}sOk}7eOysUy@f7_ zQE)nC%V~d;>+W1s{5UQn?JF-*{E$F7%*RGwAYVw@N~n*v$1`OjLT-vJ_4p21sGPi^ zKgL?`7*4ro7U<=$;Eo}l_wqvNz;ir!|3y22X$;wAR5V>W31E$svHp9(iw!n1&=yoL znY@qwl^8cPDY=I;I#qs=8MzsrrYnEt7PNm{iYu4S z>@82R6i)E*2tptC2N8K+IxyeBy@Iz*%k)MmjjJR^UgZmrYD+Z(_*TCH3YjjSInfSZ zX4UdZ15$AXS3skf3@SJs%C|;jOO;~viL7chZ9i2&`_U`5Xfk0HfCzRi(Y6CkTaU`# zlQf{)|Bx_mSWDjgPo$>*BC#iA(j;a|YKfwYE)d!3iNZYCl5sy7hAywveYbO$N@73^gGXi=o3p(98VSwS+VBcc=@Nd$rmNN4aWC3C^j-21 zYs6A|^ohCCl+?}g95MO!(w4`KA4gdiCj)c7YijMxK??on)ZA+Tpsj#jznDfZ+2kuKp0Fvjk6KOfBcGj+4`dBC|*%Xfy28*?@;KOZf zF>gq6RU2+>NMbKa60o3g``NI}+;P{CD~R6izq=5a6qgI4L*s^^;x^vaCWo)l(*4G} zy5+#+m-NumFl|3W6-23T%lGpFlAj$Mo=#Y348#S5A)~7n`?xPLacgRqZ?(&IWkioK z?W*?Ugnx=L(j}r5;x%yx>=Y=b>@)6H^W7=~bEO@t?26#Mbq9s-1Yjjp=Kd%L(=@VC zg1%m5rMA$)-)0{Rl_wOv?NU!W(5b|G)YB?5u8mn&kEeCkWVoBCIB2aX`dt$ffZ^W# zdg51V02AHW=&&#MB|Od#W#>~%2e`Aec4<{7&TEfnY)LD9$M+7hVsu`}=93fW@}aJx zW&*Fg0_~_mEQlu&%`wyh(j?DSpc}+hn7OcG)@pZ^Y3NVYp_4NtUomB#_-`4CRzYp^ zw?_?(vy3y$^yzh0KGeD5dlT+Z62%Zo{^99y0*S8(;Dx7ikcvF8;D)vMWbY7J}6pHSmOUw6&IEcEcdv!Y-5 zbAlU@@?^J6WVgX_Ygl2&o44-39F{L$2P)U*x(k7$!~Wcmt~gNu9nR?RM~fXAGVjlF zR4|ylx>L;^6ZHc^z^8JxMp|zcX&`z}l4XY7)Np8Q~5nz0;r+)^ArrkFp2W zJ+2n^4pHPya8g2`N+9DZCQ4w0R@W4bZrv7jEcgjMtQkIEV%+|y!O13sJ4Qo#@We*n z%0fRBs20@qImc7&b|xuV97mONzF=&a#A1gVfW#`}8}}7CW^; zF7z`TYEOJ94+GcM@wIffP~5a*>T-ilP^5ibf{G5~FEWrtI;q?wIgK1ALV&{X+P+_4 z47~0d)?^d=P$SK28(mGyMJ|h{Gz+9da5pH(sV2ixEO!V2%q@k61wbsJ4|1v*u&;4n zgEE^9*{}}Ldq;e1AKz?BW~5vC>&d;5L>i3V&5vPdJCVK_e+`p0dB`xs=I@rjcRv9N zErLdetpJ@_zcS05h2%2k`Aomqqh?j^3ghyE6G*a_n>bpJgEyz#r*4nUj08r(a2h%R z)WX4mCnFBe175hU=00(xLK)KpQ05m9zcskKV1(m0LHGlHzaQqN<5aLp4PC*h>V8c5 ziDXoV`!gNuIU#QTj<4^V0n|RFUe<;(|GK*gtnh@XcePZ8BKT7lbsC<~7A*t?N-~y5 zT4Fg%@1-|~`&!%igySC`z?b5YrTvj%0B!;|9r=*lVmuDpqNg7t=5n=*MKuLgx5ktC z6UHO#=)2bWOI?E>o801Os2n3VY=ed?;P%h`X7)XJ>YI*oZ{rOVJoE+LcicC8-a0t@ z`FGo1GAG`zTETz`e=)XyQ4;e8nGmlcK79~S+a=1sGEoi0=@fdbr0y@lj*bU)DB%y?3jsqYa0@V>y zm+j()U?<`TepSr$%^PTo^$5xlxI;#qoQ;7)ey$wUH;$7@%gga?e$u099UM6eWEe0jnm# z2rMmvX&}C111$nO88N#K(?t*4M$6wiJUQ-0 zAl>2m9+F*w$!w<kJtq&Vp`c^?!D#QQ(a`o7mBpe29+=~COOXQo7t46w_Hrjz`!7;o-V=NJU`a9Vz3Yee{y>@gz zbxgl3ZTF zGN(C`TQ2i=%!~??W>1RK%Afj0Pxgtbm(^VpPgQk;a90NG z#U!X#QY#hK_%Achfv|++`WupHG?^_)n4kjv zUUYvCt!tD5#T#(huRa-?$k={7S}tSlo$aEe?+SkE^a+H~#gdr(B50Hme}_rt1vX0F zo@pf*7=sZf@IIi0FqF(QJxg=R!IUYY1vdk*vGkf9Sde zNo{oksbpy}vOEzV?&j-ccR6?9zKwWc9wUpht`PycS7e_k&KBbn5^&<))sP$W!R_4H zY0a1;cFW2jQ^MR>V*XI&h64|8&x{8HX$B6*_V|2N%Ye+^gt}}{&bij4R}Pt#Mvcg<7AcV^MQ}AI>GLyTL9&U!z6AEJ)Z0d@ ztjXt9nY8ubkETb0?=78Ay51GA>kVAF{k%7MW=GlpN}vH3S+$@auc^27Sn{d+Or8DE z#7Pd*C<3@395=V|3^%q!Q&Y`|$j8M^jgLo|Q`-r>R35T3H%w?VVlG4?Mnon~MfVf}}=~|93GiUeTGghE9Lk zp- z(8MuXa=DrEc@b2eU0`DHCfV z3SQ>lv>tco{FRwyW>CoIFdE&rJs6r0%44KihlnQdV>RT^Yv@=Vk1}bFx*O8 z$KcFlbx!ho!iPRNBulsp8v*}4Sna%fT8_)1&?A1BXoy9Y`^<9v3exVXy2Z%j?qDS) zp}_V+xI>KSr;f)T8#~r-yoOz6mYp?zJk?a5ul!ZE=Vgw@Ysi)2|F?c}aiwwxXz}Wt zKJb89esRd-f}j#j)&9qA_O6Q_MZ#h{dppz+F5Gd5{DRc|lzlP(t)8l4!dOS59SO)& z`D0uyE^I{Nyg1c~Aou&@Ff^OIez!QVx?8d1anYPyoZ_6(s!na#sj51Rq(^8{;hdJ; z6Ri}Oi?FU+KjS+augwqiUcx=KlFg45-&NfK2aYf22ab0Rw)`PgIvkgu(_{AKIoub% z)^uAgl$McFu=YRK>kYbnVM$2f!psmwm5^xzgmW`&PRFENdN;TBcnp-HgFSWcR6EUy z`euF`=SP1%sOG`5Z5ETOUHz)z8C7(r!ep3ls28;EbeC$l0?6-`<>!2N>~nmeA-b2B zPB}T6dqp+BqUc#OsO$O4_MKMM;k?wj7LrpZ)BXmSe~bi<$1)J@`M)6A6GI`|Ylpva zt2PezK9dS>dr{MC5U69io?=4!m!RqvuWzYp1c#9|S6uIOOriADecwE%B9I<;q`CA2 zMroB!x#o{LAu$419>PKoG`!WlaTH#sylhPQ^>=@uK9nQQXaW58v-k|Bu9(&6MS!o% z_}A4i$8QIuhg^+!o|@DhR{qGL7n4~Bg3nnFdH0rX*m44t=A!#hcZ1Aq2cfx(r%RVp zg(0^Lv);P^-J?USN$S4QrD=+>?i=m~KNl05KO1)!!9?aH*t8)W<@qL?4!X8SAU^Ab z0Qq&JeuH{Px}CCXh^X{B^m&UBRb9=`5wW3f@GXm7Dy@eE;NoPmbM=VURrW}F3>@Lv+xC`Q?F1YVCHUl|r$54~`u)m6J)*c$UgXL0-INE`8PehE zhA2iK?ifih_s)scmiEu(*XB{O^-1CNmX9S*E%^&P{fezm{LhARX*&&^VP?)aZ=OGs`BY;9#kdl|n!* z2f;R!wQ@SC9^v8xA?E$F@1l`Ypy-qCaUou%%9^zjR_^z$2@)_rAF7zqFg_|Y>P~Gv zV`;6(v+U45>q(sRp*8=TPo|Th%5By)Z%LL#`fOEedJ4tQ!=?MI2#^T0W|MTP^Tb+t z)I3mVUBoGMm#t*!-=!VBSP+~2N_5!2dii59atCqjmFGtp=obFek~@}Z`7dQK-nRQ$ z>vb9$H-1k4s>NJt;MqCply)Jyk3N6azjLq?nOLjURxxk9r52*Ntec#86uYA@=Ek^e z+A`mD{xVUSYDxRaMtbHKX%S`9+Ask2PB26kVY0UplIV1>^@Z5qK)j4v1I<|L&x=amHbJ#Y)Wt1}fb=gw9jB@@xc9@DC zLNeALR%o)tWcf2&JU6=1FL>eaPgQippPn>0da8fl?$5k;os`^mGo!LVK@%=Ax|Q8WNH`61E?EVFvMu!v&A2X|NPon>F7w1so>dj z#94Z+ug2dJbjK3eg<%Rm@NKzjF64Yns3qEVd&~$keS9nNBlM%5$})0u3bX=RegB6_ z<7R`72gr7Nx{WL+x;$;+B{B^-wE*tOahJkR8STvja)Y>45DnQkmGD5jFgMy|S;ZW_j5-U5)z0~DYy<$>33;Nf0epgm| zJ)Jn~9R%3upp`UPLdS909K9wtHO)#mbC^sKW-4yT7p-QSX>PqHg^Z&3o3-^(O~?L!9)(J5L%Hkt#xQM^51uKJ>eIb<7g0k^ z;jgZBE~1y2w#msVHd=9$YGQw;W|c&-5YlX!k$_mjzK;O)GkrLsY%p|m$YD_TZEJ7+o7#!7_ z|B8?@<5Aq|K;zy1nF#}7&zg#KUhG6~Tl>Voef;NL%W-+zejCD<-;WIZu?#86kqQH< zl4!;@;0oXY!{A9 zJii`@i?XeD>NdJ3G7>}mJKtbkbkg$7!L5?r$5U;gX(UwGPTL+Hq&6(8|J-gox;MXe zy(9c84RGR6qz185bbUW_@LebLlCUW6HyDt&RE|qWM*j{}ayU3XQu6dTUd~)I4N4WZ z$?{TLQl26iwO^v0M?-=wM`zZ$6=4>0mI|B}1VLfRvbe*kyQQI3#r6hl@O&;d#C`W} zzG_`j%x`eFgy5_18%t-bdC`l8Rnd@C;-kSA zc9e9Rct+CiVfd + diff --git a/data_selector/db/data_selector.cmp.logdb b/double_selector_8b/db/double_selector_8b.cmp.logdb similarity index 100% rename from data_selector/db/data_selector.cmp.logdb rename to double_selector_8b/db/double_selector_8b.cmp.logdb diff --git a/double_selector_8b/db/double_selector_8b.cmp.rdb b/double_selector_8b/db/double_selector_8b.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..cd12546ae293dc181fb73124861dee96f9ae9aa4 GIT binary patch literal 15581 zcmch8W0NjCu*m@Xw(xZ)_bkH!IM&|DAH9=*F0K~p9=S4j?OuE#u zimtY=3&>u|a=y|B!`>pjcTm0Xtgf|VvYH~N@`gmNcoXiFVy50*?(JP42++Mv{fI1j zlt=vz#asa&d!G;QZ9?C-{m@^;Urd(^=gy{p%Vg2AP` zdVXHnW?Q|qxr58GO7Ed>zqP2pzd)~k$#1`m0$+FEw86O|)$+etlo1d=fb+25p z=@g0@5Pl#EfiGUV$9@`gPD|?VE!f6hK|$4}4sz7|0|>XyKZX3{b6;^t*!8k5)r)kS zLsmCEr|91kK7afe&hfAV=KEW}M&|VJ-N12?8u*chaJOfSRLhN+n@xArv!*DJuKWiy zZ3+Rxg}YbK7HTn{J+mXVyd4fkTFh)c?RMk1D^X|=DKZYVt2XSoJHnHkZ^;;4NajNM zmnRp4CwE{);`MH-pa1ZE?m|wM;C)e#APf^c=fL}RpzsRh9NA@b@Og^g`gplu(e#^CMM0>2p%?EzAwb$4_;*=3h zQhm4%iZFucj{)b>Z$I(kL$}J$xh09~mQ_Jlm3?2q*hpHWxPjl`zxThf zoAUX0+UJ+a1B!>OH;5rgVVqs_^@4Gj@q(HHebcYPK}WywERB<~g5X4)ez4tY?f0Qa zX=t@`f%;+^LH{iK+8|mwv)kE!$8eiNPNFqlfkLV{N~U|qIRv8x6|@R_38yiIG@g*2 zqvo8ukDnpt+@8&O%_;-|B81oWUs0DM3U*l%$8UVg3VA-n?}`VKQa$VZDHi{+`@YKL z-(4er#m*b{UO!K5>FvHEyh5ftz6?uy;QwrFZ4LT5pOx<5;rDA}^{*Vr!}!%WDGjO1MB6`djeiit*y@DCDMl`OBMYEf-)DlcV2l6E?wsEuH1R6RW0^< z!<4JnFi*r)d9V8rg^2I#Y+hSQ0S0X%;3BsfIc#00dwx5=gkQgc!-XYSJN5|sbI@^{ zxX)NfJX>G~Ky4F;{wQ~TD1A*s$^Cr}06R3d;udtkbV*^IK5o$cEf@qjQU^dnuj4x> zSgm%bQ-=BL5h|sNB1sT~s@K0&TMd_T=?R~q;5yegJN*}spZU#;3BH#z=fTBErkdR6 zi$<0GD|~b=zGXIvN}-k(y^{icMIa}K!89XSb0@x(8$T|!BASHy`2yq1vbpsLxp9#l z;C{s$KV$ti33UG?{!|zRY&Gq91mZhG^isEO-BTJ5BImQp`=3j)$vm;w!HKZ_RGx5H ztgtAK+V<{>SpraI& zknPr!Bm7LhjpKdci`j43&k?(&4Vv;2EMYt${&ZVL_Oc@EV2H>ft;=Eg;U+H-oWgU} za`tQ!;6-lIuPta)ejbPsA{zhZ^lwXQpD_e(PR)#lc(}2GgA;AA(t$=rkZSUvn@LrqZfUc#Rj#@)+g*wF;UA zCpMHH-NfmPRw!h=r2H#J@+MkiW<|3A-j3$z_Gt6-6TfCYbK{CyCZ!IvW32E>-ux}W{t1Q*~Zl$*l!ca^>Xn?B+cW!QCr{X6Pb=1&jU zSqhg;2aQB1c%=)r{9O9Kk3pP4AS~$koWWTI#G70?{Wk4%x@L7iaZ40~a{MTeg6E() zHy7ic1^y+u)(>E_>!g*h$`YU8AVb!~!AV$_lv#!NA#}%JLTAj2)*^Wj(x4Pr$lj$Z zAG4e>m4#R?=J9sCO(IHT%0%w&94q#|j2n(mq6sX?mp~9Baife9HmmO%C6C(+0vRa7 z@s{X_eTEQy_qjm_4E~;Q<0!$aNFH|qy)h@O)d!qh6_nj8?yobI4s-9RBcBj1i-%xn z?%%teyLdvP14?8xjw~z@few)X(vWl5E~L8GJJi5vn?cR#;bv|pJeIhQsuNGWwHKE9 zX~i#<0nA0>@Oa$9oH`feGRkG*qy@3DO)HcI0pxd#5W^z=iH5_P+P1)5(APR7zu(qx zK^* z_2BpIQs~lO#KM1OFUAs;ePiBN>G?`;w@3)q5IABuoH!y!tq>Bix0Y{lT%SFxQQjkd zRI&gp+Q?B8IOC~psW)(wE%+lwup5XtpuPHePtM1rbTN`o9p7C|Kt?QGB(sSd(CdeG&JpUTW)P{;9BQKmgWa{CcPnkv0UE9M z3@9)k=G84Ww`{`(7$XIPY-Ule8gPT3<3*Ww{AsDM(zy7(JAmhuDst$HdRiBpM$^Nt zS#y7pMV=TpR-9=|wiwQtNAD3y!5D9~yjqCvsbs?{Uqz)UxQsvfZUTs^S?X>OceW+z z^Gx_^vzdt5SWMAQCcdLv4?MRxjuoUcY&+wE+B_zFRNO3!rOt|fRCI#;S*(fzZP)c{ z?-@|LP;VA}x>op1y81MmeWv}evAoIP^LuUtDndB@=7ug);S(aJ14g$D<*u(@7q^M_ zL4@Ksw>YnF@@JtH_h0XS+nuc}&=cqqTm=hNFw_%f&DCU7$S!>)is>rIa;M9ZilkP) zqhz$zqy;jSsiotqKCzP<$`ZUe3RMzFv|m|i%#_LBZ6%7y#2aob)FujK9@e8|q$5nX zXUmerlaCs)lM~R!J93q&BWcDhSZTtMO4&F#e zF)uyZ$LVO*-iV=4{SsGLB^=ePdmm~#3$ERY5jvQ#Y5Wrn(bqYrD*i6wFr7h zU-b#%tMX^o?D_zAe{-Fp=a3VrXO5yr&RpSQ^l|6UI4D$lAWZc8QOBz8^ALcfKDR@{ zLrCTT(H*UA0RMAzpVw3Ymy-CnIguGEsl1*xdlRT!^X@p_;qe(2sJ{P$Oh<75vbtiPn*W{rMj3VR#3 zF_^R7?+fReq#K>+&hHGJGIJyP#w>u9$P_hBtFmoBT?Et0L*vv~R~YJ>*|5Q+VpD1D zL4wI}TsVOrT_ByNZ=O~*rOKb8Jb7pKeMH;`ZRtbbctbUMSH^ z!oj6(5J{o)dJk6hTg+|1R$2hZ&-)0sR(z|?S@&#ug1*q&vzt8g(KKD!o;v|P2Db~s z!Pnbij^7RW*Mz7XSqkHlBP}C~fNax{;suqk_whH8OdQU0!U^I5BEB~YdPK4gH0Hx* zs}R=05_cV$GLp8v+`7-pB@~cJDg9l#TZZokW2KLfZT)#||BJTUfC%Xk;@7J{5AegY zrw?SseA;&4`hYQzBF^JtA)$H%YWl8y>%CJ?(j9fwYdHSJm~pc{@U{c1 z)I6O_=`YVksikNdSUqC=39`Gfx3|vZB39sp!;NKe>scZ(dIrK55r8#I;bxquBK;lU z08QGilyV30_622}9iSxIe`A0wbRnQIc^b*bQ)*Y*Lnip=#{ANQYlP$>C1tl{x#v<{ zs0>^4i1EU`_V7{Sv6v)Ni{a4I;j)+%dF&+Iw9omhr`1?*baOz*apkT_H3d9$5na&E zZhf#8`Y@0{%`ls4Ka(0ctPbFxpD1}rX+I@QbLIc&CK|Q7-Cu%V;oKxp{Pe*PS_ln7 zgW(IQ-MOA_{(|K4GSimY7fxMN4-2P*~4o5b?xjl7Xe|>uIVW;3A7WnN zn#6v@VkEY(Mm^&lnVXhccKT(xTcgy>2r)SHv8`iy6_vs$qm(Ut9hBoB*J(1Y-avP@lDU0^K)NAvQjB-zentX#=KafTJjFe_m_6`de0Y>DHk zV(aIN>~cy}q`anLK5xJ;gA0>ETm>poAZ&sg#R#@}{rh9F zZ*p`Qp3oa!nj~_r7?Af9#+)u+;`CIBbb11n6QT|kN zk7IjZRA@*j2uZ~!BMqh&i*F7xgGX1rE6Ffj!^t;4qYU|Yw{7P)R)w={tkN7)7zEoO z?+c=GY&d5Zy{AKkrc>P1>2onpb))t8ip=hfE4 zrj}##Lmt$H(eEszoNpkox&II}c?j<+W^`pm`cOWx389Am6a=oKm)>2`!y8r&``m z%BD7f*;1pSD;BygeR>PVC>R}Dj7z?B#3Zg~`&h#Jv|%fInPp|G;n`uvS37XX z-|E`x+Ret`Bzr(YH_+XwzouFW;ob0Iscx3ZS)4361i-qMnF@N&`YcvFOWr2vUydN6 zO!-r1NwAl6qiXY`{j|D(BV>k%T7dp$`6Ll>qJy03_Qm42HMW*&LX7$tx-C#biwB;$ zJ$&|_J874fI(P}E#N7@9Gx=;_DB4^+FbG}>*&18Oq99g zrqv8|PMJ_<7AE^bzTCw1Fo*|DYbL1~v9$0KGj-2G+;0u8w)q79E-lm?r+7z!C@=Gzf#a4kZ#cb z(m?s2>iK!(gLv&AA|E?OF6_uGIoVxv6G=W6`9QZr{ayXV(u?0L^$_g^Vc3OT?kE9; z(v#Q$D?;{epPU>6-x?Er>2Qp!To~2H`Co^{5#(s12yI=x*HwK-Vry zu6vB(?a&&BwT>}snjLR*sdZPqoF3OPDpv`R?;+L zVjWSY^4|MWn=o$k)~yi~O%8e3wT2OS@)0pc`|1A@iyAL^Lj;t0A&JxrMloDzaKh=e zRQ;%UZkY^OVw$kImqMmm`nSts8YLLw1;R2IYrS`{<4lDwsbzkTlyP4HV1(QE4m{O} z%A=+`7XG4j)z8%J+O>l(Rb>pxT02de%TEJ@W|Xta8TFND5L9IKm##m7HxXZN8Uw4V1STHo zuT;Tz@~d(eLDKi@KbE+yb<{ezy z_vQ!B(`n^B~g@z z3xZbr`!=)ZRD9So3rq(&h0ycE?TDAwXL+@I9!mwDF45iz>?z-8XI5PB(x?K(f_PxW zlHm+U`}#L2kp0P%e}KoMXHzI1Tz930Yqpt{5r1>QViqwDc-S)58hZI*SM5?3!Bz=$ z3lo)0wpY|xGSjF`46ydI_dh(VKk>Chy17O?mEZ@MDFlVrsB`u@mbQdSo8;B@KybBG z*c%DH#_h)TYZ&oAZftyPe_xy!Q0f2*GL%7Uh-Z85mY)+ccrWMw3F5A7uI-fF)?VAz zZpuHCzRi(?juNoPN@g%xK}1=-uk>()H080^p{?q3Cu-fk=I1BjjvX%ZQ%&Z1;ms)oo^P|5XC(K(W{UU8lZN!?}CPz%BQ zEy!eqr7T4792^m*;Jha>+EE`HG$>it1Sd}6v^quk>VH>uS$*H9|R^%v2 ztuHr#Naajd%8XpBcpgG36rvr)8-$TC%_N{xg#v@|xvd>0m)Ju%s*a*@m=C<{I|`ouJwIAmYjP7jVY%<-(0@luI9N zJTO)@bv8U)m?;aubx~rhw|TLe<8)PD3E_<#^08~Su@GVnQfdP(3HX-twM7l&S}-+Z z0yiEBGIWt7wDe%h{FvzTrm6Bcza@9_cP&{Ye4<6SGXUYENvCG!Fe;n6Z7J?qBa7A~+_r3?f#{lt z=ZWIK`fk#8bNn52VFbca&Fn7NYRXv8q9&fUYH1G3v<_kB1wCTKJX^z(t=EFj!4;GO zF$}{zF#}WrG#HV~$GV)U_l6xMf}mxWoy#~)TW=D;>OaLe>RAz!t&yCosrlPE;7w)h zn})feMn|l?-(3riQAUdCPiw7Wi z-18Ku(R|6)E3bsuvL`NhLaJSu>GaO|7R>X-73OSRa9G|mqUEug*}$cWv=FcfwQ?5V z(5aE9W93YQ4gf(1H|6l{iG)#`4)0c}qIZM}M_xQ};4CQB zwJ7pY)V}WWW6P$?7GIGONAt=R9mD#v>6WLoUUp&K2jP}7hYjPn7TV`!PRMhdbqF`=vr zKxbwfOes7TZWB;H&~$no81U88Ni2CdCx5~M5ark^P)=%MN{Q-QQHS|^`>UlDPG9Rf zBBCYlJabn?{@St-JPpwS4f~>sLXA3+Je+E8Nx6SlS~^{3$?_^wsr+_L@+kvN3iU~F z?Fs0nW<{PgyqTDs@^f{1piH$5h`*qG6;W|@`!YkYX|sZ8Yjfj5O&f=$PhjfliA#rq zS#4`itEOvnxHUCr2v1K9-J1%4WxGFgo4pI=%k3FSMA&BbA6x{@jp@Wu16lgx*z+Kp)JLInW!qc9$cQ8Y$X|#zpR?yc1sn;Zm@gTz+82R z)fTIV?RMgw(S{J`?{er$w~!|^xWqQBQ>im!gN!ftU$LLYHX^mx;DKDzzAl`kFHq`t z(f7~qs01zB4&?CS%mpX^ z)ss=VLk^pwdM_20zV2N3mHkgoE~@gR)1^Em4~D`cL3o*kV#kK}N^J5-;qhMzj|)p* z&i~AsZSSd6tBC4)c3ANFJq=HIQqD_YmHE+7dJvSkd@_IyHkTL|?7Gn@b#p>_UFel> z{fYJ4NmiS%iT&yKlTQ7T6dtGY>q%68P*!5u_^7o=`bz!dSS@MfB*%)W!e!-{h=glx zp^fPwEAKUyu}^8);~Q9l{e4tdMb_gu3#}_C&kE!3#ukNe-qpl$R4_*RZV+vq850i+ z(;w4DE)7P8jA?#kMRcE>)G6Af?Ox$Rd?8ik3HM1Vm-X2ryLnG2tpP7d#7Y$*jY=V7 z^V5H(r7@KrSq{60+nF{)l!GB0xkcM9{lwyaub`n7<89h61yeo;hMskL?9079DA|{A z)42G^+kn-uInZ$%zAET_2CPr`7_Gc;4ZTq~(RUglPsY%>ms#_@9{PD_Wwb!GiLJP! zw+UwxuX=MZei6vSf0OZ4>G%zGS^Kda>KbeMk|HknAT`Z9Afnl%gV9cve;LcP@&>Wt zN~%E(Fqcb$xu}D*P|q8vYm{1rD3MBV540>}f!Khy#L?>-h|mIHT$rRvm+UjKP&aoe zggI4iUIT+z)d%@2Jz4w0MIpT0V?%~QC8YvUw8c?h6Ps`V`t|VJfk|z@QIBYBUE+vG z_bJa3<##4MvoKH2(oK=h}pmjYQ zPII_BMZj*SFFsDh_Q=}64K~~L5%2$s{q0Q>kY}h=ifI#bf~#PDHOh}-PrFisP9V=v zFu(9UELt2YNLQ#yvTNIzB5%2iu?-6tZHT#nYCU(4d0&UFZ-+K_@6FOxB2ARl+lQ;6 z5HG@dKH0*V4z_*BIH3(e)bpL?_ulom{lS%T%VU$}$&BrbE8#R_)|#8znZdxS3~ev- z#?H24rUu~RE5;2is-3V#KWAo6Z{0>wnl zzJuCQJBc8qx$A#b_uGyDB82x(0X6D8r3-4+?*s=dbEj~(_=_Z_l<4Hvfrus5o}?@0 z#!aQ*4NycbZb5XJQvhIfkl(QoS5O$Sq!wKK*GN~WQcOcfUZ_gAZ=aFSc5Q7Uum><+ z3KKhogZOe6?8}ulm?MPXI{gbWWF4XV4QP)D38-Dreo7}tJ-P?t#q@L{wC6?_t#NkBDmjR5rIlClEzl;CoHO+%Ihu2R}XQ$ z)SZH5gXH1vmYFeWogoH86d3%{03f5Kn&Aced$m-E7Bu6Nuxb!Ftgj?0j%{!YO8W78 zDrX`pQK=`DiHYG#W)EC6l^O*-Jfh@Mi1F5&u4y$k^U-iVo*UQR1WH9a;od`~)#jNw zRJO1eQBl)+g2@p)lWm-glMNm9Y`*j$rhIxK$~nq@a8`AYpVnQ7GDxXywC;b|m&P`sNbr+z^S3xHaq!#&BE;*H`io;hmoaV=V{;O5mu2S) zdLkpQVQ}w86ylo-0x5Ph810bYDhPuEP;WMy>=%nOlbn|n@81~{?9G-hq@OH1KI4s2A2w7H}WE>2Sm1_30niSph zQfgg=8}GSbO{d4AqD>P9f{5pf@0a;reD$j)RL|7@2?$E|n1+L8%GEIA5-DJR&FNn9 z8C*qQPTSG0re+t)?&x37CJK@8Vciqj7WNxrD^!MU#2bM?eH*P?HuqH`#lM2XiMqkW zp4f28dSfOoR=k@8!70iqRfspJAH1qmW8Zc6E#`9`0&u(gSEHfT=Oq*=`A=RL8vfCVK-G|d!(ZTpQuU}Si&VT6m>S7R&JPi|0yN<@*7F^hJ|!fGO(3rg zU$`troIRH4wYVXcvh#{<|{wo|0D zG)$MQ69TugW!TS6zGxD&aXJrC0M#it4PoImkI0<_>o4Jv=2Kb`UG%|xGWrJPc6M0A zvToSWMK|h{=*0Oncl~EdB-S|gv*ox5dbm!LUGJQyw*z$v`VowCAs2+(BMNR?+2y$IPz(-k$kQ_zxo?GqM1AdM3csL97Bp zi~|sV+m>mZmhSdD`;!Kx=jm%7YgBISpd4HM#=IT>?0%}MJ+2K<6wczG8K9lsII0bo80iDBM(q)Q|fP!_g$=UF&v#AQyklu${ z32q4ywtr8{t{*46W+m%MmQm#3qUd}eI=H>l{L#L<2Rr>JUghIDF|gANStlzfTpZEl z=MDK`54NrswsJ!!i%qsZbiZ>{iWspPN`%wI4pbgxFs88Eiv=nfJ>TghZg~8~6bWQ7 zLBwp5$f&R=PJE2T_3g$H0h>znj$A2%Y6zEpow-r*kdZPxb?^OOMu zdYlN11WF`b&Q$w5+^N})HBqr`7X~_+J15p^455mofINy7`L>GWUjbAAGneTxT6kC- zdLvpxjMeN%H;^hJmv~Y+Hob^ql86Q}{dx)Q$hBo^R;Roo;8T&0;5qeIb%sv~%+lzmo5a>eNmK z1hUBG4uzawhS4QRX`?PYR$n;=z=tB=)^Z`|E}&#zwDv|0vh<;fV|j~Du0%J^xZPRm}roq1Fu1~KQ z$;uvGRKbe7>&JAH@7U&>+$`peP5B`ro0|Ob3qOa56;N7cxv&b2A5%Dj+E%W1$6yE?*{So= zK#OUuhhkv0DfB7@H(hj49!DSGp?+9ydIq-{dH^#w)vGJ7H^!F_(bwC&&);6S&z!Hf z)tWu^yZA##di2?l)PVU(+CD&grQLGTOI>p z{>%q&O2nQ>oKAPcpEzrZAgv>_9KkbAYrAU(gBKDm^`wBnkFoPHEG3(Ak--c|B}-8f zJe__%|G=~jMBalamIx6ba;am2L=in~4y@GYIEe9BlWLYGsZ%k{GzvTA--Ht^8g`4( z@!2dcmLVEk$)00Km#bFxX`uwgTaz^@h^jS{+aOqJ)jqfdn7xMNIX*qNJ+KOPx)mMW zE&jcn#J^IcE4q$B6Gm9zbahF)ab#WHu?)vD9$^t|sHO8hE;Os=RhcRX?3|Eq?;kiz zwoUm|mngNYX^S1`W|IObFSDzy*7eK+lxlHVc8_dCv=0%s&$y`pFfg!7Z8+f291Ot8 zVCZFrsbT;ASyZdk?x_dO&Psx+sO^PRB-QO`54Pwk=x3pllu;ucUfkf@TDc1QYursZ zF1r9tk!~x{V^FUoUU|#0I^v7W#Z2ah?i{VdjNy@=nl*FG;viLt)|Ws+7~k6N?s$;y zq;X6gBo;>pnU@DEg?Ua2S>+VfEnsTP8PXC+@Xl%oVO_h6Su@xz;kzDjE)o1^CvrGV z$K(U~IO%lIMNq3=#CW_M?3q{+<2vYnC9|68BE8&&=m&jqTNXxot^MdBzpx)*Ejq=g z>N|!Y9?7tvO+MXv4j1B@up5&gCwxakeEi7C3#bBGJhTfaVuhX$XW)Y@+Le_k28uTU z`zr=oeLW0PW|DBwuHy>rnEyIlP_4+>8Wv#MIA%3KuxE6a9pS)!=QvLGTN2MeIA+r1 zPR0`HG_2q4vdN>61pk0{K;@IKk;66Hkz=B0uj|7^%P|;MU+YJ}uKF~klv3yp^_`TX zas?@SxVSQ%ZcBC$j4AMY281(8(!nI9-!b_h&~V=mti%pt1ZN`-U^P)GMgAR5*mr^w zB}=RmHiG;tAPcDy&o@{pV7`?Lf?rcvt0FMc*;tPNM0%;?87$wJLi~nhn&wJ_DtuKP zw7}!b9cyM)5>v+cYy=g zsX3-}y3G0z4ySS;w5eYj+!kXR2o5I#w*BtQhEd**6g52l7&W;4vXP_mPz1wA=4F=7 zD6yH)HlEs>&WjP7(@LtR!PFlNY(Si+eD;0nM7qED`eU#bw4R*Ksa=_U>=kqj{PulJ zFthbH#mTcUYM|kr#`;fRVV%a;TQFK&e?o|A0-bMY0^0-#(CdxCV#x(OZ+Z)Q2M2UB0HVEo{y-oHUUuH6GqF8D}bEr{}75#!Xpkm1&Jj$ z(bvhIk>%3{j2(Uq7(oC}U!mCz!l)Po(STFjKb(j&gGW-8XfQYrqWPoMB~NSt@y5GQ z3$+8gJ)8+VPr}zFj-n8qP;*S}ttdC8aBxOt^GP@jIV;N-3!OL}{4qbGqWZoxeN#b| z$)|R93WVjg&R7lr*k8C?-@7%tUL4-j-owCylm^qwh2A4T+&bxm5BFXMREsBGmVVyzYkX8GrHy>p%%uFCy6)+`TfJgbrf-@V?QUSPQ+7n88 z2sMqi3;{G`cgK4mF(_bRr{&Y_>WLsT>vkq9u^M`T`sm%Hkjx*~`%%T#& zr*v~$rXv3brec(7F8^>iQD0ArVGXkt6El282lVN}i7^zDFhH-3XMMhLCmqXzxUons zJ)*(;qE)kvYoDYY6!@!NJR}tTMLAV*aVlg^+h?|dE@^>c)*DbX;OeCBlVv!j0gJbkcv2*MA`!yhx;iIQL` z_R1(C%4b2wy0>^11 zY=1Z+&S_zAv*J04vw%9htMHzYRJ985;&V3)3&K^%{N~NA!v<#lG^OJe)IDO_m4xz9 z%$xg$6~HshrUR#sBAcPKI8#fTHUtx0HpD+1SyF~G_)#gYDWK?cDEQVLb6FNk-*{`D zlzYSQx}Q*C3Ij$57mo{2#Y%frFD8@69G%$jt z|GQ%|<+Pyz^Q{8`OL1A}ksF5bK1gEI3k3P&fK^*ZFXwIl3A;13?TvD)IdfY_g}F)2l&Nj%iDdgKIqe%;kEnmt z8Ed)vhhXI553oa)<5yXGQ_eXt!=yNWVpM?9Pj+%jU{I5-n?FwUuq8>1Qr!R{A{+d% ztz5&zJ2S3_88!Q0%CYc*iGc|qhZkUH3LvUF?Qk5q?rTAY3_&xE{;D1ILDPr3V8IPc z2V6yGs#M<0jmB`H%%o-VHF{0sro&blWDPd*Ip*U4Z^xLx2GJyVs^nE`0R(e&1yAfz zeo9(l0e`k#UY_BNA16E!C5SvZ6f`j^V48@@S#GIwV{MOO+2Ol`a{YzID5v!{dE-zh z`*Gv-HgehML3(ut+}5Kz#XQ}l!bV3~5ZqAR3cNmL0MjRP1kf^Pg0FhI7&gLj`T1Wb zG3Oh;@Mb&(-HX z+$DXlBLSumJf+nw^+>peNzMs0CyYlcwqBj#iV zn3+yZoiw#B{(*Fg_#YERDLbo1PoNBcl7}F1Kl;nFzZrkBq8dR2_Q_)uX+{{&<<|0s z+Xy>ow$8>d_)(9vOrIHi3$dNZ;<%!dlcG zqA)r_pJ_OFQGa2U&;OiLEPq5v|MTX&c@@~p?NoRNam8RzvbYCvt%~(zz%i_wl?Q#B zJ*_@$@r)N%`)RNZ$*mGTsAn+kEvIdxhc2nv;}A^m06}!)#+0$&ZIXh`-!pT=*-ExF zasqEvUVEbV$DCai=@`HUg`AOZVrn1g_oQuuZzstXC`?E(%@+NQ*WvdvuahAiPUh$X6J+z`8$%c^ z8vsKfhVgdr6Jls!HFL|*X-TUV@8|zh4aR_kvx1mUu>$~5_CWs1dn4YYzwNN>uKic4 zpj-*HLH1MBQQ^HWhxSG3mWdWR=q{Gw5($4zp)uLjt%&k*C}(-;E}mHXe+xmk!Gl-V zS`|ee0nKHhhfQc&Ew)gy6XpWP8sSz@TjS(EY{G|V7Sf$BR%`YJ8WFo-wbVA`XJ$sx zcC^Et3oHLMhS+IqnQY382Q;ypRg39jtgN-Lm__io9C#F4&qu4DCZhuO-h@bQz|dSh zE}PQ2UFCu8dWt(NCSOucNStJyQQP^U#APo=9IO~fgWI2-j#lc6Y&esg0t-5ksHgBX zNm~`<^z^?Zc6g3&It-Wb?X9S-Q0bmY6bB4CS&S676YJb>q}NDa$*zLEP>gSKg4RL+ zBknItcInFpQmS|>TGs@|=EF7lh_eS4@W=}S2>MCik2dtR0alSd^7BLYp(QMMAJ+u2 zF)d`{wn6&@IWqQIX04H+g7VN1tRQ__5BT`#Fnmq_Dm^W4@*P{U8LZ;>vQojpn_Z|O zq9==oGb3qXC*_oWac($0K_@!XT*|G&8KNYBnJ=43~%p>*$}cEF#d=`_Sd5t?I+#)wz8*zfxz)Y57Ca; zG<_rjeW^eIdG*kC7Ju(AYy0=bQp?fhjiBL|o2m&8y-)J(p;aPGhX60z=(_LJOdTHB z>1|~!RhRdx@Ujr&ac&a2HwpK<9Z zxqcY-Zfb<^zq!bAzVH}YeKPT)pl+`U038oC&RzCtRd`_aD75H&Wu5^|bG*D80CdE- z|BFaICrIDx#)i*O>SO0pp!{Yikh`n3ngT)mNG`v$`UosO-5Rn+=doVDmLFl&U|!ji zMa8XZ>4cSsXU=j=TNy6YD z4M-Dy^U(zsy*KNkVkFJcH0UwVJMgruH43~B?B|)qysOaB3Av71CZZz9(daPHIcPT% zexGgpGH&3)?HjkE@_YQS?gE|(`pbaL?7+@@3zBJZUxX!IQdagCd-UJgfo(n&VLjDK zX%xNql!t;2Z8)eGiCJwbxS4KF?CS(|l>Yg`voW@;0Rm5FYbuT0JQ4fE@nvKr9ey0? zOmRomnFe@tyN0K~*|^DWm%Rp4E>L^9=RiK@?tq@^yyte3lE1B7sq)W#iEZuiw8)b= z^S?&4?tWYCUt}PP#eI0T0rd7m+^KzmAwhWdzoTg1lC1$=<6<`;WJmh`!CT;#WBUG~ zTi{_s#g!|3A@o>S(d>iAsv?XsZ4Xd|OoiDmOc zy2zO>7$Hyu!ON!Z4HP%_rmVygAdv-7fo4Kg!#XOuT5Hsn#KLz#%EH31HpowicOqc0 zu)BH}2YsBXUAx}5X`W@GbzgZBafOunp>pQd!aDx!3yUgSz_mG&}?iyuxr(W0f~q7@CfFN*9$ z;|Gi9MWC`dS literal 0 HcmV?d00001 diff --git a/double_selector_8b/db/double_selector_8b.cmp.tdb b/double_selector_8b/db/double_selector_8b.cmp.tdb new file mode 100644 index 0000000000000000000000000000000000000000..2e961837f9c72ab1c37776919a9fa8edb19d02d3 GIT binary patch literal 3195 zcmV->421I!000233jqLb0B!(300011WpZ@6CZt^Su0000009y(G000000PO<+ z000000DBAo000000C)l9nQN?7#TCalTvWg+zNl@jdKF(yP^pjP79VFLnowh+CWfGC z#3(e?pf;c(HEGXI?IR{CA50)kOpGXMV*KC-tZhUjO6yy!62%H!Kvb@X*jChvZvVg8 zGyANw&)%DRS}t7UBN&G#&YL}F_BA)%ICo~> z)%%Nk!6m0n?Ys1}NqtlM#;W?2gwIs|rShGky1cAyZ}G5oS0uM=`a&urqA0;#(&Q|Q zqW`Mla}o9P0<(+!Gp~!vP?f?%Kt(>31ek35||r>Sp^X|2>i zYp-h1|Db$BuscidFVoQ7#|0(YhNxelD=npMQ2qXlN$qMbOZ^SAKZN}PU1{l{`>jPO zUG23Rd%NGYT4!PGySKi3jgfJ-u2!jEH!%GCo!HJABC+ZeA7WR@e{5^GW#x@+x}2V^ z7D`rk=vwQoYPX15kLY!cf^Oo?kL2?5|e`WhWp{xbf-5B$}aZ1bRkA4Pw^pDD!OE64>; z10G-0TZWmx?89Im{%YMe#~1u4`g>TW5G${p-w)-lXjskf2L*qr|DfOp{_5E;4&yS; z`&(QG89V$Y4L*i-rVcBA^&*qcFHf1A3w+PncojUz@}$8l4(onT$5V}aDjVXmIJDOI zp|d<)uR5`)=m%$Q@Z$s(h|R?~bcT3(nabsOP18$RlQY=jp$jkINsQDnE-#38fRWQ1 z2%oo2?WZ<8owqUPXuuObubfWT_Is@4u*b?_FKg1u>18h_o>)2EZJLJ{MZXic7%9>3c1<>AbRRIf)3t%&BePJ4F=cGNj>B2W^0D~_O(1-59x^yHj17kw z-*+0E+dV!wvkcB+gL9F=31fmio6ML5J~+ITDs%QMF*s)$oG|9axv0Xi<8wv@&IiVx zqs-jhrC>;6Ue3|tqHO0K8-8TQB=uvW^&hd1kf$6{u^jxxcd$rBnT*@XU#7MCvKj|; zs2n1I%k@{oW<590m4Pd@@$Zzq7i(cpR(+A`e^Y&)>c>j&4%OGam!`i^eYxs81_e-_)O0GxLukw_U ziZ?3%O8sA{e6g3mU-HijcfN4$5&t&Xu|@K+{|Yxjr@g1{&BxrfG-Ur^R$sU*YKY)eB19&jLb?SbGG3>Yxq_-{AGs?&l#CMqpN>o z+&m#;b$@JRUNSN|PhCQOmEl`|{kOFnbDoJ&K>n0W)s^oxeD0zoWH={D|8F_O8E}59 z!&6H%(H3ulO`I>Qsn8snJUrZvKbEWXa+4m*NQZok3bScA`5=FT%?zs``Z@ZYGx z=Y9fN`%gb2Slj9E{zpgAAGvgS`x(z2{e!S4hiuK4x{VFn6?eyc%^OucUpI}4BFOqX z*53sb+B?SeH(H+`vq6l%w|2Qcul4q{-ep=|b9Zw0Co{i`zUZ_gXq2s=fM2#g%kY2a z?;U01zfBJc$MIj0@%PXTgRmzUs9g7*#8+dV=h;?u3fb`=-{pGlc77kP@pq!u^K8wr z^>=lb>pARSp~RxLv^(~1z%QHMGW>boU!C)Dn=z_P*ZeLZ-kSM+xXbws@le;7j{UMX z;^BfCf9J~IB?W&M3^RW>)Wm1aeFWM4622SyOUD0Z`FmHv-`oF3e|Un zD$S8Ciwp8Utr8Z0spPkXi<#=+Z>W)9D1ToG7d$UNPTvPrDocd@=EPPj_`g&jsY>I8 ze|iCb>&u<{9DTk&^7F_5y7}XdaE$^hr1@}{KrVZfx$r@hS15-@gh35A0LQ@6u;g+^ zhW3+W9iy-F|;{6snAP{s*19HcpHhqWgQ211=< z4&v`PjynkcEy^K>!dr94*yap2CBwuC0-?@H0_kHlwUga8w%o3&=PfLSl|LhYo$J*Z3_WQ2!bdRiXh6< zlta!IJq5V9L+qjPRP9TMRY^>O;4f9IgPfAy8=`v^OduKwL=bCkK*|t&f)wPG4we!T z2%?dQ1hJylNdbcY6}iYM>D3{6Ob8H-ge4c6R3#LB?jdSD8oeW8;xQa+urCYHZ zQ8#Yf)|D1o(2bxg{{j(lTNLg>1VOq|5OgIJG~by?nd?9%GtBQh=R47?alc2)Z}&v+`#+vQtp`+%}TbZ2Zw*hj;H=9+X)m@dI=ZFX}%p?wtr z5^Z*tp=_Md&48a+tx za7A*mlo*kQ*r7XZnHcRGLh+kE*IL2Xmq)cHdr0)AB8^?@W>@RyJG+VcQK=!;DjKQq zR`I0u@%gX5A>yK)OW_T}L#^OsXZe0H#@Mwgk?Dqbcw=#7Cqva3F)k1>7lF^1oik74%;{B_;J-zf>VoI17a?Ein%jhfl hRBe!5ER`5JnQ+{1*Z$$>m9(Fo3ZIbw9{>OV|Nn36M(O|n literal 0 HcmV?d00001 diff --git a/double_selector_8b/db/double_selector_8b.cmp0.ddb b/double_selector_8b/db/double_selector_8b.cmp0.ddb new file mode 100644 index 0000000000000000000000000000000000000000..abf45117ba556930d0e57dcc72f6a001dd711704 GIT binary patch literal 54628 zcmeFY1yfy3(>98`gy0S#xVvj`cXxM!Y=UpxJ-7sS_u%gC?!n!ieaL;k&s*oz_Y1x{ zPwlF;cK1y8^jzINRWm&c6$}il0uda{{^KY3@n7A{$=S-mo`jo$i9`foWot^p!pcs< z#KFkS$;iUQ#7&}N=HzN+Vn(89WMV_YLZTu~qG4uCB4%pk@*!5>h47&R4D4j)pBLBz z)W2`j`G4PT;HP?s*=oJdS%5FMU3aCQB;t7L7X>Ogfzr}PVNO9a-$GTJ;{O~IxZf&< z$0vwvh)NRi2NqLpCrOBGNC5zzl5?q`hw<`Mb{q3E=LPP2rRL4jx`!3kO|P4A2cJ#O zn1=h64Ciyq?$H=b9D*Q=olU>*!B)%fya>1N#II-lPJLytRYb47i(-nK+R@@}NCwSZ zlV}HGI~iflPc&vu{V04sM{jG`-J?UnxNT{`TmNPUoxq-4W-oGSrOY*_vQ`<^b zERd4gw~^bIDp!xxD~#of!GvB6<2Dwf?T0frbkApWsnM+1BhyyVu>RGD7u!v`!{aLE zq${)Ch97T3FNQtGieEWtg4P8tB6IjtbGm9*3_!{yyf_NhNuQK+VOL{s?k})-Pyb7g zJP3&02r56%3#k@KSb{<3(FkI|K_r21zx^HH=KYG_y1H@K_X=S~7DBd?w|D_KQ&LX) zE-C@?eDEN@jb+(d+(a#YP~l5ztSG+Q3XM7rFacW$XT^Q^F3MS6svQq5J=*!AuT>?i zv#c?zn(MZxt!7;@C{UUFeNFfKPMEu#YV%NQ9$+E+{H_oaHA(sM{95MZEKfjmgRo*~ z_QFs+O!JG(Fe(Z+DGfSq&#w3m0C>-KM>XTlfLvH+d?s zQJ_-&hjFf(hovT=0)*)_LI=#)Y@yiYr_ zpiLjL9|c{VD<{&feUgelP|#t|PYrPDH*)XvFUF6ow_N2ISBaurl+jBC>JZ7J0w*K8 zVkvr+&jtWefD%47RBYycoXfG>WR+F@l!UYulSvbM>quJa2I-$?oVFGF4U+USdj&98 zM(zibef{JN6A3sSHae?SwrOckk4h!-58i4Qbw%6~%mhX~-y%x$Q)95^JugU`8N#9~ zqb)NrJISN8G&*nP`1{o6KX>qID`6~-=7jRh<|35WT`&BpfQnhh{*9T5YCSEm8j|mH zah$?aHV%7dtvmcgG1bbRs}oTgUGegg-3HVrp^dsv!BQg}Whlw~!7VA?UtNr)rXAx6 z{JtS+sgCikIZbh3kg-VQobk=(jLlW=NwaqBep}E5zQ1IG}l{qJxT{IP|kfo~VVE{6PfJ{?Cx-o5^3v4`WCZO}^kbksp9u zN7KIU+7ldWSh3JDt~imGi)}j8_5i&{6+zLt8rRaH4ALipoj|gxz{A=Xi?UbmPF(Ud zz+H1ZByQT>ZI9f$Z^-#W=Z2f!h6kPwSxF8R)%aUH(PlyQOU_KH`-~Yyyajro9YGie>=r zYSvz8+;+H9-K!a>g0Am7&3)Yz1^%5uG-T8#oz+M7&e6!;Kpg9YkRb$d97lanBlr6s zm3$lyBL{N$i%$(kjb-U^lRsn>*53Vrcl+r#6Tmo3d*5(}wf8oX`A%+~@re3EHI0cY zgHp%lP4f|QcZD9DtB6(OazfADz#9Cwg1n2X7rFAxAH?;PJTJmF^$YNWofk2DWnC9c z35zehx7<4^Ig<}rwac9q*X{4W-WW$b&!8tzA^4|>X0$tTuPZ=n^`s&vso9uUW7&5y z4xKI=o)K^S;=g`AKu+a3nO6wPYF{+H-VuQo7Ndu4U~gl)Oq}O(kW;)~B;MOOF%Wz@ zRz)V%SaD*3n>?LjS%a_3$T0ifNIoD=#iSf9)_ukYh30Lunv%;A*?|S&I_t8Q{#K6c z7gWU8^+o}DzIyaN{nKd$GX6p_n=xQ7@zRwesdf+BYYmpnE2OwAcCLp1z}uI^UD%2{ z^!GU6ZEmX1B;~`X4Z?Vj(U)&^0(#YJ4)ZT+)8!ZDtc^6zb!t zaS_^yDqa3|yBSWAU2h^rAqbR7EBducEE6E&+WBCA!^{cl21#Fa3g4egS_6Ip-PoD_ zH^Kp*>sBIAIY>WI=Vs%wt%uzZ2s&egqtqVu^AZc2lTCRJ>hvBh^SRg~yN+E|>d3ak zTMJpNxXa?cRq8w(BR+nEEvGd{s=S(Pc*p-CcXx4{G}lXwf6eP*S)noj-0S2&5ddzt z@ZM}dX)M8=4NaM`YtY|fFL&^Kv1RSobdf6@Y{+c#tSf3%=|G4pHSNc@6 zL7&bBkrv1*pC|QeI-mL`=_LX||Fhh4`s&w|4a5^0{fi<_el;;9PZbF)Ohc6xr~oP^ zVs_&hVZztjKk4~6xysBq=**~TFwTOc_sYnEdY$fJ#t{qoGX}rNLQIh$Jn>eXa05cW z)C1BIr5{#h!okI>-98U%QdV*pdVdiRRAx;is&0$7gpj}7EBHzp)BzbUQhwIu;&G&- z*06N`1s9v!xJ}I%(IS7Qf+sL>WK_{<9j2#rrUUSH`x~7W!R|NM6GCpv?4c1_|(x4|PhDfM!VSVL8PrJRyQO${60RJD=pBWUwy zpFr`({aXt!S1umrKd9A_>?7;9z%P`SRm*;{93lQ*K~b?PSO zO6&lqkGJno6+piac}atpcKehGr<_bFMsiNdH=e5nT~4Ubsw{-4p3y|r*feR1v^1}^ z)H%H`XV^tdAmQwnuB?|nH%R9eotGsOIX*jxAjMkXsLea_nN(j;ou0H!%K){kI_!h< z<1nCh6?1AGcBdJi8_qKhiM_AmJ*on{ZGya=@VEr=XeJNYdO9s;zvlov9Hfd`N?orQ zXo%Yk*g?PEOffV$%8S8{=J=3oF(I-lnlDK}9l85o-ou>Gt@6Mk>H75=)S0cIn! z(K_CW9xLavu|#^3(S%fV=9?p&`jtwn25uvyxyo(oAs*@uKYN(QL%>z*@6)hjZXJ$D z`?HI^eYK$o9EBWjPfxZ98N-SvxhQ?V#>KQ++eI4&IZrXw3>pwRGqhOwZW^1@6LW4A zaeg!6g8JEq^{{N>Bg20tNb5Rv&6v|Q^lR)iVK$A2C{ZWmUM7B4s%vEvsG73!vag<|)G zBPiXt(}A8&uNQK`JZPi(g7~(mLYs^tMdBWr6?aT1JDA^-|6C)DuBenY(W;@rssY$R zJAXCW-BZ7tX!W~lhxWxIpy1Kepb}-!0SBc1SYSazjO-KVYEhi8{Wyq zrGZV0X!&!eTGF>h(%V5qN#0zdG#|qO7=it=&`BoyQ5^ z8^)7EE20z<_9U{cit?;7QOeR7UQLOOp3q0G6E)9tEW1v5VxC|t^*=T6sh-=pQL%S1 zVk`p^_C8@D;#TwY5zre{9!?=gd`o6h(29P^$HY{*=xZ^WR_#(CxJ?252}_3}q@m_< z5zfmQM9^wL&${Hq&~$M_*a{TJh>qFt=9_nG$p1!fwn8!Y!aL*^j^d_ek;sCq+x%%^ z;rrYh```u3yIc+93&L@q2~HXegI-t1%e_|TTfu7ozz93SoJx%gxfgfUcEEe5&MOHW zNM9@3T5QUKa>8w9vU#J{3p@?RG2geH?|OT#b~1l&kY;oeqFs{*3bQIyFaPj6Ov>Pc z1Q|Bn()gy^)~XUu7nbd(&5f5klq^o|FZcNqDk%|Vs5q8w3nAyJ8w)m!!Xd1fgj>=+ z;H|J{=}&KD@6!hp6QUsz4jxnu*O5A+uIy&qc;u)-+$~$&BTk8_-O#x+bA25u3z$|8FQZS8-Hy*xLv5D-DBM&)RHD(@BAr=)tjf@ z1ld{A8a+*pd=q05z%{$5;^Js{Rl&WFzBn7MhV|?4Z4YB)oNJ*4=$`LVw;kRbbA#)M z5+>()X9~J8vghwl8uR-2vLN?(=QLqSIc}}azU2*KguR8M8}5@PS-OG$Wc)Uw>T}`( z+}NaqpQX6FMCf0+*PM&ai>btCL>^ZL03*3G75fEIaWkyn$Gvw-yM@#qKC?ZmdkyRS z=rnLxm905T_HWr^Axty~yq9Y^gaZZRIrUs&&e5BbHyKW#E2fpX?#?&fRg?MdEomrn zc4C??QCATiO&+?&$1GwMj-%8TiBwX;aURzGdWRQWqvwE~R95Y~iUa!XUx21nK?9}f z{Uh&RndEHO^nBSvtGx_vLf#7T_gn}iG#tlz{f+ZIaxZ${-342gp-*vbf4r?1Jx;nN z+d!l+t_)IV$z2`TB^L-6*e(H<3LBRm7X;<64BjbpX+n3vXhQTzxh6V%!Z)8A+xwXO z(Jh#t(AC3m#Xu2-kGsSP%Tx?7fKb^r^tw2)@ej_gxaEMVD}*AW6Ra<5)%2g7kWct5 zbPZSF>Jc>cijRDgRpix+x6kNxBTb4fmdAk(<7jEJB2PbyvEhqkcGb3{@yDfTIU@Np zd8yc6FRylLC*-V+{r8sK>K#@_c3;=6b!qR-bc#_hmv{E^w=e=d3R!Mg zA-srbc8O#%wi^>^viPp729gzL&q8YzL^sxTKOW$ODw6{lcMZFIGDF zmM=EF8<+I#i`KFskAt?0V*w!^oRKf0wjcuV!iHrS8lr(2^z9(}GxwrS(Dir^+bOc& zvmqVVX9JGL_F0gvp?$Q|>qcCOhoW^L^~Y1@$xutfb+udTYwIg6h_cQB zyplhp!X~mB4mXI~$Fk@*2cler>F(Wco;Z}VP@4Pnjg?K{Bx{gWQ-BlN@2zKL($f)P zUfDhR1Se(}V&oNoZTF}X4-0>2s8BYwp`75+#pFAa0TnUC$exE(N)Th=wYl`uxO}f7 zcd#zneV;CxCbImmxdf1it1r zZ&;vrGG%`ws3JTZ+oXZotNAgO@-?J#f3#o`L{uNd@2T3S!G?w zTLdnl!M3c2mRVroC1j0+7VFNYKLh1GXo|S)9k9Lm+doMsYU$9gc;|rg{hZT#i(%S? zk!CdAxIrT=X&2|Y?%DxO!~jy}7AIUoz&mI~(RLO=b;G-_`Q0nUeXAgH_6q=Uin!$u z8_s&I;iF{p3#B7A(leh1GsndP3l)a`o1=I!ZaG!p{J(|;(peuzWPQ#6$WVHKG( z7sGP)a>bEy4wv6UHSMtTy02`<_>+D_O*AJh+e3^|6XL8j^{j{C&&l58Ib4m$Re3kP z+a*=A70q&2ypEJY?;P1yNJI!aQfoK0=CINi9=b=q4llPAFyL)t*fon%{$Q|>l>=xN z@A-+0_!B@7^QZl%q?Z;9JxP`2g-O3xXqp(&T`Pi@4+&a)!NpF87V$;C4 zLWET7$&6#v3}&UdH@$rOxQdmiA4|51=fpIEhAK875RLxHF8TH3d^zRV`&)a?dpaj0 z#$wxj6jxmAQ?Z!@@a9&@c(*O}IKKM!r{Me@qM!qv?28aUDy2fUm)Ap^4InZZ@Qt#t zrOHc9H$J3BNt_}@8mrdmv9|Wa4LCG(w}Xcth?t7(JO$y(-$C#of)C`=&FPPN#wz`m z108fq;gve?QU?4s4=^T1G%3aTW?|T^b6GHx*zkq|B&MJfgu+sC^XujMj;{ZPJAABa zw%sbtxh2@s($IR8U&aIX4?4^q7Lpg8?k4%@c_`!p24xwWFFf-d>aXOXkW!p_d@%MW z!cY`Z*fiRi_~BcK@Nf)FIf1Deza$hG;=lY{NaT1n$^VRCNiIbzHH%0^qpZsIBqRf? z&4c6hcjQnMHpv+hD>v|ehRII+TJcqmF}qk&Y5ET&J)z~1;pN}qNqDA6qyqA{q5m~q zJ-$Akt=%{GitnA7u{738h%##3%I!&Xlb}wk2vIDyu$s3k=#_rAPU_K{7gXJZ z7~;MwR@IR{nSi3?gA0CRrFRP1?mU(bf=EV%wI)6wNIv2kwGY+pHPGBEhEemlTnB3p zGP`zeb1E|LN-S|ks|P6-a!Yud8>E?l>N}zghGDUKS>V`x{fe(6wJS!^rQFrgmpsTz zKPqTH{Q62?n!pBQppe=|2eg@hnEu10mf`IcL052saPtG`rS-0ph#l%0)^hyC>r1B+ zx)wqdHg%Ri^o+Xd(o^S6FGUCf?fP%J&Uc5KUe_S4#SL_GOery%n;}TSA&2|plicJQ z-eqvOO(V`Yx0uRrWylN*!q>-tA$-Ply(39SxLI970=}gl-u)gGCrDh z`6lyZh_gu`_8xM;>-_r7v^=0zRtOGRB!ExM*>_F&8#rYkOpb83@IQobcXy!w6bTy@ zR|)|M8ys>Pw>GIa9&khR>;<`z27&hbV6hxsq@(I_Hp`3Vn$Ko;e%>^K1%><}Rv#&?l_nEw(V zjZ}Zl^$!>Z|0|msun*Q85DX#zFY_P5-#-8zI!D-2@jDZ@(1$FW^ArKek1542_7xtAv-npFE0ND>AxWT4X^)3 z(%;AVcOgxEHtFHH*d{_H;Dr;1RW=qJ5bkuwd_Al zm%Jhdlut6T!wQ!Fry?qr;y(y8fw**W*>iKDbI^2nlhXOaIj(zYv!)XLvfw`@lOGA^2$!I+r!t1!!VQ(UC?PEjlGJt` ztBaGcoxGJVZQKC3>bLbd#C1XJFQYRpIa~|SSd{t zY_LNFxtYOWZ1JJ47K~ZC0<*shdV%me^3RFVSL$s=XrhQOi~SjWu^!w8G2b0IQSLg` zupru?ZK>0gOy2R|yP{)W(4Vu}2^ZJ1Y>p z*|v1~K7ILj#kzv`70k^LtY8G#3ytwki%u*bLNg;ZRk$%kX5Gq`T6`=>x6~rK@?snS z*v_L4Wi<^caHg2l?(grMP+ka z5xq{64hZW+mR*mzJ4DkfR?bKn?{yF5V@xgydRv^d8fb%G`oD*XFQ?w#*%69WYE(ZC zWp`%P-dA5bj(}Bk7!zMp_{}z)fJ)smIYI48i{@VcsW-gWjP%qACBqhoWHTu1xx=LN zJV*rGzdLyyzcQl+>OHZg(Hwhp4kLi>=)b+)U<4282RFLKG-&P+Ga0u43y(+cEW7zH zdl)_T&^v8p0&F!S_&7E-#)0-G&Yfwsie^l5jm`-{MoGIUMoCT~(==9G9JpZgP$8fp zL62!!P=1oIK9KF4ob4vw!r)<0?PbWISVUTn4opC4XrkFDzw@#F*Zbs%il`zluA1)6 zPTV^^Kgw0i$N6h43zW)aFE*gfLw;&h!*slSy7YR^8g6p*n{Y#1=`OIn;xle z=^3s2Nh4(m`e#<^yOZ@Q;!ovSb672vX?vs5an^fj#@0tQ5S>{1G09|SS8hm6)@$XU z;7Jygc}9r2u5XK|TbX-%*2b-BBAYfzw7aIz5qJ!@{y0Hnml$19awlBfa*zdT-BrNTKY>F+$cOE zlYVU@o_TU^fVA zD7;!Z;CXllUnUrvJt_r{YkFrT!E!FYCSbLfhXdBAPEE>D)dL3V?rGBt%>{f^k15z6 z5tgqkM;|gbE>Z1)VVfd=aOK{m-jjvrNrLBMV9SnC3g8l4B7ak^;^sn?&o*Y@fisFY z&+RuDJx+9^>Wy}OQo*%yX2O?jIlt3@|6Fu%D56>c#}rv&Fhwu)*>>2xE(JoROv5x^ zF(sviBD^fz&F;#VQ~f4YB#49--;qfqQ#oquHA^}y-7^e+fLN*3$#e1ewiiyyX`RO} zQtT1n;-PcGFJg~0(4s%sf~6r@bOUOT7($+h8}JbPB|mvels@l~^?G~SgcM{{@^4{s zlgu0O`!4sN0i605WjD0KY(w7uD4S`2i770#4*7f)9^(>P@$u{Aw1|`E9U;kS1OSxVrxS=l216VC&ix;$j+!2DR2XPmM5|{I@VXoVR4=KQ+rztAk=c>EVDS#8wy39xEUSee#?i4>cAOS~9OxHt!d&q4^D~-? z&Ti3Lk?p-hnOD`Oaw@5|4sP8(lGgbo?p1D<`kF5_mPwY$^qlwI44FJgE)YYQLrK~+ z2Z<<#idEdGbz=~ly&muxA}$$y!2UKlx?@mKB^7OdLAPPbK=Z`0Gl?Y+wh=4%ROFtn zEM36u2oG4AYe`6lb=1a~fXjrxZ`%?kj;^){uw1)&ydIBrcsnRWzM70AL3IukxmXI9 zD7>(1839U@3WC#r%FC?6!93`na;(~H(5hFz5$F&78Bb7;;#wN2m84}^ znNBq6n2-#7QtCGxo=*4$eize0-{k*20)6G!<_C5k7QJDWs&vhWxT1I1@;!@nE@Yzj zW5`5OvsQP}KRT@)2h7a6S{zXuk_MYG=bB_j@~?yZyk1u;kK>2U)qgTcWg?HbQxSs- z%@`VD;*mGi^ftS7Me{jeT7zUPao?=4mgs|2e@!UEZ+kLpovrbiwWXW~OJB-r!TI1+ z@QwM)fxjpg^hGkImDKiKlL?&ETeA2lWA&_1|HL&eBh^-(2lQX&`inD_P$AS=?K1TF zH!{o7ee7*^pC*7ua_g3`5egWna*BU9hJVA!YNbB7u7fV+8_D}e~ESg&g8Klf^bKK&RPPzT-a*RnSiAYa=XB+ zS3J4Fb}_dCudMP6;NC z4L4+lygkW_rLWzyv{E4vGr`|_*HYC@rQX}Q>TsU2$*xL(pVwdm z5wY|MdZOR4ZP1Zm@N{;oj@88&LOVo~H`UxARgQ~F9>=e@F)th9GL1`kt`uq!3OW|L zsUO~iOm$w<(vpI-uApcD-sq${t&aId*Uif1+agiBhpWv3-NR{;oYPCMOMz$AucCb- zcb}&B^*yVz*EKTd$s?FB%EFmu%IBxSr@?|*%Kd!~e-Uh#&ilEwSJij;BV9!-ZuwvZ zJlT1|&=_m@Yizsj1uJ2deo!i|G$cZXsrf4u4U-bPodYX6>!o6ea8&k(u zzn2{-lBi5S+`%rHgwhEYCUli)1n6-=MeJAZ!LZn!`M_kXC`OyPmOZWJt>2jrLuZT4 zh_Xa?2`ZSLh!lf`E1K8o5H%UXc5xGZfz8=?o3oeLH5g2En#hcTJ)1O-FW~Qr)h`dduyM<%x#y^9>4B&uK&6O7uiHGFN(+pO6*FS<9OhvB-kM8Aob9g~`Vr zuzf~^3nzl7lx{xK#SS$~v%$3%#kta(pMe(OWj}=ulLp&FBe2Cv3(ZRO*QAuw=34NZ z#+cjSn4p_|3+abnA~?zrwS@gtVwD>9RXPbfF3{=A=U8(Kk{O9lA~NBU!=bm1pCZRY z69Of-B9U#s^7J=jOo;6>fu^MKI1I<^|ITELiq(sRGLGg zg)3Mx*A)JnPAXZv;xALn)`U>ichTcmU&-O%#%N~I74G0hdC~l9${BbqvY9Ym`Z#%t zFNuP2rRGtRo)`6^E6IQRdo&dPQPZjAo{^n`x=->TE_pqWtm|gcZb-Z_^^}MBLY_|6 zj`b}+(&Zts;ufNDaT6&Vt9~eP$zU z$h(@yRP-$GPHvYJJls!P_3utdMy}DBKUIEyrZ|HB($exLn()OmO7i9W!;+X#sJv*x z##%2WL(t#3weyh#OY#ohUXy%LenPhzzmLam8xF0(aGyPRx zQEi0HRzll{f(`TDe=jGpS0Ps_qzis6Wi?;ws%dXzCKBGPVe4lHap%$ti5h^*bSo<0 zcW(eE>cEa4AT+wv?)YN8%yZJ`?xmYB$?db|a;tM^*=;?724{)u$1@}mR6f8+#qBu2it zts_VGG|yBy+5lGZp`Au1PDr274SW=@!7};>fTDR23L$hav!oKSvtNXt> znk^z6*y@!GvedA)TM1L9DCY%!l!I$Z1}5op!5>@B%C8AxAydj_*&sB^4#SXT_M!Q`Wd|dzdP$O7{0!*{NnV6VJL_(px$cj*gx1V zy}>?_)^^x#uHL9lT~nTYq>{>{*BI9RRF;hu%Q7)l$!tgJ+c(><+EF331d}ochMFR5 zyS7|U5(fhO+q}y~`;Cd4!r+I zbtTTkk0Nqe_WaeN2Lha;!R+;nCJH5Ek&2-u5Gx@e%RQP)N>mZJ{@;qxV?+}NlN6)I zBw+$6vB1BQigRNONmA+*XRwoE4R#yQU0jbNC8!Q=Zi^->hCTXt=?1UoYyPn%wQlk& zLzZ7g6D^2)=dd=?I(9IHx#nAtPQ+d9w3PiSZU|ATT;OV-Xju_@&&AS1ATJB{Q!aS? zO3&MYX-2`kEBy9nD%zC{E7=0$v~K&e;y#78x1khTDryM28cVMip;;pJ-c(evzT&4+UyaNy zUy>rM{K(ZBu9IUM&4MvqqFIyLU4L+xG3#`S6AbA>@n>NwosyMo>{Wbj46t5Ob`x_t zG1cK(+&bqn(a^C=TC9gw`A7Pv(j?r*4@&x+U|S}46mb>?Gruf7*-$k9l`fSc#$k&Ui5Kr4JcnOXCbf- z;hL>MmNP^}4O{9&+>;EmNl}vw?yY1gVa-&iK+Omf;l~v)Quh*vNM&9=xb2^Mst%^Z zcjl%BdotOdJq@uDMd~2xK*z#x#I^}kaO3b`!^)r15RXUX_0?DwoFm3XX?TPW@A667 z;y$$HRqI<&uuXpGqxK3mKM1rF3w<*N&Zvx+45o$Ge0#FBk$IX zS>wXxKeez^!!?nElP*w|e<1Y@=~H|%o^`^?w(y~GZ_3Pr2^~$csR6mbGu+8azrW%^ z*J-E6r(=jSS&YCI7~hYH03ZQ0+6lkfN=?fTu+qa+UHqporG&fsVXdjK{?0!EJ@9*? zVs3+cNmX-hdx26Zx-NJXl|h6JQNnq}d90w)~U9 zKVF*o$4ex0Y}9b$@xGsI9O>Z3kv~keHu}d@i%03o_1gmM_CWOl1c^lh6fg3Kyr&;Ov~%8Hmo^G&%w$8_x1}T&`wGyl$~Z_J^b(N{T*T~ z4?jTlCw8Cz>nZnTjZ{dQ8d%pFl6r~%RY+OSs`P1v7VN8xa4}1<%5?7SA2o6l{-&j| zB@$I~YB;r@A6BZ`ep(s)$M;}`)+R>*Qw)a@Zj>DZRdY?F^_<48N2yq&$;jC(akI8i z!gI0YMa#O%D#jJ-X>-~cI0=?^PQP|SE8NoB5}q0&K=7`;Zx;}EwNY$)UFV5XPuFUn zJNjA(YGv!O73Qv@x|1UdGSp5{r7H9i`&Rz&>Dg(fw_$kEioA#(EmyQrV-Y5%uBF6@ zqu1tNPke+4=Its2haUlciXKPP20*>$L5LSUDrgr%sFn>Y8Ws?KT|ikygL^{PZ*E-g zb_5x2VK@zVa_y4@JiEVP zQXF9Dx$#3U{?wRkwvKg4ZVi{BlK9Q;eI~~A@}=# zvSChg>VdEP9BwCVTOuG{LP!)i!SRpHja82KY8>GI+MEV!4w?^8MEr}w91B>!CMcr7 zh;CLpfM*X_Q=n>IE|biU9_8q-n4V9Pk^Jw{^SkTs($n~l&SRW`gbrd8C2pPJ{Vt>z5V1fNX`RjZ$%+D~MUn(l&oRB|F+y zP(tyDJxgWJ4FaJ*2y*Zwk%P^ExX}9JVGiS(E-)6!N{Z>NL0~>W+;kmNwqdmr7BljW z{OiX%uP)gjY-ulg4!-Fh76EX4(1Ng^yrN<+EmJV|Edu#1IUImN%90!|@$p2}z;9~X zF>T6i$kGsx_{8Kf!^Ui}noDjeo&YY;ZkMGdt=Tt5OD+tjJq0RH;-}%Q=I2NvkTa79 zY-w0O97#N+GDAjJ9=8dR)rEj2I$~;q79{@^{0xCU`LSS|NvqqcGyp#x0oPJ?AWqI~ zpcVz8C7mE8RAS&0l|Q0@s=6S$;IxVMkC4w?UMT@rc#i}!s%%1m-7Dt&!$eu3Wh{l^OXXpeu-ZeNtu|3ihoJ5)2<(~o4NFFOx~6n zyLos)7*)h3;xZp*eG31o)`f*w3I>rSk6$wBC2o8OjYfBh$6_2?Q}ShZD%<+$1mY6x z@tohe)#W02wMnPb=hE`AV~HRLl?RgprpO*w>kD2W8Xi8Bd=%=I!vca&Rh|v;qdVr^ z2{i5<)<==D=Hn)hXcthOBT`J8R2sIowGbyGB5z4HVQ7ad%WdTgL})$ZsqO3QAiJrp zyzxBlQ93ixIZ`S5sxO#T5|zZaQ?gkHSw)GXBtlIZGG(o?P*LsjTa*+KSE32T@8F^D zBt4P8n>+J7+@4B^)O|{?NYVD7hNAY0wE5{ML=sP3$OKjjGr+oGuM&P9+l(l%`vi1m zl%MPSlvdFQ&c{_Hkgyzwjx*&Ip|cvxWVb9uIw>bQveCVnL!UDr3um28WoE6~il;Lb0=cQsu`n@jm84mv;%)`tliT90?YF z4N(XX7ilcBMyLUy=E4ZyDoLohQ-yF`<@hO)XwF!s4+aj3T?SXHye&iZ?AWM`B$|9F z0JnDm`HxX3DnS9N&f_Z&-4Rp{KD=rlbo5L}g{ETN;khMdU)^K$=phBK#X#24IdI@l zuj5ztG+x{qy6#H))|0a@$bmL&$kjP0Uva6WZGTjc4ACamM8x_nox9M#FNhz#lI885 zPDKhjL$^5Bv=gWYv`Ru&F#>Eib1=q@_t<_qLg4nh!hTL0=87$bml=r6q`jSPTR$p} zR7zMnHx#qo6kBV)EmKWvx;VBia&Mgtz%_X>AP?9-lh2xMTla%9XW?^Ipy0?`8uxh} zuhdi<$#We7bC)O#YJJ|TCN!QOiZ2g$QLU*Sbj7&;HV}D64p7Z^QWeunzp6xnEt>(* z=cd;LIuR5_4^@feAplJ2YMjld`@_CT1@3MM!9U3>}5OHeP%& zCHZ))(YH%XKldJKYXiArU)79xYu1mnjawn%Yu5d~?m{V>o$9jUwn*>a8V;0z*Q|F- z$MKlJ)acoorSR$(fC^>BT{0sh&vkdyYWob3rSH!O>bmpsxMKB$CZM7|75xz|#T|tk z%0lxkMiCbM8B+5yI<$mpbaTSyws@LIkOa?lJ0MUFQP7ms{I!%`C)12k3-`EOPnS2u zV&t`P?CjM**b*c-msN0qnQv)Y%%1v-nao6zX7Gw>H-NwP(d;m@R1e4F&d7C?7?ztX zX|I^aBoo&{uT?WFa{t{SDkrV>`)4iG6xC_p5 z;6FAcR4D923;$GibOjeju3r!Z5bs4C8kJ+ee)iW2uMt_=;!p|a(gj8j{i*ho*MkKg z#EAZ!MNF7pr3P^s%|g^VcvK~!?s!i9-HnjKyj{dcP!k97OYTU;0y?bnVkSi`B0bA!jp*8@VnlfN76=W9b$Z;6wk%O26%`4-Q7BJhxldrvb<`hBV|OnPpG7F2FOR6< zH!AN_<;&;qt#D;t7^(UGSu)*&YtttkTk1-)2tWMG*-o4G6u+jj`0o6|()bfm^q9H? zjGY+aW3)#PRxw7*9lU8zOLVQWBF75Cz$K-@*oPW|{n+DJ0H!Z$+K0ot<8Y0KyBr3z z^1h**Y|j-OcmL2@+?Kzv4OmEPU~L>vt72)4$#XAbC%ay{Ss;kNQJ`12(&U#xJ;2tY zCR$yz)@F`U-3!Rbau^?sAEQZ4QS+C|o%~cY<*54cL2D!KsXF33!F}ScTr01) z-vgYOrv-wGCfgn#ZO~0J;U9&j+wUI-zR(`aBEN3J;9~{xUPtRgZf*<%*;V4lvW)DY z-=HZlBieZJWmz;fSHo?IJZF1SZ|d1;Lr)&O$_m6@-wZP&D#rV;JOvE;KyikzrAKpj zcK$7=xISo|6vBF#beq&WtLO=}2d`plnKvfD9kd;jx`NPFeyY)wf;Arl!#j;NzP4b@ zIKyBA;bZlCHzXjz!XIliU=ObIrg9cf!7rzk zpqp=X1w)XdXMG2!xHS&5u{nkLpQ0)GL-;M`t7IbdB-I~6%B!Hpj zO$eKf(Iw^y+e=51s!>P+oW!Z<4WXYwx8AWN=>b+$&s$ z*j0&PqR4V_Lm@=;so+=ZNp=P$$7w`3_1JAODuK=)G|358)= z^#Oep7b<=cD=7DgbZAS%Uw?uV;;U+1J=I2+GU26{Y2{)v5vB9qq6K%X3o)+N%LUAA zIpzv%X`)pCpp2S@djJAzbk`4N0| z>VZpa)p3Dwquufv_yG(Vn4Quf7!yPS+#hPKQ#Acg$npdy_D5GsyXpuBm!7L}J`YPE zZkDqOj2Ke-@g%2>S&?3o^R$*6m196z!mD;l$+F38h2$tsU~lMn#$vtp_OV|nA*ko% z-drzI&%(<5)K;RKXymFsguJT7rMlRO`)etF;^x^u* zOyUwYa#3q4)X#k{OXlYooz`7k(O}#$@h8NYqxAf4R1KM@%oj`$v2Yi8f(`$KK5sI} z44+Kh`*ftPN3wgWqygYV;jjuEFU8Ebh$!kN^@h!uv0ij3V@UbNb5^?l*ngKqG!cVv z+?`~o$Gvl~HNL_PBo;`cH#;Rb6y{TZzF&}cWuAFBz)T5dmY&jox zXV8UZmML+5Vo3cS;%xVZd$Q6p_#HY>LUYmEuufLxzSxVrSCiFdbJ8RR+D<~NJoDy& zaPw%pFR2E1H>PydWIhvfDh7Tg@eYpPE|opf$H0h(&mnnWFd`OAbvRF<>a6cDb&}WHK1)9)s(iAdcKq!1Z)k#&B{x ziIdrts}C6<=`}Ji{}jz%7D?(kPkL68MBbO6-p{;LQhmDZ zg*P^+C_mh#W|b0A8efZftU_f{#8h}+!z_`MmP|fwy~s0XyGguPlVnz_b*2=OMm!!r zZVlp|^Abv8D{J3VyfM<6DR+(kHjYRzZq}=Hh98n9I2Lc-AVXkHv}4JDpEdvMd+~C| zh?cs-aMJi7&y|IizZ=(Z7zgGEfgKZ3aZ1-y!@@ILh*34O*;eHdiC7MT#u+_sjGRkl zPqvF{3_sAkoFw$)W4}{HXh(f5I9{TKk?0!)raLxv&r>AIcsjUGh<9Vv>L(e32dCV> zISf$0rvR8azH~9pd8M)*WZB*&59-5PYtRRk%`9vfqO^|B5L6PX>T#b+*+i@M6=~vl zl*c!4SRh>t4y0Yh=3(k1Du&3Gm~8hhaVGIA3xvZ|2IWzMl_s)4BZ!+R{!HCreu*Yo zdJ4gJmct#e*0i%gve;KjwJ4v5GFkUcJ&vVsUpZ!6Qur>d_a^}lsUX+m{mAe!VIDhj zTbJM32$NQfhS{vAhEQeNJnst=xQcK4ck?9wGVWMBIb6evMng<6$KHhPwu%PNqnhyU zV}*H?AHTsh#v7eCR!YiUlBvs1Wnmf51Xh2EMsqm14~9 zLC)Al!N7aCN4oxrp04W*&Pz(5!kVj;l{v{SNoe zL8)jfKDO%eMEpVJp=NGHQO@xW|GCt*x^C3ur9?_l_CYA zHfP=$2urnaxSC`)qc4p3(Yj_^qm?I~>4a9Px?t86;H*;OY<|h%>JW>oT--%z*6y(_ z!HPB1{D?Ix;2ghuB5PF0t55hY`(nFuP4g(6AO;7@aGqqx<0fZ52G1Rf)DG8k6WFsl zfjvofJi0sfyrLQf@3LJh6U5bbp?C05IKzF^4n9eCJbDB>9-*E`9ejQcq94{LEwu0b z_E?QzC8cB-LvO+()V$QOuxxuE$oZ(|kwFitx){5{sjF7tUrMH|D>8RiWU8}@ywUMx zX|aihox+&9ClGTa&L?KcW_P~@=Nnj0h(_6LR`(W$Hn(j4MLG=aPEf4ICru^bqxVNPlT4U{1a*iW)6tS|k%iW>+ zo1-~NyYO3DX1hFgfiqs%cTF?)i{s08JGGasUGDzaA&%zwc12OePk4kqsP50iRO!p7 zIXzf5zi@Zn-g0a%n_sB=JVTosXUS%FPj5+N!?~8NinMrm^hgh{UmU3#h z*F=mN%ap*vb%veN!o!rox)o*9Qx(=t>X4d~-mwrZ^&sqTL{okW#;!2>UFoFk*(3B_6&jhcJNTG@K}+vI{w^Q4|WSY7fT|loG*4}r?>-*`FKbf_kMt~?KaPI>YC=% z)i~H^3v2IS?W%d~gJ9(w%2c&ln&aGmD0hI((L9HB1O;Y_E8{U-4w^DpXLv|vs{4d7 zT_ScCwA3u+vB|;pQ%semfKZVg55}fId9#$PCz>(>3^G&PJH25i(3-cvUDH-woZn%k zFa}*=*|R0~PB3Yeic#t=WlWWAzuRfM{ashalD0isR|m&J%#WgB-|Z}S$dw#g=rPaQ zBjUUp02I}84SbQqOyPXnkRst1m@4%gU!oqyT3h=+aCeOnMkN!TcXrzIot^e1`}6MI zyr(*Kz2nrCbXVU*1NOQdL)nHF+>jOB5ReeSSszEvvjdcjea7cf@e(SvuDo3$surVuSM7!zEqlUi0SFvo2={@&pIM4AyLOK?vT85ly--1LpdOE{fLBaV_IPYT zkbjcA>?MFwUu# z=CGF?eEM6Za@d&`4>|u3YByWzu}iJmE;@t+MpMcRj3l7bK|>0zvWfFX#A9xUP*qs+Q;*yTZ~P{zKSC5N?gWXhrko||D==rO!o!?Xv!*a3G0 zMN^=2A~Kv0v{D#@goq4dKCE+1J=vW_IT_?r_?2oqD+#tr-4!GkSRcUp$Ahjg>(Dc z?m29Nqz83=^=s0(F0@tq?~!9&_S zA*ek}xlrVWrE*yP;69L0W(D*`AQseqlKKem(w?2I2k&+)`~fggiPC1gqXW^xREbYD zIE(hh=T@J>j{-R@hkyK($Vm{Nn!{zv$w$bY_5t;t%H? ztQ5u!a{>$9{jnwpXK+ZIS6XN=Hckn^yOenhBEL$MDV@|uEn_4ErLGOGUto?b^@SBz zIq&Z5R4jJdWzXw!SXZMm)C_BCs}!t&th=f__CCz4R6%J^edh{qp|P}+n(zKS8pAoi zBDm(O`T}NFR_%SWhg}=Kxiak!Ua~8@K`I$<2XOViSyT49_O*c zEcU|goHZBad{Hniqg~iL@YK6NMtL{qtDGIqhqE|OzA7eibvNwYiqddHawe_SVWM=Q6zd|%RSrNe9S7&Td(5I>tV-Gp3tGr*-9*;d~ z%@`S5V#Oc$UO(7lwu1BamOUPO+0o<99C}zhR;rs%F(h}7qdh9#u8GFasz3gB`e$Fd7Egfmi zMLqU}g%9lQIC`o&dcJn_G_cU%yowtEtX_saYHRdl>`54j6pj*~>A}Yk)yJ&5+-La1 z*ksktSW5@_O~F{8G&6CZCw>Z3+-HyEI+`)onKpY2pDbkRfp3mGYqaT(9{XDVpSw=^ z%<5Cl4{)N^V#nsPt*wSQs}g)xjENz9YYZ5Uhw2NDonpmI#_ZogkUFBs<6YBc3J^Zf zsx-qBs+}?A3a6!)IAg(oE#HBs4_TTS%XMVVbM#EM{EM+#md%V^?&zuH$W)U}6YZ0} za}dNs@ey8R3hsI0q1x^-`q_Ui_OyP zF@PsxGv{YJv&wCbH{rJ%%wA^fYbzz$3i@%&LbxjAaJ6hJ=vt0{)pS}>e)|A;pQnir zT-$Oy?g_`^j@L*QRIvGXV%!{v6`^nLDu0RdHAiv)s-^akf~}hfiYJG zwtp3(Qo?W$gz#exdI9M>#2T9ekH6T8efmBpwnUg$=&%EGZ_#8N1EmJj^q# zm;kF|us&J}9+zVEyZT;#`Lr}zdv2?!J+MzZC+c4w>+g&OUpVVib*Fdv*o<27*jf5r zT0{0I9OV1X&^vR2F$FD>_N+9Flqmz8)fQfNK)+C>GF^{Ts!$K?fT1_x^x3gcjkF%CJ2gX4&ws_($II~^hZ*5?z?2FF;N3&G+_6FY%uJDF+ zEpkU#$c)y0i#1y=+in78+<@wD$7uL0O~18D~3Y3S(al zP@k2*zcR}9_awWiS6I7_9(%yKcNh0gRc+YIjBPR;L8dHo){IGNS9`gr9reI3Ehenp zWCGk*X~osJo!cSK9>}@Qny7=tF}O#_nR%-3`G#yM+YWH9V^2fJp1F=aa~*r!cHvI4 zi4XkFBYYXgOp(1AO*sY<%@ohsA?N&frlepQJ3FnYV?|MU1v6S#fz`{N)vXYxe#40UAQbM#<4qNPB@iqE(=Xv(-~xGCj_ z59hrtkMjg$qZYnz`KiZX5n#3AC@|721;54S$k_M2!!-p*#{Nd3C%%_$YKDGy?0L!2 zv%%^MkKxXLR1bce$xQJW{-O#L6o>S$bbt>gVM?YuxMUgS?^EC-Y7R^N0{w2vz>{xf zw~9nr*LtT^{M}-vp749Mm?|xdugh#HJaOIWFT7#Ol;QjlXn~m$cMrMx=0aFIp5cKd z(Vn=~`VY=(-Tr-tI4@{z`3^ii&tfm!ac5m4`*U|8raSGje}N*dUHF7y6KBSLf_AAx z_9=eb!c2)cR$|z=zhcDi!G|9Tr#ukiBY2a|<8EgNL^WU6t`3g`QZN({; z9>xYbo;%#)nES0d#%^@>gxfkhfpx6fJ=PR7V@nke8EXtJjaqn{4os{pb6!_K;q^Lvo&N}CsLK2*(I(}i_JpwBQrxo_MAmMq!j$gRnX8Op% z`FaQE(M~IJt=i+_VP9)sg0U6g-6lTpi%yP(_P1j=uNB~oB_*m)SvJG0z|zCm`&O)j zdnO%wehGX>F~MU~VK_G}gmGrysCUD2@YY4+D(BZZnxA)Cy3(@HV@E+nX54_MB?KvG zLBJoUrS6k&S350z+p*^rr={OHEgkIq9>-%=OBuTcO$!dR5O`>Dp0O(--)t8=tyf6O zR4fI*%@pWR$TRkUg%3PGJSc^tvM&7fC{we?J`ZpX_4rn#Wo)t&jk{Pf@T8G4$iRBp ziN^ao(HI8U2&3RzHn4XZG(TvUdY)d`(zhKw^&LHxtht}kDc>bxc(=SdWQzKP?=l7Z z3lD{%$KG{D;j4nWl+2DE^;TNQ%cr%-cBpnOaB#Mt<<6LKJAZ(NJ67K6L_ZvfqC7ko zWXu%K8wZGnh|Pr_G-a6c+k)<@M7GE*Rm9zakcG`%5qr zj;5fFXbOH~C7Ln?nifs5afZHjWNx?iQgYZZYs`iH1PG&1&ByO=*Og~|n+bkH4G3hG zf~V`i?U>P&ZybB<9nKuK-m#~mW6yJrJ&#&4ur`P9Xs84Gg7f}BRx?GOn-;=71J0{`P<7Wb@GCGDH{|aHMC-z*e48np*EhOQ_Tcnjor`jq0x`jo zfp0to+z4f^b2M+UN*N#FRMuth1Tu!dfP+V$LP!r!OW25g^3pO~;q*=LEv{ zZXBDpSdo@<`+HlAwRO0mo@0-o$Y}FM;P0V5_@p&;NMBaZnhs^`E8wtC;*6*6Gi*O~ z5W}b0;1OzeBV;ovB3`AuegJBpa4JoasZ2#Mc1RLZ42(=5$# zdppMlbzw<~>YN}&*$m&bbr_z_y`5-FuXZA2l3gr(Mp;ykYL{w7S$DDUNeJqYsJ`NO z)1yv=tnNg}flh?X-`noxMysN&;M|Re*Es%#-)@Mu-7V!t(Qlo*J}SaO*VMzA)mv>;72%A3d}$(W`-p~nTa(^!iTZBRiZL*x0u6(i>+A4 z`Rmqf8J-SjtLqB`aT-t9z491AcX z4=E$hr7lb{LQNn8y9ZVzf#*Z2Vp*8alm^@IUO!@6dV?;s=@QAXvxRe?~UcQ8-qD(l(nxS#N8k!cB zxx`vY<*>J$-Q5$com+U4kF&eG+>(Ji!JOUZr=8vAB)i}La_qUustb029ea}OeqZg_ z^Miv=I|rXUr(KKTGE5ZJ&m4O$v~2d+t+4xTmV&#g9D9=N#((SJv%ggr+`;A8lVmrZ zeQ7h(evUL_w>#`jBJ+X6-VcKmL`23+m4X?V!ug#+TvaJ>r&CZWOetS`%>H8RDr=Xf zh&QqJk#bmd>q;nd?%0IyzFDIKJek~DDR}H-$Cq0GBBnjCUbc37iuh~RmDwCt&Dr~! zVCjk5jmKxNMeCa7tOwoQ`03UPsEF_G?8aYc?ISTZ!?DNS?eW-fYb^}d=&iaqw`Y1D z8|UEjzkYQf+Nb!7mS##3x39J3uu;}J7xv=7`J$!lD{CHuOF$9Lo^!3A^VcjH#u@>~ z(6dpyj0yNj9Wo|-40&b>{C0Vu2UDfY6-ppTfjgp|IRA*#E-YlW3s!Bx#2~ciCMczp z%er1wAK@KS;CVZaJ;s7n$au`X?|nc}O4Vhk)tYgi4bznj?AIA$(w+kY3qzUdmS)cF zQgThCRLNH4sgLk3Q$_|Up=KC}^dW2C&9Sg-*OKh}96W}5uvoLIJw!sKNK;H1*?ne; zE90gl)7%gWnwmMcf3=yhw=A1Ic81j#jMcUD#M!gjDdk>ko9gThjz|v z;ykR0tnmV#ChF9FhokvAE7CIdhHQwz)g5~scX+fv`2okRVaZM8EM-(r7%BQ>UFSLrD7?# zqaJLQX=)s_e|I|^n?l4O09cxjS4!Yr+Os&;9wjDaJ^~p`QJTYFc)?VZ;e5Zq!cb-s zq~a%)0%s^598&WV$d9JL;zl1*W_|Ek>G8x4l@gM*?-A$;WiEGWPjVFxTWZ=2-=whE z5+b4Ml3X!MxiYdtQ3mZso88}33T2dL*+x?{JeS7eGv_!1F=cWXj?`uf=cBBY@alhP z4_vy5lyc{F3>T3R8L-ERhw2J~YK6QnC29|BmxO)hMd>5aAx9apvfrs@$i5@ic#<@ zEsG zj*Q#yXp5-_ousM$nO)&(Y8_)H2=RqZm%NDJXPrNItfC}ZDg z$(a3nQgpou`yoUOKdA%z0)A7#L3ORgaQIc4%~2jQv6F*(Le2Qg&iF~CgguOMvftfr zJSonHGVW7|J7Z>WNIge5?6r4A{`Qy z6n?@3Wq_-J9+eXQ0)Unb(8B*`?>oTls*1MPi6|hwcLSkUDT0cH(4|Nb zL_t(Q{1n08jwlFH1S?JS6A%N^6ht}-1cC(-q=$qSh)7jH;1g+L_CN2QnKNtF?A)At zb8nKH?EO6Z?6coBYu2n;vwGQom5|j}Rb3TwfQ4byBDe0`vY z(&l90Y!b%O<{qNSwQ6xfkR11eLuvEfP}*E5e9Tw*4eL&NTZrklXcoxDF`YM*Byr5) zZy~JB3O=0_`5>k~tQ&=o>L;a-2_HowpQ?)UaZf2*dU7mIrCBq*7}-Co3>Z0*hB!UV zLox#e6f{+Js}cx8Cr=WpAoJOwhH$yEP&kv8a@X+$fOOlkLJQHZ_J&y%_&5Bxj@TJ=5 zt(9_UXr*Y$W_)^SrOaqPUO#B1cx0!dmZ_>OC_*{Sh`LXmBUMFB8^@<VguwP(_Ix{umUTOM=nSO-N$E>Po!d#v73~D- zlMaXmBd*iZ29XR3&DsT@CYhV%fdsg?tkW{e5Tr#-(51;1JBzR7l%PxV@PK?h6nr5~ z^TIS$=0h<=GNT3-k&b@>_5SpxUNZvW`oECIAuysV2 z20rDQCF-9-Xt_8ALF4s&c#-31e>W*Qr|G2fq}h~?39HU7&mzlV?HqQ0e7MN(opg!# zLU`=!bV}4b^s0(qb^O)BrG#fwvE_Yzp;5w|hE^H`34dAeNoi@yV7afVx-#hJ^x$i~ z5W2<`$-yzbD1=^q^RAPw3i^3#(9hL{R_R+&LBfj)32BE~!H3rA1wLOc^qkUV1s`fd z7Wzr){9t281>}Z+U>+^kGp)K0$Tb0py;00%dp^$v1o^_#MC80c^Yeh<==7Wq_00>U zs=i$G-QjVVNV+ zd}N2XK*zahgJO*$oz*~YIiIR3=fCLW;u6 znIO-U>gXa~o%C{1(x%Yy`jqNDMe5MXq9PWZgfGH-{hU|CtCMys!lHhq#6V@H)5{B*s)~DW z|69nD(iTBKdOo&tP6?wlcryg8uN0dfgD4PUXpE%f7#mvA&JT1$+-a1+8my7PJA=$m5rQ;_=$u{y8wtE#_^AER+0J1Ut4!0T zkwCPB_2Sg|JB^*{#mHMSvtvZRwE;Sg#X8g_%PqWXPLMt$_*U&qXqB13JjuNuQP4$`HgS=R<24XqYBPI%(4) z^s3q?l$onisSaMaSYzwISR$z7m_lhzr`ka;_VrUqWuUC*OEGvM7sn!g5p^3Wkt|;2 z&hZp#nX1~Lu&AnfM^VS6bRvbEYhy&Zp=hN{sScr%Hm9Kx;1I8egMOCKNYRtyuDkr; zzzEi6fU_c1wM5Xy|0_~CCHe$n2t!B25pQB+Q7FL z_2PJ)LgkM~o{(4qWtv6Fp7mm6B)xiVoKw(r(v3wdQfLu%(%8@0IxT2MB+P*4`k7LlRfI*~11e;uH90i!=VKbzvT z87QiViWGc-5TE0EnSr_`@VP|zX#Ps+tD)}hWd`bwBBr#OZa#xps|$v@dlc0~d9I{c zk1zs*^P0d(C8Vz-74>^c2TbyOXbsHbKEF5B%g+@hBz?Iil*SeZ&D}V)Lobe(zTwG8 zSyMAb9@9>`S>}Z`URCw>V*Vm4B7`%Y;TCEuoGz#rhaOjg{WhfgSoK0r_VxEr{wy45 z-W$UDVBm}%qF&7TvPRCiHc~pH7$4(vy1+;E6Vtt_-v4l!3(EG`h_r-g6}%wNl&akM z(n&~nxZ`4(`F|dIdA^NVrAo+te7u0=Nc6=j&1o_vlW)jFyN9T3Vg4%NvxMfp=sfeH zz0uBpdHuXpXsp#wuAkoK2j?N<^uAu9+?^w|Te%0Ca@7#qcFt$;5bD@XQ|4xQe2%-7 z?8hTi7#B!ZIY>#;&JVJEaJfnyXlE^hm&)KY{r1yT_MR#I0&qtxm?jU4zVoPtK~Ik9 zgTXe+UWu)-hi5M5OFQJf(r~xX@0I#g5&kYGXmBwfU7=(&OR{s9hQ>FFw5Y0&7d1#q zm;=&_?zNNLefZX6cMjbjExvunYJ<4CEPUE|H&|y zeLgds~a5l;F(W5`V}P{$zGYA2l(^830$!t(;3w-$V8Ct$&c&fR_3 z*B8`F3i|m)@O6F&J+6g#Tj*)-S4h}NSBh2L*+uWk6>K6r{l%gG8U+6id zpB8*ly7{5fehv+x#}yR22K~gfA}0s^e5!~k?OF}?^R6OZRTbBYtT0Upr)RB5T|{(K zdF14>1q=5)EmHb%F*i|F<>~jdXQa@4(|UlP6ywh+VV`dv7SA*0NNPAMW^^$ZFr|9D z2%Y8`i}C6=V-MXu*gT2xXQ>5md%O0{&LDbF{i^An1AHLaKUeZi-y&L~narE-2}MEVf~ zp$k%SiL^}6&wGP@;#nxW2oL2$-zY3XpHj^qV)3UbrGDNmX$qNGKmQl(=i)-1l)fB7 zkKdSkcd(zhp5*qz!bhYvgZ;GYNjS}rIwR8Tyq+Y_xm8u3^G3a`!k%eeQ?Q>4$iiXE znlDnq*-$xWI;p0x-l{6cQc@~U#p$Fci_oW3R8_h%0Ln=GeiP~fT&gjOMeUbS4(qf6N$G#sx&CF& zF9js7+nGDa^8oqsao=6SGI?$-Lho(e4!-Z8BC&+q1>{5}peNJBSZ;VP7(Hx_-X zlvWqcnwzTXl!6b0R2fA==eO(PmsgO4x5>ZJD+GSg1mF#rClDuO(u@RbIWe{`zXDGvCt1`+H*O=`e_#7R=TD}Jf-xhrRDfo(O%$5u^M@J-ZM$17j z*2crZm#$z39nrP1fyRsvU?3KjBDr=ny}C@qSN`5NjhA`G<>e{W zwDWQ&&FH+GYon8nBsJzpRpCTmz1YHaM;K5}ueIo3?yHj?p;XL~szTY4BPmg%+tJWh zHlM;Bze@9J{G&4DPu$I;qrQQ@VdYr28s?PmFs!qb%C|rG?D&oxTF$ z809jj6vuY9>A@Fs;$OCwBc%1N_QN?Y4~y6a&X_q;Ud*Q)wN42moZtgG&{Wk<#60KR zv|j5oVhJh_t&P`!x_oG0ph)+)lCY$qZ<>TLhqzwr-x#oyi{qtdl>=ntLeZEZThg!^ z-c;r$9S+u-x4%d@7S=yW8$R?WgAUgQfn1yx<$5+6LsJ5Ja!gB_s`^;aAx*z`FZiNy zzaH1TsW%Sm8QKZx5q-mxX>i7-qKsq%OG1M(!PERIASmlR4FLtB?s#%oTZdNe_edK` z$24d4z(;);=ZNeR_Hyd^TITCUHnZ9@haUM1md0)!P`skX4hb52Ux>wSSu{9ay9XrF zly=y(D;%>_dNJn%^_8BCj0rJ4GSGY?AUH`wFXpq2zS5Hs%x>$&$bR}tPew4FsTU)0 zMf3?uKu@MQEFe+leFDwl0g0i1Ezlejkgo?M@`=0i_71+j5)hsLva;^rf`;l8YD_4| z+R!&V8Nq3piZW8xDSUdlr@=Xs9=R(Z1Qayt15XYMD{%B;PcNJ! z&0(SJ)wBCd=KDif2px8+G*$J{j5SiQlYSd~X-n9A9Y=q`$87%V@}>y)9pFQePFlS1 z)wEunjIL-kfd?+*lQnH>tD`Tli_AttKpjg(xGl<@*j7PNrUhzh_%L7Kj5qj%e)!7y zbh?{O)mJB#HGb2qeHn|U+#PAMW{c!F$db#8E*j zL$2ppZ)HAOR$f9_tw(5~uCo?Ulr)2dM0o})^r7QCkhoJ;qAS;PRoxl%j8*qJO-i@0 z$J#fy9NxE3N%M~2>kq-#+eoc76Z&C3kLzm}xiuiq1mp$^T`%S{SzqbN$en^9CO|s% z>3K>9q^iye^5_Z*&@rE~cT6V~g_zzIte6&YRq%I$ul)j2jttOR>p=6Rfb1WTm|klIniB#tCy&6K7Df+l zl70cygjBAo56)vM1JX$ck*~b0>x8ef(YnDfa`JIPa$mGpD2%0S5>_9Lp%CHAZU>)o%Az8z}0BZD>!%3u|i{^??^uIX2?%NT6`za+(cb^l@alx zZ$;@VJsDBXCJ)Y@)r)mFwvdN(ScFwo=LLDbMRlc@`H!^>%$q~kuc8BE1gq}hOA$Ih zoITXPEYCLspRvJLdB#*qy9$l!u#@%-wy~vfR+^N`ktLe9B1wF_u$IOn@wBK3ArIac zY~y!<<{Jg)PFk!;3p$ISprMnTLt0>@)JyoEFu(Iqw&;!1;;j->s2&Kd8K8Wj7pKK} z1?NtBHxcAvRn_8!uav%6*nCR+DO-AS=pCX_kP(V{VH;UQYhR=v_0r|@7WxNy;J~ri zsNlmVMdUJf(vn39!0SN319U7;DRWgFFPx2r)Ldvjo6?k+t|DB)oh4ivf8_#VYaswK zji!!<)k&uY+gO>X^LVB7sVOTqu9yD0(kr z-A{)a?Gn<72FGFPsjWa68QA#zDxp*_*8tNAvGbK$UAd@FX|`R?3{iQiDxRCVZRqdp z8De@&(BWa3(Q`}}ps>6)9vP+Ys*P(OEolx4oX-vAPkGARh=ddEyhR~i2uL2#;yrz`g|B+crfI+TS81X zA-1_Zodg<$WjZ{f@HM3xS)^bmU02Xl)yXO_Js~rnHm@MZ0==y~^prLT+BiOFW0j!8 z-vwXGg_($*gEqcTzVu>wRt>%`5B9twd4bIE313x(Z-C~p=p@kKG9BI^G(h2NO7)>4 zO(`#n52dN9JBSi*xZsQGTz=^yDPb*59(qd42W>1O<&W}7>D!?m{3yxe`7BHmgc=;{ z=QH|BPeukdGy6y=!+%l4)Xph2nR}@RG3Sd|s4rT_IMT(#npDKS zlcru1!6&EA?NlVGihxwrirCg77i+B7TE_d@OO}gSSYxD?0UbC`sm~Y1o6?L(WocYh zyA@WKQd#GwbROky@K8P}y+73Wx6xuXxNGQqdU*^z=TlWUV-qyESR0Ru<}IwzR2O*8 zrxmB5PfrSZ&Rf!g0LY@Dk4TRdC4W;2UO}4>a$lWbTuPozk2- zHCy3K->DHxFwW|3NIXF|whEA@h_}W)rOQK`_FdF5@ERNW5c^PH^flNX(^RG8bl)(v z$J*5htRc1^N*HHRsa{3k(~FF*$SI|-Sz$GTPj|@dkv83D&vegimA+vlwyNbuRbBq( zviymwM-HXZIOmhnnWQWr&>82HURI$D9iNjzyrz9#E}bDDy-3qWc|sBGyenvWJ9oF- zoi|WE16zd>^*mVmX;-1-H8rf?=ZjvDMS?HtGS)SS+99~iTAT+WPu7GZ?_5gYj6}V- z1+u=r(vy)45JXEFxG)W6%A)?F22p_^FYCp=ZVN3vj2?KJ<@J@G>}x`xS)1H^x_=~a zM%UcatP}X?`7NMBSe^90^qc#deOC#_^Lxv4iF;cmIBh;nX9bsG4V2~(>8-YTG)H10~!6TG1&POsktONhB3t{44d$ORLE=5Gtgg8|ti&ac0+ax%B2EkJ7DU{E0;#G`7jt%M8U+=`^;G7QZj}q_hB~DPoF? zW2$=)3>mCdotPWdi^IA%%&~qe$g@P4~Z$idqt5?Q`#}4`(Ul=d{an^QN@}H`u=;6@Qgwp`f^{e)$(N5lzu?Ia&4rv zRG2mUWsv78^+HcBH{KigJP>?cLe$VIJhQESUT8FTchV0-x$&5!m(rwkZ4nlIvqLx= z4NmvMn;{d3vtAtf4&n|J2(1pKNuZpDznTgjMsqQ}<(xa|?ZGxaP|(m^c1Vj~1)68W z8jpR0J)bJROrC+Q_MMmdQo;^C_%L557c!@W-Fa|F09>d1x}fPK zocHBv4k|cz(hZ?bi7OKCDN?ZM%XE*0l{5Q>6uc0^%EhS@SA+j5q~P1s3q9Fa+}E}c z#X`xL25&y@>W(L9vPcQS6wwzD+~zAs*cX1MMU(qtd2_`0;Ge}pagX@0K%}ElL|>*c4a<sy#_oPlHw58WEP~v4GG3Oc9o5CJQTT=+)Y& zs<^9V@en$~0uM-l(5njTQgV4ZX*GG(usW%ntF5Z6!6<1lzTlG*ZGh-r98+3i@4`wc zTgoSVuqs9`_H|-tQO^~|9M(`T^kiSRQdmBw|0Fjc7O!`SJcht;?rTc*mQ2xbwS8>} z5=d1|2v+xcD8~*WI&jvoXrEot2TADxQZ%^Y;#mBUdJ5jx(}k_lzNAq49aYGj((Jn9 zp#0K3)t*J)islP~4tv>gaDisIZdyzUTAlntnO+BF2F)pmUL;ab)3mC-MSt@&?WE(y zU2UV&{VJdOniBR-cGBj7^Ei;p#kPvpMUJF&yZF+uQaYdFmCKXThk_253FYB(!PgN~ zl=!gr2zj~PwUL(q?XHbn8$-8iuNfWC6`zvA&p6 z{VdcMsJr04yRG=9d_&h8KNLfEei!akEMyT{+8vOzeP)1f%0ztSJ>1AfAn2`U+GBfM^ z)tpm(=8Q7WIploQ9naor%8|)|+A3|2D^dscgSe|a^aX8sGp$Bjy*c=bwu&h`uT`}0 z5e_bnJ9b;}yCV8#H0Y-&+PlWohw+IBQqW5{FkAgC#lrKM9Q5;d3Es3CZFP+BQJJY0 z&V)wXJBf}XD#Ch#5G7;%lcwGA2%)b{1U~Kq+wTy^7{5&Wj`iZuR}MQ;v*iF% zl0e=EIL-CkQt?fk}*xzWfWf{m7vS#M*8PtTAo`-U$+UIcMfAI-w8d|GecO|@uU|^ zh*=?zJQP+s&1lX(1&<-|ynY6@vjbXI7iGchiAaN%B?gfpA`TQ}oY40==Nw3EOat%#gE69Ad!V(<07`Y?NnoSO& z|3kgdlYPbg1=j=#$Ar-Lz!bP#%;zS3r6(ih>D(zze6WngBf;0>0l6h0PX}a~upcCz z{C`jI^<+Ty4>7$k=y|W8=MRO@qa{2S!nz^odD#&96G6|qvjgl{&z}u^c9zqJjAp^m zYx^!WvQ;GJ^Agb@m#D9*`gt+G(@Ec^?w0p;W!5xjK8FRGt?4Kh@9X3sv$|{ODa92X z7lhv5yXP{09Qr;|J}VzO0o6!qjdjxQq0PTqSZDQyP$uXYzH(0K4~2eGieHBMNzlf< zA@p~I9-^L=XJP$0#B{?T;Wq;k&zU^G&_=4iv8y2KaQR>fql%bz(jj3k`=VfDw}kY1 zk*Xpe*4d$#r0#gKJns(i`cgpR>88gJjko8+GuGft`*-Fyh{L+=U!{achOq7q$o(O8 z{x8J+6G3B_h0yN{`Z*%z24I!j$6btaS@to%GIZiiP>y6iTg3@en64OT;{55+LGzg>iPt^ZpdM>u6Y6{_9V3T_ zohFmB54$qW8)z8I`#Le$#!XTaSqp744P)iL=nM+-+_+Gi>Kqplksq-E@GR4=B9XXZDbj_ZB> zT3_kOd=3sW$CX!G246bI0SD$2`%RQ}3T^BgkTHStt-%uFdaa{E?XX+mym#Q7DOF^? zF8IPH#?>RoOW*KhWPGsaB{CwWSt}rGhOpxK67LCdkEi3}!-~qB!^#@nA|W-63!1F* z6q=Qk2|bz5zk4X_?hoxToZ6}v^Fbcei;-9!o>1f_`g)yup(pz~Fyya`f_~!ZonH+S zZWqe2SVk?>L)>2ot*;G3TEw$MKO1sxS(4D|*$}Vsp(Q+Ydj43bPvbf5QS*z1Tre=L z;_O+yINc+kq0{rKp?{hsm)Idyr$ugR=bJdiIK47M5RHx01f?g_Xq^lPMp`|yl2{vq zHLo{@n5wS9xvI|OVCr8Wo%BLzRqhwc%sBhDZNZ1yS^&5}N526X*qqDIa`x>yoFC=& z)62YGJW=z^Mn0+#*7MNK>-8#69`~ksy;+e@uEUhdzGtd;6ndIo=8j=wxi(Uo(Ut(2 zApzS)Y4c4xr!JWMil-t!8`ky=Y-Q2U!R>2YC1aqY0;<*6+4 z>d9h&6KM$eDHD-SeGRKv2kA?Z}H)a!QY#ZYP zpLkC4DG!$R{7CTictCCmNQ}kf!Jgwu#gWfTf%7+mo`>T~xzB|!^R7VKqI_TGzO0Lg z6zrt4!yH*JtKc>a>q+7qbf#u0Nj{N5Y(E=FPZSP}oIx_{#Rz7BeJu11bR3HX=Tkq* zXM9+5vPTGO=vKi^2)Xis5c)#d5*&`{hXPF;vA;K@*MSyw(II^bvU@S-Ue-hI5au*L zUswz6@(VN%1WTB?>mg4Lv!zc33112`EZB{!Dr1cuFt@&|MePtY|CxY{3Ukn}g|%uk zay{fuAzs+?sQCvmt*RdvsoY76h8g)gLpven+#k~NEY%t7@U@^rb;pxwR?Z+s&ImI9 zIg|;Y^s&IYIAsObPC6~jTz@^RO^x$oxE~VgCaf*=vADkALnl~<^6<~0ov?2RD_e*mn*UM3Ii)WIUomy=4RL=Yv{yeC z`1~Zql$x1Eo$`_3>z_gM8HvPV<|976N_nz~lM~xKXriwi$+@tvsqs-t<2)G1aanN8 zdApEa+iO7dWSRv7O_l|PCYO`{-x~NFPJD80RMq5yhR%=-VT}*IaH?(2CnahgI;-m> z$~q27Y2PBOl&%gm@e~ma2c9`zvE=`E(OymI=D_(20eLmZgRibA$YGru+L`qS#f6Az zE(|Tb=5t&<=QyVj_f4!9THQM;6MAy!3k8e1GsI%^fLs;$#5L{T&y0-2S}t1x$jHoH z(++#qh_F1d^(mkHziZAl`(7zJ+#JV=*xb4;DfL&=|c5?|+r z+A7ZLQ88agxNktlWUN`9c0E6-^3lyzCA6x+ax4!t-Qu|$fe51pxA=*hm~ zd8g-x5-FR|5MMZ7R4?Z9^I2W|(a&JTE2}O?$dd&nG}O2#EFsc7pFvYA0pff?FRQQ544h+owHMB7hMs23%UQ=);@%7AxZh#0 zWNtZg;QBOjJY<{a`Bv6*)qG*3|-Cm=}cu)(`ql}Sj@R+ z2F@`Sy>M>(4y;H{ouSk7aOAvk=sTQ|b^E7g&zWHkc}Ws&zL(J3zC&*Fy=ZLc^!$I> zPz765oL$-=6On1Y5qcAGu0Qi6c9>gi{y*17`HkhlRI+D;c{yas!JUrh<0DprBZ%;ryq<7Zt zZ-oXU*{oT((1c6vlT#MHaQ~TZ^Z8z(p$W+>O>hpDrY-wI3Qg?##M`yxL(|Q|{9>K9 zDe;)P#$grugRbfS)jd{~1ZS$TB;d0l`P48up3@3b`D{XxFdrZr(vP~BzK$jr)&|^u zM)XES0A1<_-;*($y_jG~{>fUyO7jdM7UDmIX04hAaYj5aFoE7Kfx-_c?ua6VfKt~y zqXi0X+#kk2+@QnFrH-Nt69VaI>2VgbeF!l*FBF=u)lZGfB#N(ThMedES4eshxu{&6 z#z2R&TpH_7P&@`d)-89o9oP?Y4Qz)?0@VyQDosuApy}1xTJBTJhplE>&7hQ?b#fE( zG;Xf3v?S=O1pc|yJE%LY-oZ)~lq`H{&4)KDXB3@Q+XoujSVF-nDhma?z{ToqyrGhW z3#7L)j=%x5u=3R5Rg?$;sD{nkIW;Z_F~$%pY#Ci6(c}zryuiq1tFl>i)dKKprN2D zpqtZeKG8lgpNnTSCYRAbUmqqKXa*}vECtsi1Tx-FsHGJFwHC3q)lf(QiLAW}pQ>9% z5fW}#1VTgVz|RMW)Z+9fas@ZEaap6FIi6@NPT$OEEKWv)P;Mg~BNX}wLY5xq5Mo^E zg)Z>N%^T444K8L6D~T)<>nGv{y0zp9)Mz6Lc@`oX$m83l?;-@;CUiOA4HsyA+Z5V_ z>ppZ_Xjq{NS=dtHj?mU^3~gvzoEr9bMDsqTLmxJ@@H2`xo;P;&iMLJZ#`89ZZqy?R zeXh``&o=d%?6m)VC|C~C2lW-6zw4$GbiZ1o?t8T-aDYTH@3kDbteBnkfSLp;Z}n1t z?V;tsbviF68@fFTJ?ukz0P5$> z-b7AjMVKE2OA#oLD zO(U&F(+$mFWW~_+2tn+oHL?N@pdA<~ z{9&1`qDG7FG6JG< z-?#$!o<0P8p&iV6<2kLkf#$73JFrm~aOib}X6m$9Y0-M7Cl%W30lE73Gwvk zhXw5`jWrK1Dt3n22b>Hyp6~7JxM@vX zm%B5bb~y?hp$@FhMvQUx+4ONh`vcnHS{l5-7c1#JiuEEli0eV*%8~>9nV|dCDAF7E zS#)#ik6p$3Te4=hU&QevqWLEXp=%7Vk@+GgROwC zmHj{wn#Pzp07d@~C`ufQKdjf%@`LooK0x_Py75E`TV6em`~y9dZsT(t)LY|ln|ApF ziJ*gDkT1jlI^ZyXwmO&|9Uuj8LyNOQn#{@aUA!CShl-m2j=s<~J@m22z&vzg;xF zCFxmI(xJq&eGa)T`!PN=gHU$zxi0tzS}jrGYH#S@K(_w(OOTJO>|ICX!)UX$q0S)+ zNWFJAOh}^Am?S_z2N^9ccth=^E+#cO)bw~73VzcY7lgTq@R?R03sPe~27b`aF>NS{ z*0srp|B%o33}fF7G|SNso_-7uZCaqerBL}F-sYtrYhytgb7LKY3OBpq7x(t$2=wAQ zzKZH76k5eh2bxXDxuzvQhVDiX&>bA@2M9tBW;IGYqkWn<09~R+;S2ZE^kcGrgAns^ z1aXBAp1FX0@bOFGvxnnOq&4lDL}4866?DHE)iA$DZ=7mC(5pcx@M%4aY57FjFGoIM zo1B7>59lj&1D%IlSrVW~b5QVkO!$Wz7i2*1m=UD`YQ1BiI!`byT7-r*qL9IIHPBBG zQ=n(KG+dgPBmRB$x)DAknnFLl&?2<$B{a-nm>w`52N`&$A9&(En{KlL9(_hngXsjPXaK#n zD=*$~fiFr_b_d$+l?D{9pP<`l^*=@w7)6YirXM`VIW*>a#BEKYnR?v%UkgG;+%s}? z0O27nN0aVnhqxf^{+H4PPmE1l%I-=CP?YC}s@*@}(9MMQ4-Q>L(7~jI?w6oYrmlP6 zGn;ku2+nASe$VOZtj!~{-e@55J5uR%N+mq8ufcLd%p*v{QGMFyz{)xB?Ypnp^5q(C zcR(R}T%OEirs8D%ZpG z*T=(G57TZFv~g=ojn+!I)`cG07RbO`AhTAx8g;-{bmNJYSJo%)042&~xa}wVXyg;+MJf}qq`VW!}@M9x|K>Zjn+;P8=oXmD@ zMk^2VVj5eu@%Mqx3PB3!=q+^P|2A~cPcO%%RN6IzsGldz*uG0ma&DPCvxq9{RB~^s{V-lMl@4EaTEp&xBi&P~-E= zbn=0QArCR_&bhJ1f!-_Ve)QkM9E{mIa39z_-}L#shP*?!AFb;$jXl0+4RlX8gL$@~ zpf#ODT3D;5J!T%67U(N?L}mC;Op1FVkR!4;0+Y@o5>q#VI8CB2Txz_PT#yD`02dOaN|3! zt24yH*C|K~pqtBcTC_-qzULr)e|cul9YJ1Pu$|#HAMEYSuQbtg>$Q!6G)5hc=U~ny zg5N5`jPJT4uebF${)f12-Xm?BFSt~OUE)H1v-UsG!CNQc^Tlq&8!2_AE9KS}v_G_i zX%D&W^hbuiXklZx=ckxzU5Ie-h3HQ00@uT{S{1G*;HT^QWpPD4s(#J2e}0d3oR28N z{ho6@qzJbczpxs_=u6}m|FL?K{{aPpn;f$i0op)HYwd&?ON+EYh%>9N1nvAz^e{7J zIG<#IF8b;ZK~IqP*&b=p;=5k_96)|J?*koAH`_Gcz}MUmLiq*^l$Q|~Jaz9STDi9O zavO1lG2F zEoM7`Hm^U#o<*Yt4QOp#0DMsv1ND0swMUJYKK#RdGu@2i4e84G)ZP#( z?zam!mP=7xJ85k)xacZ0j3AgikQt#6C@8f02fC4lbDkCOUOm<1qND?8$E*Nvx<~T? z*LPiwJRz^U2K!X+uH1`vy&rgd!Pjl$0f_|zI#WwjejjM4?Zh zn+lz+3V_c=1bvSDFt4f0&~o6Ksnx^G*8{8+H}){W`eF0P(_bZ{wm#D-RCdCZ_j2)B z2J8=hj~7?8Rn)KLfgg)2MlrbzgdYOye#lbv-)Q45B+!B<@)-Q{KfLKauo>BoLw(Ki zKqh?m#$-QDO5cx=lw7JqcHEHG_J{!iAE16@7wt))R-=G+K0%>-b_We^q=?svrrxZe z6G%7@2?Qk#X4@7zL0b;|Z7u+BxHL4=)bzEa!EXWj!PAcmzD+`#*i9GEj24vlyFn_T zJInL(E-hR>OmA?##G&z|CD86694>IQ9~TsREjh;Ehx1_Y+{K}n3hmAgy{u34{f_qi z#1!qe^(pgOXcH+kpesA{aY08p^a(*Xu2IN{`%m=4n!uaSV=EHERB$_?ej_HezwJpn zgxuFWpwgZvA^RDu3k1ct5-di@mEenBrJ?9?0^QJ|w+p(1LowC{+Rr-lheN;@@q_(9 zJLoC1yg>WzDNn191P%+(J(zrvb8(}ujj~H~=6)2Im6#*M^`|Jz>Rb*PbkE;l_16$T z@O_DXkWz!m7jfKz_#%!^Q5-lVgmxC)X6cZ@+~8|!Z`|Jp2i(*4r?Fd#LWd8OT-MWA zU-;Zv%cis#2?Oml4&6miU!FD3l+2oZq1VMIPDl;w?E(E9MHlEvWNEBbpr|{c6Sx?< zmi)KU9<=KTic-L6Q8R$U_%4dKedq?y6S^q0{TBMc)9-5Ak`SQRIoen=fM#Ez9n+G5Z`%ucm7~S!Q*gb| zp*k0Tk3)54Z-PU$CF9Ej4ebg?yM}}|9QYz1tU%KHd;oN~<^1L~3HwS~jOnJ(%*y$& zKio5G6eusnhNf(raWO=@*FhU<2bL2!Zuc+x0oBI zfBbCvIrL`)u0OQx7!$X-=PW%S2dqdxc>0#r)`S4Pwwo{Twx^(&k+nRuub^YPXmj}r zbjIly@-9z5c=l30Y$36@z8eep+)L12%7+;jH)yiCENNw!6Z7$`Z4c2>(GJmZm;Q{# zH21vz)y=WsJCMWje~!3N(^bmKXgd?EdZDHh<1kxh;p&^Z19w3m@_@WMSed9X3X^#t9e4h_6O zGwY3$Lo!$$Y#aYk7g~zn)QJ8?Q1tDM7ON-0;T>HRZ#o`(H@TX&F@gXaw~-0ZZX~FU zcmYMv1hls}4j65KD_o2(hIN7BHWZo%g0{V(kPIkX4Mh(RNNXLMUa*e8kyfDTMd@Z; z0o{`n1(a(eXbm^!QCNp~>;H_)vXqE6p8O;+!5BK`VK`)P{kxz`)hH0$Ptnhe4O#7n zrg(rx}?4>N}bsh_P=ua8dE8n(^vYtVIRw*=> zQO)fVosViRqb_x2)GCc-)b#V^lhMn1bNa^qr*4ze&lml1@b_i)^y^_L^jp`aQqQAL z#jRYRsQGbYoC2|VjBZ;)jFZpdiq&;+{SJQW7Bc*PgL;W@eN9}yU%P5+`K#iJ@OTf+ ztRLRDT>OqK;>X`U)cEP%(CLX^f5Q73NrSMl`kMbipD&Safxek;D<=>QpwPUbe;3+; zt=~pyvjPWL9O5=7kEkInbT{mrt_R(b+t(Nx>;*czP;zu%w8%RcT^ozcQvG>Hgj8=)bvQYyhr1)f{O}3mogXBmP)(iSV!v znM)m@&?Qie@ER>vUIWGGlA*dc7xQ9{OGdoW`RbGR%evUIXP46aR4EO}E8q6QRLu9*>#Ar_Saf7VHZW&r9B!Bi=w~ZgaMsU_^m+3Js4W z)xa7iQUb7^fUYIz!wwxK=o1cIR?vSsbWuTHa_E}{o#N0Dg1(6?*<^T)1c77=)+nSx zN=~A?O;=JvZPU;SeUiD(IURbjbUl__;7Y2MU;L{`??C+yDCAF|$gk`Jw8+bm16+XG zxD*hK2?50{lX1`;yd+?TA{9aVyh9fb9O|&?2hXq3Z882f`Hbjsg4#}A(0ohKuR0F8 zL--qA6tO_d5`J3kupUf1C^5f4+JQ}QY68KnmI4&IJAwe~seGU84SuwKz+Oo92fyWB zNcc5Z^-C;j&X2*d4ikP?Bov&rmcloo%~khNY7O)#9BWzOcV+R59unsmp!(l`rAzll z4woA9wmK$A3Ea>S|HIpQ_^EOHsTJ1M$;+f>AY8xWA9SL1C(^+52dqjfM7WDUyb_+qTcj)^B^`q?{5cD@)TFCqX zdV_?<0qB-Od!3`*O3<+m-A2%h92)n0fbWNd_Lq)U$40MoD9+%3WM_6!yy?zSwAnc= zfLf8a3+nfXUM}daj`orP(C+9sTp=91WIK>}$V+QcRyWD+BV_>H-l10u%6m_t zFU0M3LDzJ&4+^@TLzVB+4t+*wmviV-f-X{{2nn}8jh09RhZ{-_ro!97?u|=C(8KLe z(hTC)|5X^u;T(}}ZzuD)g8KRQUkLhPN2{pkfbtTOS>FlrqGSX*if&7#hXq~Nq3C~t z)=o?Y2dr@diacT*kW+wS^|qnt!vj6Qq5muBmmT_jL5G99lneTKtO4#nBx2>Z_t9WAJzsnPkHYaH!PLi=lnt}3Xnk6U?J+ta6C*w9j>ZP>=A=;o9M zdb*&WbSTP5(3(Af7WM%2zHYh!T}RNn9E$lS&{~TLwEL3b06m@Bbo>vNv=IG7i+V4) z0PUq6rERWjyE4G%uEN2$uC%pctsv0uEwtx24xbS8j4lf4*Q7W2L0!bL0NRcrurAs$ z1h(5a!UCH)L2SpDsjHC-T+xoPe4*v<%?`!93TQ2F;%#j~eQw!7P;0M)wmAoUL}<@- zq3OJFv%G#q(OSa6$6|Fst$ctC8wu)TfwBayem#(u1lF4Yjn*Q*##uo)wDLAt$@J+L zCHO*Q9VqSQ^jc&uu`}EA2%G$0)Yc+wi|t4>>tXuF>0xIll6~Rn%ip((_1VrD z&@|Tesj_W{K&VB_!}LI2=T zjK71^!gL$0mi7xd6eG-_#mWhz#h40EglH(_1q!ViN`pu>`eug`Sff71FB6KA##$BN zwb$T&J6XT;**sgk z2jvgT0ea4I^Bi(7GMqWgG&Ve(?#A-I#m0TRuX`AsOIz+q=ZIV`#dy~5TG+=1ZrCe?OM`@wI3AqBgwPE z2$V|JA9;NVt|fsVw_Z#1fWCw2VRb?MSadrt%NE+sBeNb_dl;VffDuWQv@@oT9lRO0 z;UI6@Ca|`~K-T&B)jb%=ifw{{lsmpnt81Swb+w+Z3G}P~bROC7V9>Qs!%beFhc*-a z`q|~?e!$F`Wgl+x4mW!k4)UT*U6yhx=6x{o&2v3KF)9ueb3iC39;4g#S7H?kTwfGd zzrXTF&%L$ zp7gT>rIXFaK20_ZO#roaL4Q!>6manK+3oB(Yh`B7o+DSH?a-zW&$>bnv(EYkqz=}2 z{E1QsPd)4BNqV=lceWH)U6pt;QF2`bKU;{ao&@w1y-(d0C+L{#=HeFxBJQi{Hdj3- zNL{b3U7VQ;h(-Yxx3{vG58sWE-tjsJ9J?|Uv%jFg1+L=c?5mk zp>qj}BR<%|p$C{cVmy~aUneJ^_&U1Lz9Q)S4t-J3g=uoIvJW~kC~ZHbU@qg^z08T^V&SZ$O+cPF5} zGRaWqfEKlap^FI0;{_@MucFJ({ew@O4Tk3-bTbFMeTVqr`Bi{*407~PhwAR7Z#eWA z;b43r$FXf%)Y_bxX#u;%eK4g3S`qZ9(;DYw@p%YvJ%)@Ou4r%BSPWc%A}7Ei9;Ms- ztVAeWk<-i%S|5tS&k=Pv;Dq}J5{|ZD9wUrh;Hn(8-GuPWwH!@iSGD&gNCt$*F;KK^ zF>-!ZeWSFolEb$cp);hyPlRG`(x}m@4YVzCwlmncX{T5H!a^6JA3PuI>KAXT(T!(+ z)-2rXIOq(Lt!x3m<~44*+i9HRu!YFrXCib(()EsZE1@0h&}{|1t`YTfP`e22wT^Zh zL7Qp)j!fPAerlHkZ1^C0L(H#m=#Ij7BRJKiGH7p?8p`+r)m4)lI@&vg_DaWh2cier z29EYlp|!Yyug2{f$3b;=wL{-0d~H1^IK-K$TwWgwmF(A!?+1kMT29`FL|#w3o6!1M zLi8@+`4aNOn#9{K^n>Tl-O%uMDcyMP>QFuBY&VDgT4*s&V0d9_zcj#Y)b}@(kQ_y7``gcJW za;UD6_p9L*{ivhW)7N@g_pYn#o4=IS%ZmJF88EJcl((7zmXDeNsEz9Y#ds0W<>)rs zd0fy{96CwRwH-P^&AygD6{jX;-5x1uaTZE6?;?isp9pU5&ITosGk)!uLLhYG|GVN@K`? zSw-WZZA5F!fo2Dx^}8506Lf4N2WvTkgSH&UIofT7gKy#OE+|TUlT6o!xBBIDYxO&P z>=)x2i;^{?ta!a^)j-jH0*aOs{{xD3mq2f8MDOXM$XRpK51v@5VYvmT?E=Mq6GKM} zdV@nXZ~FYUm(ccdw$^?^`!mNEEdi3OcSC_f?^E$N+@$~H|9m{OigV(x_hWgMHZEpAVqIH$QY6i%;8Qpk}b1_yu_?Ci> zE?(hiRlk=ybXVc)(Uk@DacecnY0zqt^)TaX5-p}>$ZpZP7%r_VjAS9l`ce+xJpg*X z%Y_)<0*a9>E2G*r7wcj%(N4Q6fvtp$M63k)pwjXo$GeEUIXJ$-4^ zeCBeqcAER9+V1mXOru2x-(%fU(Cb_*bnMEv5w#6-v7^;m+K)Unm$V;~(0=YX^jiKR z6*b)kZf6)L04F~;-nX4Khvw}}`T7%xH7&gL>8!mUF;weo9x?32&i0VFUEuWFoEFi} zQ2YOee4@15yUPLSIdppz8eD;X@NCx3#4ZQWtVK7Tz9i6omT&)R+x~vXLEGy-G}Yud zN4tfD=F?cy!sq#|gm$dspyR1NUnuHZ@w*6zYaIv8L(Q~4jkP2=)p6KF`1%;HCTOc) zwy1&W7uMXaFX3FRa}w5qhIX_jYuA^so%wYumr5>d*O%}d;LKWIg4$^$-LP1cm;4W? zo*(=UhoVLR?YkX{IvXf@+QtFxC!lC$8H#bf$U#vr1I`!*Em{|m!-fvMU1)J?ozW@> z9}BdR!Pi!YAjVi70u*f|!v#~NzOR4z*|Bb$Jgv}G!np0nPXy(yHB=cW`wX~4FN^g`|ix+uUp z_XGW>pvyV*aY0vc=p;e0lEOG(BmjKThcdKX1IM|f)i3MeM`9&kbXIb_pocp2TY|Rs zJ2YzyTm7<4V(bnj*Z$7>ek$mJ4(*Tqu20P-@b#;s&u&DQp+Xqta4+KoD0e}N64y#v zotJJNhqN5BI`^?4E-#DuFM$cbk^#Z zb+&_8l%MO^Owh4TXRUr&XYUg2ST7$nf@;T)Ot<=Foy`gLo1b}Yo?9F(w&Q0JwT$xR z`d&ginCo6)sX7NZ9OT8g+`3c>VHE83ZfOJ*eO;gfTdxcbv~h0G`9if6e?uA+Iw(67_g zHOObV9I&sn@Wwb)>#XVTp|qOh(z%!NOxLISvCPquLVm<$OF{kmR2{?fR-$ctZx7mf z^&|AnYlR1I-FB-7wud%nu^zllwq+D+WyX~DsN-=jLS;YJNnp(nS}*wKjD3;j6dGoP z>%YYn=Z)G~o_Y=_#vuzR zP>_F{e(WSu{c_2FoFDAmf*+vpgRh-f{Enb#=dR#rGUgoNaFTOX8DA65{<|jSH&}k) z{OZ?w)bEMT^)n(>o+c($nq~kbaNqfaZC>-1a_l>w97R%C zJ~_I`Ctk)Q3)jAF9h}XYj}gC`6MQM5oYmFm&o7E!dUVS!<2;!8#K%`P*ro}RlG8-3 z>qJTn{Kr~&{)h6sU60RpiF^v};O1XwXDHU=gYTatG`}yQO^X?s7DxxQLB1r(9OklBK?Qoztj8FUGcRtbKR`)`>b=_Nn9Tg&M!DuSS!NU z?*)ye|HgS4`k%XA?_8HETyLmdVfXN}ggiH;5I9Ewy;sn!3FF*R)6*MbJrCW6;zT2$ zSSM+y))Q}XsJ8tOhS4fIpF_2!yGV^{`dm$K@U7|7rXI90t<*#M;Ph>z(RivXS@%HK zrGy1K!J%sldapy*6!dGhha%e};4z&m@<&kNT@^5hHe+7M`L;oY_+zx$J(0LvDvY_)h^xuLm;Lv{w zx{yO(5Ok3m)tr9<$=c?8O3Hqh+e=9a{gNxqvCEJYonCP$%3z=`I&^+PJ%=|7it^h^ zb4s+jKJc`I9U80xH)@}}7y4K&le&%)SFMfUYLYH4lA=Dd*5Hh(&ybF|pUGq}$!44k zNbC9O2hZm9C6h@)mJBqb=*IINhiYwnpF?#{c#=a`6%Nhk%iZs2m9OWpx^U=aHdRCO zlC9myK~Hz_deE~i{H)Pt!r?|IFZMG-JAQoqJ%WyPv|9`6`HmLU&n4+dWG}N(+X{zq zjiFuX&>e;L8iy(eKPRCx759@Lvj=UFp5{1wTKN8?i^A6Rq=!o#y0g$)j6wT8L7Tq= zV&m1I)tQyc9A7=nqnYpeNTxamg2P=@XaTT!X`njK+br*ITxh!X_N|WZ-NM&23BIaH zTgL=c)1p~2ThR#G_tTAl)^(xXBcV0xVLeAXUTB-+*39=37h^qdWgW+Ng79rlp|u_D zM4`2FauByYByP>2t>HLK77k}R$@UQrcIq7X>MDbk9fya7gRPDRt*)6~u}h0TyU-6> zO#Yi86UYP5_q#UN6@qTy&^rZP)1mhYx`RXY8z*md=-oo=zZs%mKH1LE{#Iz$b*O$1 z#dCN-X#H1GCJDNY<8ZB@YdKV7G0LG23++}8)i0_vf4{{`rr$$Z!Ew;!&I&X1Y(YQa&@%-6 zq(e^^^fM0qv7iSz^b|qA;LsBU{gOj}An4Z|dYqt#ITR-rA{MRnu^w9MV?E3m>${9H zGpw&2{bD_|qhG9tS$*^ir6ua2=UV%%_0W#qvONr!(Ob3Ozlk0Du@&77HksN6*0LET zwEY=ldf0J5>k_%fk0$A;k6#Uob|h$<$Cx~=azM+}WItrj_+2&_X>yZD5xJdQVur$0KPg7x zT{&x`65yaC4QO9mjQghbp{Wd~Iu4kP2mjV4Sr4sEvL0HSWIgz@uPrB8D_Y8VE18|k z$q!38Y2&FVHGAEofEK`-RPMshOWpDp=wQzYf+y}NGb}X3NoUXQ?8XhK&LvHD=t|@Q z*XFM?O?0#v^#ZMLmtcep={~%P@6z;_*LR!GQ3%2@TsXMBsI5my=2-N^zA;3#6n)*PF33G65OYd za`33mzg_9{tLQ}z)zZ_qJ+&3zrUj=^n-;8xIXPdT?p{Mmg4wyH;A?5E=kIW(#s*Sy z`C4yvK?h#@uO}RCa-m@^0_EgT)#%N2fFBvr-Oj$=)ZMtgX3*NHnZr02w_PM|zLwTH zz?bV<+WT5W$10lZV?S1*Z315(Yc1_*^&C!Hy?``OJ!^~PS z`UWbqmzQ!I{Q)kufTCA`zBa;$zSA`ZWLgO%>{C|yH4P8Lc=N*cEAwsj-6mPE( z4R~6=5NNw2f_0^Bjdn)_xBD*XS`@5A$2Hm=5nN9CT?6fo2)3DaM+EDk-4VfhKwUUD zl^#~Vq5f%iM6h;#EZRAUbi#EIv~!A}UvOxtmg@ z`*Bzg?QSsEL%SP{^?;RIC^hD#+scxc1x3%w(0>WKm_wfxbQy;}DQLSFk@bs}DyGRf z&-%`#*rPu3^FxC-Pxdg`o9TCD3C+)l>CDvxM~hk%lKB~s)dlsm%E7O#TTN)2=UAI( z`}`^c)dOm4i-pdfc@Dacsd%q?qwmW24E6t^x zmdb58$y&mgywwc49>zwoQG@CDWV>sNb=KD1tcNR{?d&M66~7`uYyWm9A?vKIyIBuy z-OYMv>u%OV+s9!&43~0J_rjd->VRH%5~5YHr_>h%=b$~-q3Zklp1ZDEZPzZcrOwQ? zix~BS4K=q@eVMAXXE{sVS2&DusIFITEsFK)^`Jc}pYofDCi_#Pk9Att^{JlkXyNNe zlXTsyPh&-W+jCptFs?B)TfYNKQY#s3i_-P$?e0LfDBs`Iz4py%>`_gj=5)KrrLopd zr#e*Yi#9FVv_PKM^6XXmZ(|_s4raEF4&@za$+vg3JZmnir+;LmO$*k3TSm2IR9i+_ zsoeLRTJtF^_qp1CAUhv@`&Y}p<`YF`Y}q%?#cgvcr(rFAC#;U<`*xJ}V}0CornuE) ztI2_uJFQK!?KF>N_NQetFim2I%-mEi%tg;Bb@>1UH+C5)iqt)V0Q(Z@_y6m^Nc>fh zcfTrJe)AK4%~kypi@LRVG}w3jev`yNxa#`7+7%2Sm431JMe=K3B%YsK;0Gwk@qJ{I z^>~r>b#Z-^C@j2{2!&sD^Uzcy0Tx5r+!iP zHS%lmd=tqDS%D&`$LO|rDo1r?&1rh7tm?{NdREu3N=($1zvrvc93Zar$fSWQqyY+P zS_^>|S_?6IopBc8zhdIf1F7u_9 z629!x9Ivk5uQ`|TM4q-Z>0cS*W1`=m)>6X9M9WHb<(#0>d|@V+A+3eLIA&!FIe{z$ z*78oe`5$t@O=P=3+x^DeCPVJxf6&eXbVEDS+HVY6oUNt$H4d$Qxh*(-`?-;~?w}v+ zUs#mvnDqDqtrx!f6~kXS{q9b3K#9#K%GgXGNaL}(rUg2cWb&Z~{ejU+H5(I}mLTtnXg2zF)YwX@0xVp&yjcnk{ur$M-(rYpZx5@1DY;ITp>KHK+0FF0}h4 zG%tgG`O)Wjd}9rsn`KzZ@%^jt9pm({uW*d9K0s=`=Bek(DYn}=2$d;2i)%zvKBHhw8UPy(U!;%Q#y7!f10Y9O-EFyQ7}NQzEbb z8tFd-ZO#`R=QM!cVt6xv`R-#&8#L+${GBkfZ z)#m`U--R8A=Y>Oa+?vy^nS1 zIMK>b)Wbm0YB3Zo8lY$!7>b%4C{9H-6sO(--PfUG1pSIbzbEL|9eS*w-*D*Bf+9v1 z8i93aZMv}@X1#Q~loAZ~;QP}5Y(yU+I;3xN4|@`&wWYkyWcw9L+QV+{ci-hWXpgl& z{qEODI+FqOZIHKlcJF0Jt1HXAWV#A%oRe&G@(I4kxfYuCgl}-D(pql@KDEy<*3oLu z-H$13)@Nwee*<6bXN_}ww-gT7IW+dh!C@bvwf-AW?PoRj@~zJRTJ51&p8+V!F+8oG zm7}LQ$v!Q#KXIt8GHI=^wLaL+wqhl%^|3v)WgqKdoGUeEkFrl!$NMqebtDHgucd1q z@$O}8Ti4Pxua#*I?Lnt?UC-Biwn=mS)}NZUdA!=!Svt1u>nvS&cfFHWt)yA9=6b%l z?)KKVg`|)lb=UFg=K9#i#8ArZB^-R*`mT?C&8s7)zNMh!%Kob;m=Q#%&1H$VK5ff+ zO=_#cx2_f-ZG*4ZgQk#A&9?}xmsewK5 zYCm>nrdvn!>udk@L|&ho&2_*E!oh2D@KUIk5?s^G=Dv`|T8j)-x;5u4pD)_=1e~ii zEqsl>l-Q0R1JU`-=GK+BGwth*BThErq9wuE4%Kq9O*c*xUk7Mup)l!eho&u4uo@-);R4tkiOQqG|zYYH3IEA5YA`1 zR-#=8!WPx817SV1>p)l!16v3325Q-0E)*@CdFkeVK!M<<2EX(JfG!~DD-NAs&=PP(NmL>iX*sfY7J+!Nh z*)q_BfR(hX#90sRDsk4sN@NpOYD^X@X;=5NcG_JTtOu+?Fe|~T5R@e|W>>~J6cTE* z=DAS6;-g)$&$`-NbmnKPb;U>Xs=xlM8}ut3bWOuJvJ0~kU60tjLeS55?<4XyuV!hU zNAG2AQoCZG?Ll{j_!Ucv_Gi^<^Gb(yzX9@?6h^Mzl(t}VQ_-N#lkd-OVnYTb788hXFper@Tg`Mq+h z3EI5d&ad9p9UKq1-r}0Vp?SBR=kRu+ZC;`6`;giOZ>~+7dzQW*tF1Ph8^>sbwpN-) zCE66?{5CUFsCf^Fo~Pg~s@(_3=`~t%R=anS^>LajqngijXm!TA(tT>J&R8G4j8C>Y zV_oSg#a3sm58unz9WuVPGE_R#)|GF=ypc*mw0rtfGxV}+R(I9=HkYnX_3ek(<+RH= zM>3tq@!DBmYU#n&gAWa>l5sCWDL)rIN%^$}@b^-%wivF*{FhzdME~u(0dRpIa;*K} zbbtHyh<;N+U4H<>s=2|Re$4?|1*Q5#UHR)GaKZg05(AvEo&nyDfFNDJKM-kN7uRDP zXZ>1?y83YSt0wB|!_B{H0;bRc&viknRtvpr;oGD>vjzRqjHWh!b3jvD(?nhQyEp3k z<$l?M|E9xH;#X7KfB#0m9Hg!%I6FE}{L-VFBl>F#!&eKM^M6Cp$A9c@urDwG!A+_e z!0ra5>m`I=Uzk1vw%HoEa_uECne&HQM@M#8~H(;(FbqzCZzdtG(h!Nw&)tElb% z2f8!e;9w&cKz9&yT{v}jjp#Y%{W)*Ed7sD?-4sG-dNz&Ug{z@iy9~72YPItufa)pa z%`tA?mEzAP*E7$3jP-q4*G6K2epJxreHX?T0qjXXSbgMAMb@*O{kgw-a`5_8fpFZw;Vudi z9NywkJy+SU#MP67-|A@Z?vq3F&bj3shsT6Nb1eKB?Rvs`^QqI#v1mS7d|4;M6Cy+N zdD#Apc0E1bpE|AQRyW7ZpV5A=gtoMkLC>vjj+;NDT~Cj1UP;~@H-AR^{USp%2d_yz z7rgm|>gHH9?+frJRKFlH_}u~vkzv9%eVcv>%6&k4=?6_e?VBXD=DN^oJxH{o(Du?( z-InO#XB_#lT)2gxR`!8rPeH9r1*+#s_!B?gC$!f(zIvXI)lT4`wNo=+D~&*_rIGEK z1gf>j7#CXKqs+~koK~v>q4nM09vELTytcJMI)EP39@^Fl=gqdY!X<6nTH&@)bB*4% zR#-c8a%%;pr_PD{ewOxbo6A(IdBLIW^Kw1Z_IX(kZJ(F*pzYtb&)Zi?>w9Y2b8q{+ zth2Vy%Wb)t*_Upft8DJ052UZ>d*cJ=@c#t>00960cmZ^jJ!lj`6vtmKIZe#RnOr_E zY7UE}5tKv0LWI5DIUR1lT;~jl;D8_)v=K>Tlqd)`7M3ZjBoPY@Dt0zD1|o=+y z5rh=J|Lp8}chQ4)v+Vrdf8P7QVZY^xfBhU297+Z{5!w^Jv!GQXgbpK5-1{`UwI3Lr zF#kkfcr9`KgBQw|7uDtZ&yV{Iyk=8!yw2H_x?uH4$I-k2*Td?Tzs z+WzhKrI{bP%!>S@?fGe$ovF*EXY0Lb>iskoEuuqPEhiLdQy((SKuQuAJc9|G&^afP z&8s`74x8;B3!w&71*oBHH6)ML+4&Xc=$ zw#x<^HUC6woHf#kX|`^o_f~$|K(^=Dmi+=<$6|Zk+;YAd6=W6MJm37hMLZ<{3?&o4SuUQ3U)V;ZW1+1 z8Kh;r=fKrKDcrr_+utO{sAviJ4I(vBIaNRXKA@$7u@XAjIqmzyG;gnC)-r!*WEit6 sag_YEX24tm=wu^du3hS26Tx^<5F^ + diff --git a/data_selector/db/data_selector.db_info b/double_selector_8b/db/double_selector_8b.db_info similarity index 72% rename from data_selector/db/data_selector.db_info rename to double_selector_8b/db/double_selector_8b.db_info index 7581217..82c5a61 100644 --- a/data_selector/db/data_selector.db_info +++ b/double_selector_8b/db/double_selector_8b.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition Version_Index = 167832322 -Creation_Time = Sat Mar 05 19:48:38 2022 +Creation_Time = Mon Mar 07 11:06:00 2022 diff --git a/data_selector/db/data_selector.eco.cdb b/double_selector_8b/db/double_selector_8b.eco.cdb similarity index 100% rename from data_selector/db/data_selector.eco.cdb rename to double_selector_8b/db/double_selector_8b.eco.cdb diff --git a/double_selector_8b/db/double_selector_8b.fit.qmsg b/double_selector_8b/db/double_selector_8b.fit.qmsg new file mode 100644 index 0000000..95fbb28 --- /dev/null +++ b/double_selector_8b/db/double_selector_8b.fit.qmsg @@ -0,0 +1,39 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:07:53 2022 " "Info: Processing started: Mon Mar 07 11:07:53 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b " "Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Info" "IMPP_MPP_USER_DEVICE" "double_selector_8b EP2C8Q208C8 " "Info: Selected device EP2C8Q208C8 for design \"double_selector_8b\"" { } { } 0 0 "Selected device %2!s! for design \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Info: Low junction temperature is 0 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "Info: High junction temperature is 85 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 0 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208C8 " "Info: Device EP2C5Q208C8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208I8 " "Info: Device EP2C5Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C8Q208I8 " "Info: Device EP2C8Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} } { } 2 0 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "" 0 -1} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Info: Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ 1 " "Info: Pin ~ASDO~ is reserved at location 1" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~ASDO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ 2 " "Info: Pin ~nCSO~ is reserved at location 2" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~nCSO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS54p/nCEO~ 108 " "Info: Pin ~LVDS54p/nCEO~ is reserved at location 108" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~LVDS54p/nCEO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~LVDS54p/nCEO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} } { } 0 0 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "" 0 -1} +{ "Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "26 26 " "Warning: No exact pin location assignment(s) for 26 pins of 26 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y0 " "Info: Pin Y0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y0 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 72 816 992 88 "Y0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y1 " "Info: Pin Y1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y1 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 128 816 992 144 "Y1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y2 " "Info: Pin Y2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y2 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 184 816 992 200 "Y2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y3 " "Info: Pin Y3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y3 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 240 816 992 256 "Y3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y4 " "Info: Pin Y4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y4 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 296 816 992 312 "Y4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y5 " "Info: Pin Y5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y5 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 352 816 992 368 "Y5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y6 " "Info: Pin Y6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y6 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 408 816 992 424 "Y6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y7 " "Info: Pin Y7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y7 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 464 816 992 480 "Y7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b0 " "Info: Pin b0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b0 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 520 64 232 536 "b0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a0 " "Info: Pin a0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a0 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 272 64 232 288 "a0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "AY " "Info: Pin AY not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { AY } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 784 40 208 800 "AY" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { AY } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "BY " "Info: Pin BY not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { BY } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 808 40 208 824 "BY" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { BY } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a1 " "Info: Pin a1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a1 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 296 64 232 312 "a1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b1 " "Info: Pin b1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b1 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 544 64 232 560 "b1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a2 " "Info: Pin a2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a2 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 320 64 232 336 "a2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b2 " "Info: Pin b2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b2 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 568 64 232 584 "b2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a3 " "Info: Pin a3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a3 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 344 64 232 360 "a3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b3 " "Info: Pin b3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b3 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 592 64 232 608 "b3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a4 " "Info: Pin a4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a4 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 368 64 232 384 "a4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b4 " "Info: Pin b4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b4 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 616 64 232 632 "b4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a5 " "Info: Pin a5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a5 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 392 64 232 408 "a5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b5 " "Info: Pin b5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b5 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 640 64 232 656 "b5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a6 " "Info: Pin a6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a6 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 416 64 232 432 "a6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b6 " "Info: Pin b6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b6 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 664 64 232 680 "b6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a7 " "Info: Pin a7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a7 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 440 64 232 456 "a7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b7 " "Info: Pin b7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b7 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 688 64 232 704 "b7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} } { } 0 0 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "" 0 -1} +{ "Info" "ITDC_FITTER_TIMING_ENGINE" "Classic " "Info: Fitter is using the Classic Timing Analyzer" { } { } 0 0 "Fitter is using the %1!s! Timing Analyzer" 0 0 "" 0 -1} +{ "Info" "ITAN_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." { } { } 0 0 "Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." 0 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Info: Starting register packing" { } { } 0 0 "Starting register packing" 0 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Extra Info: Performing register packing on registers with non-logic cell location assignments" { } { } 1 0 "Performing register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Extra Info: Completed register packing on registers with non-logic cell location assignments" { } { } 1 0 "Completed register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Extra Info: Started Fast Input/Output/OE register processing" { } { } 1 0 "Started Fast Input/Output/OE register processing" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Extra Info: Finished Fast Input/Output/OE register processing" { } { } 1 0 "Finished Fast Input/Output/OE register processing" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 0 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 0 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Info: Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "Extra Info: No registers were packed into other blocks" { } { } 1 0 "No registers were packed into other blocks" 0 0 "" 0 -1} } { } 0 0 "Finished register packing" 0 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "26 unused 3.3V 18 8 0 " "Info: Number of I/O pins in group: 26 (unused VREF, 3.3V VCCIO, 18 input, 8 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "Info: I/O standards used: 3.3-V LVTTL." { } { } 0 0 "I/O standards used: %1!s!" 0 0 "" 0 -1} } { } 0 0 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "" 0 -1} } { } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "Info: I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Info: Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 2 30 " "Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 35 " "Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 1 34 " "Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 36 " "Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} } { } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1} } { } 0 0 "I/O bank details %1!s! I/O pin placement" 0 0 "" 0 -1} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Info: Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Info: Fitter placement preparation operations beginning" { } { } 0 0 "Fitter placement preparation operations beginning" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Info: Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Info: Fitter placement operations beginning" { } { } 0 0 "Fitter placement operations beginning" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Info: Fitter placement was successful" { } { } 0 0 "Fitter placement was successful" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Info: Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Info: Fitter routing operations beginning" { } { } 0 0 "Fitter routing operations beginning" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Info: Average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y0 X10_Y9 " "Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y9" { } { } 0 0 "Peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "" 0 -1} } { } 0 0 "Average interconnect usage is %1!d!%% of the available device resources" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Info: Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Info: Optimizations that may affect the design's routability were skipped" { } { } 0 0 "Optimizations that may affect the design's routability were skipped" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Info: Optimizations that may affect the design's timing were skipped" { } { } 0 0 "Optimizations that may affect the design's timing were skipped" 0 0 "" 0 -1} } { } 0 0 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "" 0 -1} +{ "Info" "IDAT_DAT_STARTED" "" "Info: Started post-fitting delay annotation" { } { } 0 0 "Started post-fitting delay annotation" 0 0 "" 0 -1} +{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "8 " "Warning: Found 8 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y0 0 " "Info: Pin \"Y0\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y1 0 " "Info: Pin \"Y1\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y2 0 " "Info: Pin \"Y2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y3 0 " "Info: Pin \"Y3\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y4 0 " "Info: Pin \"Y4\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y5 0 " "Info: Pin \"Y5\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y6 0 " "Info: Pin \"Y6\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y7 0 " "Info: Pin \"Y7\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} } { } 0 0 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "" 0 -1} +{ "Info" "IDAT_DAT_COMPLETED" "" "Info: Delay annotation completed successfully" { } { } 0 0 "Delay annotation completed successfully" 0 0 "" 0 -1} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 0 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "" 0 -1} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/projects/quartus/double_selector_8b/double_selector_8b.fit.smsg " "Info: Generated suppressed messages file D:/projects/quartus/double_selector_8b/double_selector_8b.fit.smsg" { } { } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "306 " "Info: Peak virtual memory: 306 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:07:55 2022 " "Info: Processing ended: Mon Mar 07 11:07:55 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Info: Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/data_selector/db/data_selector.hier_info b/double_selector_8b/db/double_selector_8b.hier_info similarity index 97% rename from data_selector/db/data_selector.hier_info rename to double_selector_8b/db/double_selector_8b.hier_info index fa4b6e8..d4be631 100644 --- a/data_selector/db/data_selector.hier_info +++ b/double_selector_8b/db/double_selector_8b.hier_info @@ -1,4 +1,4 @@ -|data_selector +|double_selector_8b Y0 <= inst1.DB_MAX_OUTPUT_PORT_TYPE b0 => inst25.IN0 BY => inst25.IN1 diff --git a/data_selector/db/data_selector.hif b/double_selector_8b/db/double_selector_8b.hif similarity index 68% rename from data_selector/db/data_selector.hif rename to double_selector_8b/db/double_selector_8b.hif index fcb3c76..d85a08f 100644 --- a/data_selector/db/data_selector.hif +++ b/double_selector_8b/db/double_selector_8b.hif @@ -19,14 +19,14 @@ VHSM_ON -- Start VHDL Libraries -- -- End VHDL Libraries -- # entity -data_selector +double_selector_8b # storage -db|data_selector.(0).cnf -db|data_selector.(0).cnf +db|double_selector_8b.(0).cnf +db|double_selector_8b.(0).cnf # case_insensitive # source_file -data_selector.bdf -bca46c741e5dd2513eb8c7ec51bf2eee +double_selector_8b.bdf +175873c0dd68c1f8d97dd4bedd5ca23 26 # internal_option { BLOCK_DESIGN_NAMING diff --git a/data_selector/db/data_selector.lpc.html b/double_selector_8b/db/double_selector_8b.lpc.html similarity index 100% rename from data_selector/db/data_selector.lpc.html rename to double_selector_8b/db/double_selector_8b.lpc.html diff --git a/data_selector/db/data_selector.lpc.rdb b/double_selector_8b/db/double_selector_8b.lpc.rdb similarity index 100% rename from data_selector/db/data_selector.lpc.rdb rename to double_selector_8b/db/double_selector_8b.lpc.rdb diff --git a/data_selector/db/data_selector.lpc.txt b/double_selector_8b/db/double_selector_8b.lpc.txt similarity index 100% rename from data_selector/db/data_selector.lpc.txt rename to double_selector_8b/db/double_selector_8b.lpc.txt diff --git a/double_selector_8b/db/double_selector_8b.map.bpm b/double_selector_8b/db/double_selector_8b.map.bpm new file mode 100644 index 0000000000000000000000000000000000000000..b638506224c60db5b31b68fddfe4415442772181 GIT binary patch literal 623 zcmV-#0+9U=000233jqLb0B!(300011WpZ@6CZtMsE000000QCU?000000HXi^ z000000B-^S000000C)lHRLM%jKoE^9DvBU@@g#nL8BI?dy$N&iqzCU|vK*qBbj;;6IJ1&Gk6c}%)+tJf8Zx`6u|ynKGA{tasFF#xiRVam z+`&d8nSYi!Qg1>WM`i<{iE>0)j#AQrAflSN-{(5JghIn3JInP&6qJR1RgLnpD(p~K zeiC^_lmM)AZ{XW;8syP9O3P8=g}xo=aTX_0=w%QQm$6PiirUS!F5f8FGxo#>wP#}t z{T3K<@jefRoYpZR6xsYHstGOr3d${Mf&<>r-PDr?XUC7-L1B$LD7c=Ov4gTq6+0;S zM=&J|KI0V1e-_fH<-SZ8-Vd|hH9RG*mhl^2jZb0!S=tK#00960cmWb;V*mnXAXWxq zrvTr0C;w1C7ssH;_yGT)ka%B5KSy`hpm-O@5JxA+U{}xi87hn*Eer`@l7WE

5%Ur@x;|yipd&PzHt;FbPp631owmd3d_GxcZ^m^zmD91;{7{1|2ZTz@P@D J0RR91|NkQF2Xz1d literal 0 HcmV?d00001 diff --git a/data_selector/db/data_selector.map.cdb b/double_selector_8b/db/double_selector_8b.map.cdb similarity index 91% rename from data_selector/db/data_selector.map.cdb rename to double_selector_8b/db/double_selector_8b.map.cdb index d765179e03741ca4b4fd1523692519503059bdfc..476ae6b8740cf38f46934089a37f311aaf4f87f9 100644 GIT binary patch delta 27 lcmV+$0ObGb3hD}wg9AgU%8`a*3A?kqyS=^h;yJPLc?83v4#EHc delta 27 jcmaFG^@?jkGjp@o>4|MgoWE% diff --git a/data_selector/db/data_selector.map.ecobp b/double_selector_8b/db/double_selector_8b.map.ecobp similarity index 100% rename from data_selector/db/data_selector.map.ecobp rename to double_selector_8b/db/double_selector_8b.map.ecobp diff --git a/double_selector_8b/db/double_selector_8b.map.hdb b/double_selector_8b/db/double_selector_8b.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..08e0f382c03fd551f0d59a5f2057d53e395a49eb GIT binary patch literal 6803 zcmZ9QWmFVU7p^HurKDj18M?c>rBgr{dg#!hk&vz-1{_L4Kn4*QQjqQ#hEP%@l$MU6 zL$2TY?vMN3vwysM?Y;K1-gDO4e-0TI7FG=jHkQx7Q2Lj@07LxTeSKJE1cg~u1Khow zSw+PpScN5pM5Kg7g@t8UO~H^rcPB8bk%N;bt0=3f4yy&&kyXRl-T&Vh-tj-gzhg=J zU-iNNuVyj)SA((l3rYL|gCWDUP@;JIV_Zh4H>AH^L~`m2JG!G`ehMD8SH<@_01PDL z&g6HJYTo<_dG(X?BN37J+X4Hjriy3ogzO)Q1l)gaunQ>Yn=liRFKLAuxT}4@6JDjj z5R;NkKSTy;1qB^Vgta%YqbDZ5ujJitwfqXhjPLIs?yLEB-(M`=){p6wn|LNPU-~lb zFH3%X{mMSr&C}QP!C(8As?XA+_vKp-GB{{SeqU7rHol~%O6>Rcr~3q^-{^epe{DoN zf5z9n(ms|Y3>TDaOw-ZVH7+Kubx2vTtYkLV7M|C2I`>dW%@pv_NOnqQbW3Ba}!?aRH{jd$$q%-Zr9!K^3BYf%HZNd%N+|5KnQ6Gs>f z%VSlj&s`L^J1q9x^y-I802^_;A+ETbqApgb%q!L%+~+LXH_B54q%Dd@G8dec{|oBb z!1Q1wE@wX?POpi^NYA|6A!2i?H5+PFkA;4(6}q1X_hJt5aGXqKa}7Z{Tg!*`XsZay z|If((I>YWJU@)mzJ;4dWaq#={pHo{w>dJ_HAbdXBOOzfyI~<{z_kw2anpjDuQeHN zGx#s(u&B?hvP79zZ}7f={6FQOHBZX`!?*4GFU-z%iaKB)qyLDP`(Q(^^NkG;m@VRB z-qY$uqx!}V`)JF1{D9A#XP112eB!*w>%;#J>GQ&{j*@P66Zq=Q+^PQH!D zv?^c$UFu4fS&w?21>=8c&p_ly6z7Y7^m-zQL5s6Bh#<} zpL8C*MZ}fP_q?g!5^;lkrXFw}MTru`6(P+>hD7&8DmxxoQ-)4NT`+j`F*w;!{}Yd5 z^etR8?*F#3L-ZX^M&ETJ|8t{%K)fJnF!6LVPnU^5;?wKlh4H#dQE%+fGhOy)Kd72Q$cqrDZAm2!MNI-AhDB9z28u`8vo3LLdRbdo#TPo) zx0^fo4V=E+A$WI8;(JegbI{|-ER`qg; z_Jx?d4rU(g8wI2)IBas>@CjsI4KEe<-}QGrZ{00}E>y%zdApw-YLSbC&HN3BuvJwT zw>IOFXufkQ>O9$eHL$f*Y~j42*js#v!mZl7Vx1JaABd)A;YUcupm!A7MLUV zj-PJw5s=EChTKoZ6|K|74UFUf+GWD5!5e7hW7p#)EJ%OH+Kz#zMB;F7jA$RKt1epp zr6ikob6`rfv!&VT*J|h5Pa7ImDDg9|z|pxwRcvE7Y1_klKj#f%`W-mz5?7@C0pALB zAbKiMUr-xkPhe(2YL2|cf4h)DBd2q)pxV}2)+uH;l8w2cSkr0%k&TSRC>-byI0Rs*PxgQ6D@0=#g!tV=5jgAPmwUPW?2U70C?kIwpZmN)VE_j^~0RsX$kQY zUKSP+0fNhLzRkv;fD@~41!5@49Pm}E<>%t`vYR-|&mq}NooR2@=}sN_XN3k!?b0;5 zDN`b-n_d-Me}Q&z0&CGDjH0KU86o>Ypq;csh-;9{vdL)e7INyv?jJ#q%jg#8*;{Zd z#-~2eJ59S@@>5cKFO}D;==F>+*kA6P(-aZTcYZJJm?&DHl_zLvQ6KuFM7ts5*XZ2% zCu?L))AjJWgfYBRL2=bC;BuZo?Y@qawt*AYWJ^#>1-eimjq0tC{H86_SSCsClYy`q z8SGep9i?G#eq(vTB<19&jc@^}#{sv*`jt8NNA$HSlCP7~&Nk0ye2Zjhel%qJkMsPO zC75lVuXWzx?%2-lQj(D_7em?!7q-a!Yv#n@3K5KxZ+(x%%&Y7=BTWNrN2Kn{7m&|T zejG=Z_}9gC2N+mm?#cqL5`|>bpD|VV_^1$KgsAB^SPoALHzTw`tqq+f{zHqqrQvrr-xEDd+cOg6eZ5@q! zjXwmvubr!^^ckzWh^ZL#BH0(Qu_EKGy2+kdAFr__>ii{-Rp_4mT-cC2=qn z6uE{P_Q;eT8`u9MOzVKjVk!%*oM_V${gC z^TuSkpd8qqH{G zXMO`MC>`4XxVT%@UA`{=Eak1bBkyOn8HBNBzO!>Hw15k^jUIWVY~-!h-DUIw0)uu~ zX!6sea73)zRbNcu#B%KcF&5eh@ded;LEw)hgvDYD&9A+WZVv8CI8-e0haz{^96Q6p z42FF&bnj!K`*?i>pj}a2hKX;~0ZvqZIF+|t79K?#aG$a@W=z8a#f0zj4rL;3`S)n7 zP_)h)FIbztE={9Qq=kbmNn1;Yl=SD~7Vyuti31iYzb7|xd=qt;vFpiof)^X5?7Rdw zfBFqVn!how3NAm4;L8)o5?oKDAtAL6oU1Zcv;Jcd7}b(%HC)C7NiqZ`q}mLph{c?* zQ3X&wf>~{o}T$VeM8iQW0G~G|OjAjLvzn2VuvkJl_(+0`7~D zM8&?brVdNi?$8zFd=fh+IkPLb+76PSzjuGAEET9gH5VNIbo96q8;qWyOlkz1MrfIx zGFze8=w-AtY0x8-^fI)GGkn)~9j)kD)FS~nEVRA=ALd4t1a5eyFKF^du&R)kPKcoI z1l#bW$zN(cFbp}v>^yT5($IzhZ{X0k8fgmR^kLKsLYz~^Zx~yTQb(*PTD{bLG}MtY zZ1Xs2IaWjMbFGP^FMAi{NDjp-hn@_1Q{=)aa?S0>HFnRqAa;0;%$`13=<8%?n7QU*2CO?9CKq)K?Z~h$|C@#MN<+-L=K!)?cDfjgGFPlSbfb07W_2!_wBtXg!1m0o6OV1 z1`4&ApSjT+mUrB~DhRu&+3-ls_~8InGyt4pYtUg4D63@@q>)m$>NUWqL+goD^u z$diEfkA6|PPp*TQy0~W-jc1gs-tfEq{Mel*KF@JxLC%#tAR|~(tZKVYdGmhfoVD)h zPHpxD&Tn~Tk%XXZ$RG{7TE94x(%AT`CT%7lcd{no~nqO+AUfCIDSW`rbvVi%x1qDeBoZh+cstf_Q1un?!=y?xy1Ei^gr$H6A zB8l_p?O8IMb^DKn_aTINOwRdDQae(8w6pygao%P>u%iizRJAa?_f1<)AEZ}&0SpX> z%Tp}@!TrbaCF3(u9%gaFph6H}IaoJ-AsFtuaoEyVj5S!&gnVt~6z&~!A4LV z01+&T30_bUt^fo#Wl0vcn3$h%H`AO3pEvy2GT+cw0z_shtOf=*DFP`cqw9Qi+I`C} z0$p@BJ9hNf$y6g5bn(NH?(S;K4V&k>@AI>TDm|-xy~dn(bHJi`Lz;H9Ayh1HPb|TD zrzE+y7Www?C9sP+PF(KEUP1%CyOzTj;=0!(HnZFh{UjVryD_kOGSc-(npIQErSa=x z-LDkWURGO;r{X*217?WkbuHEO!5hBBg)(@-FYLm!^Bjt@80g4#JwsR58KooC6L_j% zxxI45=4dd*r*L<+@VFWyr@2%CF9?cubu4_KOb?vWRBs-Kx;hYr(+oO3=U=$*;B}zp z=S=I`g+>fDg$ibS2;6=B9&Pqy%$di=OdD7)J}v(3-3|*~wXfykzsC!)d%z0yGgX^b ze&{(xAYhuo2Zqe8j}SkKwx*S@T11~|JUKhEY;c;^4D-pVdHSqwwWzMJUO2Ag!Q;zw zjR~{S{KuycjRzY`*0~CWxBZ*n48J%o>DO}W?ihv4(IN$q6K>5fi0{N-g|cHL4pHw@pQi7c`g5z5B<@Vg^~u-kwtW_?#p}h5FxqHBfAm z{LNF*ZPUnH)S$T@;FMy3gHr%@3SrNcZqG}2(vt$d_Sp-qJr7ulbBy992BQEm`J$5f%v4S|hICjp{P z2K>29o-!TZkaCC;Xtq#AMJO^@Dd8@yKKkM?j65~H#YDqR^i7t`L;)Z@HE5T*y`iz zF}X|@2EJc|#(|VpPfShZJM&{K%MC9+$`m6v3Y;w5ZTTz3w~x*9F&N%)nIQO#dF-zD>CdZS7QtU61(I%>f38sQ$61LMG z%LnpsdN9qD7j^xYAhFy=4Vh zs-Z|JG09IO6 z>*J71C;g?L2~^f^w3hGUUsk=0{PGacv0m(P3wX2dnizfq_`AmsQJ<*c2|ArSZgsL_ z4v3pgU*rhc2mZY%wfhlSpy*FFclWn?!`yc`fvJE1a7V^y>dG&drBS7txLgR0_}}4Irp3j2fiJvHl&TGc@@`@)BM==rAtyh3opm)_&t*o{eO((i zjZk9OUZogP>SFz-LPTJ$o3-9%-oE%|p8t!}{g!M2(m#)vZu1gq1+S2++^$v=jU4d$ zZnn#GMrsAV%DFW%H4UF%Vqd&U_=3)EYspY@^GCAxvVWU-ZvhJPb=oOPk2&w#AYAX4 z+-rHNQ@|FZH95ff>zbDnP6n2@X$I*Ak^a|~vwX;V%5(;%jAD*^w=VVWPFDj?CA}|~ zLSdlw-P;Qb#h>;ea%v6HMen8APq4M>KC%&;Uyrn3(sT< zWj&b)zGmG?GPo>d*?)H{){wcM8by&!s?(A6@4t}XOnHiolI6!TEB(`~@ZLkh>}fz| z8RvaQfdYqOZe0X6;s0#m!nYh^=ZN= zoxc2|{`8kK7aq%uRULJf!^X@*>2Z2+8Jf0FPV!!W&(n~_D%l_ z&QSPmW%1XsAmI84I8GZb^txM;3f#T!Ie67`KWqGhwcqgQcNWdMWCR{scaE=1v%TV- z($xr5N4GvmF2W5Qd_gqBDKpB9Dps#p16?@7F;+?#YwQ_4c zbe&Yi%v_W~y%+5rcOS5^upSK*3~>S(Z2>ZK@~=Rr03K`YG8pFWHUpRN22zUQdjLW5Tp) zCzdL<+qWaO<+hia8C0rLt5d2o0Av!N{4_wDsJOSCN3%~XV$)#5ZlcSZiW=`G*0AGM z2HADU`(qidvvOJ&iOPUN`mbN$#!p0=GXvu;Nz%B~tQ(;jNcFq!yh-yyCMD|i`e!(6 zwY?Rz#baKMM%0mU%72FF^2N9kMT{xfG@kdC+bahR3;!aL*U=B+pRa#nJyo0ZY6)eK zDsV3iLaS@OO}7v`&|{a4*CkC=d}BF&*h&}MO(pDk#pzD@196vW8{U%hEWwFYTLSj1 zbiH9k>q&Um^^$jRDeI3#l$k9*&FQXG_sSx^P<#CmaF3Pm*;mfmlFhD_)B=-AN`Vui zaRO5k2G$O-z~dVlh)S1R_=jk|W}>eP4>F)ZmG3f^JyCRSZf=C*XoAE&A^nQ(1A9HC z$hc2s00}*+uP3|4KhU+_lRteH02*)xS{UY*M*FjjQJ~EE$$i5ohhHMeQ7`(Q zgiI1G#Rh#$-gB`P7xT}s*YO%tlWABVuk%`CGJb8obDE&C+n6UCp(#kNbDk%@vy-eD z^g3*7!>5ZpAUcZCmXLV?ZwB}+4eJwkJiwB}I55dmV@AzjcP2VE?=K`RqlcC*GIU}I>bZ?K`b?}iz*UF~zVW%={%zk`xAk1Fkv-yk7C V>y + diff --git a/data_selector/db/data_selector.map.logdb b/double_selector_8b/db/double_selector_8b.map.logdb similarity index 100% rename from data_selector/db/data_selector.map.logdb rename to double_selector_8b/db/double_selector_8b.map.logdb diff --git a/data_selector/db/prev_cmp_data_selector.map.qmsg b/double_selector_8b/db/double_selector_8b.map.qmsg similarity index 52% rename from data_selector/db/prev_cmp_data_selector.map.qmsg rename to double_selector_8b/db/double_selector_8b.map.qmsg index b42dad9..a5aa130 100644 --- a/data_selector/db/prev_cmp_data_selector.map.qmsg +++ b/double_selector_8b/db/double_selector_8b.map.qmsg @@ -1,7 +1,7 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Sun Mar 06 21:29:23 2022 " "Info: Processing started: Sun Mar 06 21:29:23 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off data_selector -c data_selector " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off data_selector -c data_selector" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "data_selector.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file data_selector.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 data_selector " "Info: Found entity 1: data_selector" { } { { "data_selector.bdf" "" { Schematic "D:/dev/quartus/data_selector/data_selector.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} -{ "Info" "ISGN_START_ELABORATION_TOP" "data_selector " "Info: Elaborating entity \"data_selector\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:07:52 2022 " "Info: Processing started: Mon Mar 07 11:07:52 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off double_selector_8b -c double_selector_8b " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off double_selector_8b -c double_selector_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "double_selector_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file double_selector_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 double_selector_8b " "Info: Found entity 1: double_selector_8b" { } { { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "double_selector_8b " "Info: Elaborating entity \"double_selector_8b\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_SUMMARY" "34 " "Info: Implemented 34 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "18 " "Info: Implemented 18 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Info: Implemented 8 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "8 " "Info: Implemented 8 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "230 " "Info: Peak virtual memory: 230 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Sun Mar 06 21:29:25 2022 " "Info: Processing ended: Sun Mar 06 21:29:25 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Info: Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "248 " "Info: Peak virtual memory: 248 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:07:53 2022 " "Info: Processing ended: Mon Mar 07 11:07:53 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/double_selector_8b/db/double_selector_8b.map_bb.cdb b/double_selector_8b/db/double_selector_8b.map_bb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..72ad005bc731f6aa3b1299e75e16c6f7a5738216 GIT binary patch literal 725 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXATRJUMiltYc^;3PtSr)CWi`UK94pjy&MvH zS8u;r>YqE=4P4u&$gU5paQdtNMQCr?3)5w0_DiE%_V8O@EvvWMF*Wdu`32sr9}MSf zd_FwU-ZS0(zGG~%Y`)o!W43crn_ge`IVT(RxbC%O_x<|&7wt}z6(76nv~5!CscW0c zH}&RtOCMqX=vX5l52cQ}e+;Y9_jnSseqL5w{qU3_^(I{*2G z3Wc|?#Dzp8-@lZ8P`vQ*epPK|<$yZIjs~VW1>PY3&{r>|<_NEiVF+Bn5PFzpN#e7r zid}4$Y?jq7he}+|T@5oxVO#ygAxNJkC3#1(`}tt~35h&BIth~+8IL?>PMQ#OT%i7b zg}=S||5DHSzB>=-xrQC%4t@4qO6dLb_m8B$|C1CqzahbF(3vISF}1!Ud&2vN|LoV= zB(Mqvq%KHky2%;zj5Fw%+LW10n;IJ(*?f!)8m2U#DK9JU`Ck61sFaU!C-)M;hc#ge z(k+SmSgQ}7Jbv-!?UVM0vKJdkTi=j2Zt!Ahlz8X8V5{A{gNiohyx%r9hCX3PZJii; z;PB7ZyWjbB5=^-qDkDl7b(wy@e{`9r&W|nN!s`X6n{C5Ru6%c%v1|cbfE+mV00_Z1 AkN^Mx literal 0 HcmV?d00001 diff --git a/double_selector_8b/db/double_selector_8b.map_bb.hdb b/double_selector_8b/db/double_selector_8b.map_bb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..4f7ddbde828930f925e94ff46e8f3d1266a810f9 GIT binary patch literal 6227 zcmZ{IWmFW<8m)*5C`c&MFoYl>F(5Fc;J^$G(%m8*Lk`^xF)(x^NOwzzAVWzANC;9R zHRMpz&%5rQyViT}?0we$)>+^F{+wKg^xnODRZsBlIsJq5zgWlG4QA`?1OV~!17tmH z9jpLA!8ZVYVLky7J|I6o2%u^0=4oqb4Ny0?vYif)xGhShA(G!j;PjT5P<&n}_xA8)hCaGxX{?>;@GkTt>l z>DWm~ySf=0YqEjB4xHspY8+q(^OK7gOXJu32ee@bKZgW+tkoyuwC}Uc2T9;X>%Gh?$H^V%XaoBX*0vri> zU)|p<+69SvYby&kzk8i8%Dx=OuXn8dfz*FX5gi*z=nMQ>YnG-hq^|qB{`i$NY*1%Z%KPb&1@cg+~3H!hlPAnkK&+oeZ z!XbQPjDUkWw=WEDedj2|rp~zH+nb)-y8m(gZ-xKFrL6?1VoFEe!6L!6)@H{p7xN*9 z=U~w$m-)HBklM9&y38*M*IKm_i&&|S)t)yW7#BqtLSn^5j)b9Ds3iXGR_kKs*Koqc zp?I-P+ug#yhXpQA!JUt(rk~xi#FX&)&>#8hAI6C*w%ks4=$~p`+nb&#!|2Pc=Jq;^ zfF&K<5=?UeksP|&1_bAM`WIEXDR$v&`{o|-aL(?DzWx}0;KM1Oa;N>U1il9()6&{9 zGiuqnoy_|qB`^! z?1%$#nxsb&)xg16ie`#nBhnUqOznkuBO}Q5wu<}(Dk+@a&fAf7fy7}|w1?SePO`@fA`k;xFd z)I0eYtIe+(3CN2YLSj!+l05JI?~&def-XEd$Qcl14A$l4?$dWO(x%~0v{yxJP10Bc z9IedmdeVT#R{ASgi_bt|re8-FYK}RuzIk!6DbCi6!+#1&<_Cru`D%Q^fvrB=w{2c_ zn;5AZF?0*i-L_M+o_r z`hYlF8o5P>*K{?Xtln$kqAIP@N-+=hhv*v}goly89bQySxbRRvmG~tkEGpJ)Es7e_ zGNYy`+gpEwM${C%xTk+FU}sx{lc<`?F8Q)W$*Ry)UW&NisA+ak(FuieMqFIKA-q&3 z@%58?4e2HcG8y&QY=1uwHuTa}W6$FHaMC#*Z`B^a!+MUts{Sea;CX{YFMe)8M2g+6 zNVuQ6yr_KsvqrJozA5vqlueml@qE^)oGk^)St^P$Bi{n4%;KrT-cw0u(w0=A#>naNC~*?0m_ok)q;l$Bx@zt}K=3g7faot}?|fQj@4* zE5TOPDD@WAn#ync{g=v{m*9Mk94B475bQVg5g_f(4j8ZjT zv3@4w^bE6m8-(NlO*B?b$`F#tN`Ejro7fZsTOpFar1M?(DlEk?qS7l!<9HkV$x z>{GQz?d0j*1gt_afeEOCp+QHW8x@(!!_BEOhvJ~m?=}mV2HOH&v3iXRs?xMVDcz3>mv;QQ8J; z+NkunP;F5YLo2q|tliwKtp8aV^LSy$bR==yS<4mTYc+BAjR^>!3K;=SNJC)aptB$P zd!Ke5nf=Y+-R)-C@c3MbsQ|h?BQr4C#jbwDtDA6X_&D~Oak8{nuw?5Z0_ykdK>&NV zpP?2`lWZhEY&P{K!^lu-DP@dObN-evjr)$3?hpo$pgeb{ioS`^JQA!gHyzTYh{qEU zX+_J7MoM-*Bp!c)@M~)j$r|PWE)3;z)Z*JjcQU|nOF0)>x<2>vCRJG_5uJLwvgEVC zGC%Qx{ME9k#hP9QQWD8BS>^`joL7a%H2%lFw2k7`j@-kCf^}NbbdXcnKVgdbO^HsR zvM37>cyaTd=kkx<3HK*gvvlO)T@q>uh|7%a;AM5br+**jYUXkN!WSNcIP?2}U*jQu zywnNW(>N@yynIcwN;0h%DO@vQv8PVu6{$bB8dm=f2)g{7K3@4YPkq{ShlyMye*Wm# z+i+0=8JmaJa6L{BWmxlSL|gE!68ew~maV3!=ccs+a>V#1LywoEe>1w|n}bxjWu*@A zzS7Jg{VuO>i!ZBBsUqw`T#R&t@g7L`t=PWTsV5;Em(4H|$Qj=rr($}DwqK064C~z) zdE1+E35wghcPKb_Ex`7~x4arsoDE&L<1YiyAa$M6H+PAHj6& zVb@k*72S|$MyC)Uj+5rX67V;CIy2M!MksOHWePK3!@{2z*K=+AU;{>;Ucthz3o)xk z^!PCoge1qs_iC)pyhaVrZo@aC<9%S9e;TRC=FDSIoc zs@Uw8tTy<;-y)jbkd+uCnv0-VHfy$r{SJF#`U>J?s^R+#UCfNMkMDt``31zLyKN0Q zlY?ssWAC_n`Ys{O%7;#{kD{dS%Z(c98AT9W&cc`bJ8rzPkryYP%wx>(cYO<&sZFP+ z2(T{KaHyiJ(oEZ#9|u}uYQp?V8TAG5z6b2t}UtbAPgEyqR9oSE)#TY*kD^vt%uZn?3`%hV1L!o};VJp$j zLxT(!Qg>Y3t%mZR!-Ji0FbQIx;A^v~&m$ZIk`#cdcAgnKki?Laq=@-Jrq#X@LAC#S zfwwLPE)`#LF<|db$@&=P^M(fhT@mIqKF^`vzFGk{DU}!j$%4k+5H(T2NRdEO+Rt%u z2|Rh)Io@xTA^ki_92}|mM0{cnE`38RN2;K#2V@4njB1?84{*~$JI-<0EDJcN`P6go z=5$^u_OhyR?L#yf;V_S#b4AN93qSyuUKA=95 zBWCKEs~8jaUc=GT$uqP7dT(>u?#?eH$FAEF5OBVERpmYp4aiV_426OP*FyRx*i!7L z#u)Y)Ao=VKV1xaN1eE7IJXi(9=>pxdV*Qw+rn&BhG%XKD zq(=sG-i}sZiKrn~3$}OxiLK(+Z_dH$Fh1&r&hy|ElFVv%-(p>HGaqf?O%dSxw_dO5 z&*f+?0A#R?ORJYu!-H}_95dj(iU~#Vc6^VYp0EudB$I-=;rx-D1(Yp9hVV_NhCFWy zN(BgHVg3AeRCS(*d!B{sf(q4Q%(hR45P3`36{sYy8YcbVb;*4J-#vHzq1QkhaT`Z( zvi_Ez_fZobDW7$__?3Wtk-tgq%w~;;%g^f4Z3^zCXNyj_vc6)=JY|G*#?E}o^ zr;zyWN0fIvv;+SEkHC_Mn7lla@))GbhNMEYvIwZLZN&87M~TX?y@>8-LFw^Oa76c0 zkjd`lvT^BiQH+2sS{(+53KGMh9ZGDff02Wo=z`_0EE zn$e`8YmTEwb#+6xRru>ktVyhvh5#3{zU0HGl7@+;7!g25?5xmv7rgQiBI7F7kZuB zu_nur5aIcwnscakpcuQmW&Ze&mmRWYgZ@bv5FD*Xb&jTB<5?y0WC} zKwl9ut-C{v=d@Ui#{(&XQm%sV@={i$DOOZtr-%beNV!FBAFpW*-2CN~8jkP90oNQ7 zlPeDDUDU5AFs0_Y)lz-~HixER^ymieO)noZ57H7UvQ1)<)6%O{82R!;5UJv@w%q8u z>^sUB&vI+2wfve*4%CXt0o)XXDW*eq?RLJD|M-W6NArB+i*qW-gFj}WwKU4)6ykR{HT*4M?rV!YIoQE?AtFw!D|>=ygr1+_aVAtDPr@{#mb!*ZVL8Yew{`q*q~fGg+!?MuA)2IUPpQ zy89q*{^pr~L)FLeswS@`#ctYgI~XxZ4UE@1d{uGz4L(z#Vu54JYQp@=L+2lY3vs(k z>8jCPb5oN#Oa3R#dtSq_)aB#u-6JqP?ujdvJU2b@!UC6{1Y4xsm*BgI-{P0gJDW2L z51$|Kea)}Ki^<8e1ay71M_S(pyWs@6to- zDZ%J>wW=XJ@ivdfei6*yEIr-$b{oPRZM>^S{TGhCU2v`4WjZ0aQivF-qvsJe5dW}2 z-5hYYhx4uFTM1?1#u`jBt&yHa4ml7YE9R7f?l~EJ1t5jrfBT-=aUB` zbOW#`#a6}RgFd01_y68?wYKo?+Ridzs*?=PI8ya6NwAEmn#i6@sdV5K@#R$B3y1dG z#+87kGo>o*ff<)?mA~Wgfy;SJnV)dnaem6?(#i$joEp8dlwgy+=7RfGZlAeylIhb( zANxzRup2Xr04{E8rsj~aHdsZq!ZtIgWZvQ zHG67lw=c?7BW~iprFRXl35D+B?awY!I<}kedOFcQy+}Q#T1eC0%E~Do zmc1k3gtMt!v-6=ec)5Lc2R0l!&o=6o%{2EM8=7H9@K^RDg%8X%yZ!q0WU(mnH24&c zl=#=vN>T@L=#PhIdbLuudp&gg^T#23qz-xM*{!#413O8wgc=@9DU@kT!GC?|583%( zVC)FjWdL$gK5gv_Jd!+?qmCpJ>Cn$@c<(u&KmPToB!JGkFgK$AIbivdT+Wjsrqf7NhfkG}M>cM&1)*61!; zHrh90>h9c;Q%bc5?q>~G;2Nf!8udG0|8ZyOt(H%V-Avux>&_4Yt^l7n97z4luyg7l zsq>(N=IXQPUfOaoVe@vac_W``zO0#AcR=AnC@<;qROYLX$@Bm{$wwF~Ut=8d?>%ufk*t2xG}Y zK507|G&;<%AXvy)5CLOezuS)oWZGPo{RKCDmnApFmpg~pWj-f;ApLIPBr)M`E9SW} z+|%e^Hs3~`o@&U|k?qs2FipPojB&Cj@)~7YOO)qz-xMyRnq)9O-)m?{Jwt1bwbl0S z-tq?4z8N9>sC0PuJ-}(}!>v{r`u?NCny)2PxysIF>iE*(_fCrmGewk=1&Ua}(b2Nd z-k|+Bvo6iEQlX_fBebxCf^(7C;*bjR^{r^q3RpfUUS7N^8~T?<7$kAIYt9;J!%7yw zX*PDK@}tlp3#SDCvm_Nkj|hU6$FWm0q@7CHZNc_7omnXo&6ZR(XTDkFSK}RHJFBAH zsIdW=#zGYoM%uH7?*o_Nyk!OmtCR2zR?R20LBDCl-Tei_0j8o->V4YH0xe5-pqZXR zm#2?hpv1Nx`NK9ShgVLiN`5RSd?LfYeqNBFbHcB)GEf1LVS+F-57<+@+q2(@LXT!e z%zCf(4n9RzSo9QGoySddO@FWWgKof5mlDeSlo3>}ecx0lL1KHdO{(sD9RihPFfhT$lkY7iY zGl=H|n;QmlBIbbKf%}iFj75%w>QGo^a_YSxLinWnh%pCyB7N(-yAUL6YWu(&K_Ric z(kFFRY}QX3ja38uy4f;CJ+nn80uG-`l(KkC{f$nAerRwD0g{xh>DZ(eYi^)WFfFyul?-@ zcePy5a3_c46t9+yCqnr_SvIlQmm@|_0iyXvH^MdO literal 0 HcmV?d00001 diff --git a/data_selector/db/data_selector.map_bb.logdb b/double_selector_8b/db/double_selector_8b.map_bb.logdb similarity index 100% rename from data_selector/db/data_selector.map_bb.logdb rename to double_selector_8b/db/double_selector_8b.map_bb.logdb diff --git a/double_selector_8b/db/double_selector_8b.pre_map.cdb b/double_selector_8b/db/double_selector_8b.pre_map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..30045679b038d7c5341547c205da045734d9c44a GIT binary patch literal 1430 zcmV;H1!?*Z000233jqLb0B!(300011WpZ@6CZg3s|000000DJ@h000000PO() z000000G0&+000000C)lHS;>l2F%+#TM;x2pC@4aMC@6wm)mG~+`WL;_of|j0FaO4` z&<}9qLPT_-?TCUX&T~J{$xALbv66myufT!6MJo5*+;fLaFIB0ks_OT8y){?=MBVFC z@&nuewWse+!#&TV{sz%3%Jv4fhS2$1RTGqohGeD+@j17d%FK_aX_qef*m;cFWT z>kB9G}d*5dR-^^Q63Qawm_oCJ= zjyymY`{s-jD)t?qi+zv8F_>cC0lL`tQYYU5y4d%rPQC+lvF~vw-vPSV_i`uS0s2Qj8Afc`-Vesm17Et`(z$ z*;0%S#`_M&k#CF__PgFK_%4axCmajv(%Z#Lm#^4?qmV@tx0{p!>hh&T14HQo4yC4? z1?q|g8G?AhGLrzB(~;$1cu0^1}BnT4g@;FGWhIwc_!qID9o5ZfkUhq6-= zr~MRaorLT~ZIiGwx>FKo+9t6DK&~o}Z<~Uh&s|a&$@`$~I4sIYwoSs$=T1q;RA`-q zjAYv+Y%g?5LKbD)B!)7UoL1QU`OCuYT;$*2r^sy-hz#X7!b}-D3AJH4=G1DbeEZm_ za#vXsg_>z-&A$j}?OxiNb_(9W2TM%a8hC?tmY4=kK&Rjh9$8}Auom^mAL%!LzU5#= z@#sf?kX=X3pS}KnHJ<(0LCo}KdS#*664lU$1`jMT`K^L#ENEwmNiP|kDP^mP^t4m{ z`GRJamhxtZrw3>1l>e4tP)s~hc-CXOFjJ=wn>jr) zQ>XWvIX&LW>2*J4(Qnw_*rij66Mt$0gd1(sd*(0i7lc<6<8*7-i=<{7ric-NV*aJJ zSLwO!-y$lrnq_`&oB6E`0>M3qY!k;a4Ww~{NQamMkxbc0+9Zyb3rbhB1~)4cz5;oP zpc{yDXHzZqyur=PrY-v zrTl%L?7Wy3JWRGf*QjD%=ZOq1*PrerqTC2; z-nuwN;uzYS%lLZ-+`zSCek6%)EZ5Z8AyDQ&N+pG0IJSf!*CqU(s4_}yW_*j|rSW(; zTv{H{=q~^O0RR7Z0TN(i00K@R76)Qy7pHh<56^&jAnocG5)>Kl=^Nm4q2s?L7Xu?u zegTMp0)8M3QV&(?=^C69ty}<6pa50F1f*f+dU(19IR-g0mOqT4R&`7agFzL4e{{|4yk;j-ogr2)PtlH=31yye;3yY zs~0ce2CMLZsuMUz%6lC_cqrkFLvt~eAANXT2$bcJ zu;bGhn4Ty+@syPb7k(Y321)IrsnFp8{sm}s3cEnqQZbZzrA2{|umrp6Q=<$%#?tiF3Er==^mAz?OJYzDPB3v%I9qgmnh(meeJ4B4H{W}u&Z2&R-8Q%;rr!HD{nOCJ@IH7o`CYkU~igx%``a7Ff@ zOmlUS$??4 zm1dC!Ir+=~@8-YlA>!6C8l8ee8$+rb!P{vM&hKs|7)^|t0C=slDkQ|<3ju{Lia+u)a%dSsBr_Pf6&+5yF}I>9US>=6gNa#@Xot6 zep=l#i2)He*DLF_$WiQqpHmd4+8_A{;(NOyor(7E_ecQrJ33*D* z)h)$Vuw>A;l;S{wpkdsrvn6(pR`U65OGc(wKo?#IhB^BZF@aLi;8Xy<@NyYtcAY91qr_ zB!VBBD|3T%XO7@*ZDQd5HV&yYSBvvm6z?dPf$M7wN;uPp6ggCpzHc9Si^(KapzCX{ zTh6i@oWsFtiSBy2UlM;H$f_-b+ni&eG4iRXS!F`JWF{!8#=aQi^!AD3har|~RHz^h zWWt2o8abu6xm?vfj&t>-E)196*5(E(ZIrA0x=U; zyZf5>IdZE9%0d7?4e!5x21#m+?+2JeiSZP5>I{b-ZBexZ6)pm(JwW;h?|fWRQUuBV zfidwcvBmzmf4AK;D;Um4C?8dH(U&397`HV&5aG}weJwC47yM0H%Wi7DrxQ5Z#SnUCVF>k_UrF&D6iqIq+jbx8kn};ke zTf=%{1-o2e{68+nbQ?_VfuY4G&wZXot^OoCI(F!?pU-L7ftLn%5 z>Ed5iUMZMrFX5q``ZPFYmXB8xo%&MNflCx3)7FPMbHK|GN?S(9BlE)ps-Ipt@N5^y zn8`lNqeX67BNb-}s;TvYk)D`N-zTfkf*)GHtFJGJ{w+E85+ZYIBJc-5nr zco12QFGe~I>-B!!;FGfj%DW;2D^joD>gPh_=icP!*yNtASa-~T+gh+IQM0AlncgJ< zaxZ+1kG#7TWcek4lLN2Osa27AT`w$aOC{YVTKPOmogb468dKv0NbTVkqyjWP_t^9^ z@!Kdzn7oB7e-i0u{5%+c0`cs?M4`;h?lovW_D7)lG8N#K$C9Pyb9sFU`m|>?BPlD% zcyLvP<%@#%e#^93&(RkHOkyf@RoHyLpZE4ofc%^c;PoY86HXHe+6pj=kVJ;G&*Y$P zSg`W{%TXzONcuY59ct1NKTM&t0M`m3l{X9RkUi;^Z7E`bnx`EOQNT_|SnEkuuSh5F zM!oU~$N!xM$S=8tNFZPZ?ma&rZm8D)b@~fqsLxPyn0Xz{Ey6vpW!7olx?65__3YInzj+i%X^I_^dF#yfnUht41Tx zaG7b}asA-jPakss8Fp)uw^P#pjw7{YasMDoe8bHpsA(VQ@TzVFexnonhA6ox@Gpt; z+PkF$0j0Zkg<$YcO^6&qb&fX{7ELyD)5g19cO0o|B(=@z z!RL?8)e`f`qdRl6QHD2%znr5u`(tou_TeB&Y1;|YqmcXI zRm_0iCiCzr0)u9E=Lz3@3Q7Gn##eRm)FY)6-sW8x z|7_<#`~#>TnSYCgf9oCjc|6u#36}522uXT5nq)d)5?$OtJPfh=W;E3%F%{G=5t8}6 zAza0NJ99`%Gdo9xNA=p0+Imzbr(}fst;W$H4I6zd0X__g&I?9}xK916LUyMuFQqU0 z7*m72GI^j+A!cTP@c?msH+6(m;A`6*{pDtthmDh?x=~#R6{~LAoq7Wz!lR-7Q-w^! z+Fu}v$}W-w6lZiV>5c16TQVVC(_OZKJTnTmkBN6Cpna1(vWWxa~)*(jePXS zeUK(-2w}#=NXQz`FZ^BCPtoeDQ7r3pt5bSvgfUiec{Dqf;Hj+>7EkS|z|2 zu#B?MfMPkM(p`Z1)A_eJ$vuSuyo(bRV+ktKoN}Ydbg@_}$X2sHq3RPD=qv{XSEbs> z#Sj~t3g8dAr;yY6>@#Auh?H{LlK2neoJf5y5j%e(0>ABzPm{P2dVpX-r(N&u#sMCV|C zamp>XpK(59Nl3}>t?#Nv^)|})HimZPjPR@yssv&5Rs+^u#`2sye#Y+&Y(?)aX@$3X zy)bZ`q5vcZZmzCLBRM%Rcm{PJEU%!XZ>bHwSA1-DYYC zTt1&`&~Mn{dqhKS3GluEI~e4S@wXpK)jd10d}Sge?IU)h6JQ8=C(!Q#c`$|EPJb)y z7{$bwD?rNjf%!nZ`B1zD{}!7}Hx%kKILSXCU<(CP6S+@<{{nISHlzqF zjwbFdA+57JPF>|0T&Vsz1xw60B9lQ*8Veo%EzS4W1@#VPGhDG(4Bul9(u9idL5=T` z)pf={SbIGDP^Y^M#(?`1?bKIc%J=3% znzZCi80k}@AwU9rT4H>TkofmF911DZGU!PeLi7VLo5Xtltxx%xPBw`LHvL!pHb1eN zKSV4s%DG+xG|m1X;F3E|qzh(}JAM-`aBgDj!E8sx7lc4GlIr{$rise!yB82)`n zu)bL-hJ2)_?+d|m^ee$?R*&FJq8kGBzm++BZ^)KZMxgR+DE}A@X;PAJLknMfDCgfo zzm>BPBgbo?VlDE=!H?R;GTKIkks!}Tze)o369p9rRl9z{W>7gcEe01&N3-6b+s$wdBFCZiLLCp|jew?$uUe&1?Rs?SzQR z$WyV>;}GDZMMLD|d-Lcwc=7p+<*B&oWAX5nkm#n4KhO`1({GUBdz6*+d(f+B%Li!5 zQ}NKhN02?8r}rXTVaNeC;`4w|Dm?>49K;-UN_90f?1US#=I7GXuYX}uFAwzj7P08W zlvs$Zd97)DCT#Ds=v2=(03wm0F>LOS{p{ZYOjZET!oa*`Aw0h$>0oFJ2;nVT2S*=m z%x0EQh+q%(r3RIhMgna<%je!h29@;K!^Lkk{W720k-=&a^WfwnuN&i@9Hb~Y2c5;X zhLk@2t98mO_$moM*-cq6HKGPfn94uqKm0BjG=9ku_i#dk~--cXd(_I}LZAz$<=3BT~DwiYHa#C9B z=~yQOCWJN?z73P3Ufy%g{@75G?G==JPe(+O>qx!#9P7p6$YF$EPhmqQnpHSB z4F0A;6Oi|5G0H-iom4(vRw7<>s_TVv1PMF35BC0!HeO`~-YO3h4R<{ZKgqNgj2D%Z z6yc4Hsjgqze2;kC!q@|b45Sjj2FH@pJ9^plEMapPP-V>x{*jUeEKeR90ovzp>hC?p ztuZ2jSP}wRIcjQ*O$Zc)nyWLXm%H~bIUALIt)6$q8fiH9U9QIqd!@8i-x=+S*iw060MU)rJif~mE#0#DqH=wB*pc7u`s^F z@wbw(arJiky@J%F#Ve23FrAmUR4E6yn`#V|mE$HXfU5Nc%;) z49hjyTuCv}$djYOtcUf>r;Jtu4xg%S%Z2OX>J|5Gzg6NKX5IY4KZr-}Klr|bS0-RW za1?YTv|nC=C+`O^(92KW1;#`dLk;cazpUz{&AaVnuF@L(l`bZGgRJLv9V^Bn!^?)2 znS7^oZo0Xqp9w08pV4Cavo~!ycOd#g`8D>!EH3t<;b-iHl?u#v>@_4_V^al&d->Pb zSgq(2stL@uQXl548FFUOeuuv~H?@V9M9f|L+nwROM52dj&SxsP0^*xImNkc~Glcux z5wdeqb;2)bQCe22%K0FS?3Sfx)p*mSO#;J-VD&5cN@j6447=Xv(;GCn53^?ODXP*S zrnZ(U)L)G~u=bl#+Wy!jMu-dtE*is=momfn)ceTB#AuLngy+m8yzW%}ML*lDHLF?Y zA9FNcPLgH<6}RW+MHjlR`5SD&_BMY{Z(H&ToVY0!k-~aNdb{RpX6hy!N6W~WH{Lb= z8amMTVgv`@00*mBK-Xgcg?{rwR}Jv+tn3v=5+j^mSQ;FJI?);yT>#gsXD!Z2gcb>%MLy;!_b1@FU0 z?on$>j2VWf?(3a~<_8a=OFOa37Ao2(ciQjh(wXX`p4sIl-YZ_kKRq8FhuDKtE>iUC zmpy4#QP5|4Y#u;02bInbm(GNQ<(+%Sq|iVQvs-X`=`ASVB`Du|%!^gzL(UQVwzJD; zgKD~r>`c_7+fPm9BbrQ7LoFz@u0^TNF5R{{T$P-a4SrR7+B;?0Kh=H2pxmvh*&}vI zS&gN)&NWwc(>*o^R!Me~lPPwaobWRmJ6}$_6FVB|Wc;QGYjIHXSU$>`tDpN4>xCEP z?X;ABWI7h;dF_gBa{1shVJAkgQt~tR*fbIR_3`L)OO%+NSiX16<%;DWtR2!2xhogX zf@fCUXOYEy1N!;3AO6-0#=ymF7#br0{^r@K{5WJ?I^P@wCEqp2{s%YMonku6n(_5GnR|Ofa!Q?CQ_^3$hz2WR2`@;BWr=rvCbp zW-uv^W5ZbZ7qC9EQZIw^A@X%(6LqgNWD!wHYt~20my2-M)>|@-Q!IIf4O*omSX}w< zz9!wYerOAYia@l9gyTvO*_gii&nn1AbyT#jX(#Z;iNk2@+o4BK_c)ZhGVaMgi?l&x zJ&h)!QYjBLoX@*+l;!cla9j9XqT{$>7WSxxBIbNllX5+zB;;%&9#@&O58hsRK*`c88sx{1OI9E3 zgYn41c3#jB5MExuhyl79%ETXtIx-d})y)e^9BhFzYFPFB@hDj$0&Xi^P+HsLa9Q5* z3eJ3cYwm@q{H*AFBai|e5rKk5I37y5=VU&pRG8o^K!IKX-YRf2azSS*`U&2CG19y$ z2A2>q?Xf>%JOB(i&1-#V-kZxClAFA4wkq|rH*)<0mbj`8)x+E6pgD8QGY#0H6kh-I ze)kj46j6nj$zf}!Y3{zYGt<#68W?y2maHRI!>xC=eg3;eg7}*~RJiwFrXk=BQARcQ z%qX5hcgXQ~%ML=Yyq-7bY_&IZ(wJ^oeBpMtyAqS_T>#lFxWIu_K7Y);e>}lJv-GRK z_?z`q6vq}f?buM4bGP*AzsN|Ql5f7~GLX}YR}`q)+Z$6nWWBkX=~otCTKe_Wo+;e(W-MzA*^SOGkk7Hz}$|Wv2)C|frd;z zpL}D-CsOS~!s(TfdlyDLhK@IDp4R_3$Hd#dLH<_`H|gzC;0@;?iP;al11Vy;Y$rz| z*l>D_LE!cPZ!wDts$$CWEQ6S~h})a7A`y&SEQqIU3;rLgnN0A$$w|;hG#2X*m1J@f z&;f#2T|kAun8GxCv;vC;rHQq|_!4IiX#{49?!A4b4a#Com=ctZ&#EC3@$w|%&!!M0 zv&2Qz#lj>|o;{DLS}Tsii>}=9Rx_k&SxH4qDd-g-K>Cg7u3Zfj!Lf6?)u^;fL zqaHKTWLy=IFdoY_AUXlo;>-|R^XM2WWSgQ?Fv;Ohwe7uevFpqDY8UbBl1ekQytc4Q zo35rO|B)b(2yI~$))`=ACDi>n!%9X~^v`K#mj+l#&to}H!~yY$C5=;fCy#3W+A>}w zifY_re(yXwv{Xl~euJV}m9<2`bwZCQ<~YFT+$2>LFYrq!r7JpL4Te2!7!_jt2QP^# z)qJm-MZR3{iN{!73_-uE4}Am?OW2OEm^CgXs|3TrzrQI6;&V*Dxt05)|LRHZ)9v}$ zy4=Bo@+_MQQZ~OCwa*GPiBKqcs=jmnwXP*J_7WISt}um_ZgrTeEH^vIB#BohR9|C_ N(v|S?`V05+@?Qj?yKVpg literal 0 HcmV?d00001 diff --git a/double_selector_8b/db/double_selector_8b.rtlv.hdb b/double_selector_8b/db/double_selector_8b.rtlv.hdb new file mode 100644 index 0000000000000000000000000000000000000000..3a53d9085c86dd619387bd4108235bf9e6be854c GIT binary patch literal 7154 zcmZ{JRZtv2vo$VZfyHfcO(1B(LV!S6HaH|`ki{2=;I6?o5Zv7*Xn^4EY>*A^?(PK7 zpYQ*wZqf$ zVL1QAbGrYc2l^ol-vcz|Ye;~(-9Dl$yhu1JSJopan^O0)rrw~U*BTDQ3T7Lcjja0Q z#&1U|2f-kWNnvGwq;&$y$&t+$93}fn?BdEZ1DSsND0c|kA)Y7W%MMf6w{)zed$tI* zTrB%*84T43o=hCJdY=68`@2DZu|1M&w@`1l5Pq~O!%}T_br(xv3fVB_?ted1VIVg7 zwuVt{Mb^H8E}T88x1O%v(e@+|Q;c(Yzz?y|HMxgNSn|z%4BW(=mJ;~Vhs^^Q&zUz| z)SJVceXzJEoy!rAs8p7MCFMYN<5WS2HjX}tCEPTe3RDyyvantxaV8dHm~bK?soJ^x zAkVgZ%0?ojTF6FP#d`GGc2PAsvgjz=1CPPvn`>f9s$44uL4(nTU$gD2mqgXLCd9oA z2nnD!)3wxL;4J3;5M*xN~Eds}Hstf#Rq5BzV^{k6aybMZH<0`VwiBUdAjhZ;?hXIoo6WB@)> zwVp=5)>U?pYOyj6&ENmq&428n6aQq>J@p7|2>4;`v6XUf`|d`JO<%Xs=W0IMWB?2X zd``Rh=ry+n;RxM*09_pWt32b$!0VbL2-Wx+78LQ&+I*87IB{+z3}{693l z@5?55gfmIX_(vw#rf>g8^(tGdSm&_+pW!r4_UStZuIO7mY|Digpg_YccG2pz!xO>} zfxbWBcWU%UJB73hV)Lm5L0OB{Di84?I}R8-RxNaTtb$>raL*x>%JpZH6t z#pTo2R_!-!rPq0e{T1UJG;_YhC7?+w&Ig;F18~^{Vv_DW^^oV-FiEZT+e)=sOOotjGl_dJad7r@R>^Zje^`c)yVPyrhJ{d5O=t^^4PwQVLAoyz4}j`p$Bv953isXKJ<=oEwp!T zc1cOEsDFD90F4Pne>;3L-!mv2&O@sheQ&2FMXfw$4m$vIf1vtTpkFxh>{R?%D8f-w z4?VJh>Gg2A6iO)VHKQ6$g3c>wS#3X=FzNr7aAzK64w>-iTdUR&!5ndVzFu01!K0xw zL$UFzB%oc1Q0T>P3#Iq3ao@Zl9NWM2?0%2YZHW-xe`g4LjlG{yWXT|#PO-XnS~Oy! zpGxt2)=Vo0MLqKD6@6OXl+~m;cJLUv-L!d!PT-s3=V_dK&m};sx!^jTZ``#QwCTgo zZcvt5Idl``6{CUk(h1MTd@f#Yd9pM8U8#~f(dy_GWamB24 zrH@ydhKw#-f_j>dx(dzd^gHi2hfd0FpG`E1^tBO{FBnA z_m_0iB)``$p7Ug48SiEDpU(X134Hyn!{BbF)S^6EZ==ZT+auY17=uI4H<^mZ2;WT8 zgyW0FTICA8rfu{?+cixy(zMZoA*zt;=Ix}CoJ>K$A=;02!l*UhRF1le(!wb58$p)IHD$b29$s#f&d;03;^uAl2s3MANMepg>;Brx-JtN24;__PRQIaY zTJ_9btrQ3V#kmtgy7uEh_TIj;-ai^=I0<6 zdT)5BRrW2lZfLemi^hAB*A%4-AC?ZB$DM!tps>sAVZ?cct|46yo=fI+`@<=~#wieB z;f>=J*VnEBrU;PonAVdP{Y2@2$8=pgnkyxze%B+eB-zd;a{EFE?t6qppkdI1cE=JE&>1m8P=nAgHq@Zj>yPC3Hztrt?M(a0} zu5!Qss_}3!NYUKqD!A!I;}itA{Q|hn1I%$j?6G?dsv3saRuY)qF}soUe81yib0&#@ z+JZZ9qT1R8nyeRtZ|RIShz%))B08gpV2boZDE(k*$vt%MO@lcGc* zz!Ntnek_R}zaqoAX$N^Bv~O?}zjmjgNtWZs+fsy5HjawJ zRQ9hJ)L={*dY7SG#h&MtMeIxEuaZ>g&dONB+0ag(w;0>acqs?0M)rt+TMYh>v%#AQm#9hH$sDBBn`wX#A+Io&?CfLZ+u0yb`k{+2AngD=S(XjbEkm?`j^Nw!GYYfp zXEIlJvTw3MgB!HvtP6=FE*$r@1TR^4>~cu-?=@AaE@;o_1(9?N@?~S2U!8gJrHaea# z`{}1?jYbjsZTKoouz(Txc0s7!1o^w>ij_i8Aq?7iv+`SfHs(aMh4j5_(vX@YH$)ht z2A3;c%~?-%d@}K#EB1e7kNwTCv(SP$Z`+rj)??u8YtU3{$#TC7>D-O*F^V$nNcLJCPy!|c{v>V~8ZxAL zU-sdZ^pPj;WjAzoVPRi3ARtQE!jK0NioV7rRBt@8Uw06iL@oAK2+L7Xq7t4DDVwR% ztIcW{hx9lVJk!^2TN8YHg3dd9#oGy+e^RPO^i4l~P++s~eb4!)*n2XvKPwQFB!VM0 z{CM*|=SZbpUS^Sp#h!%35JUQOz};+6A}_-~QT{lYnRqY;cLeAwa8Av1=^O9JaoLEt zaaUC;9g{onVCb#!dE}-!x1p(#4;9Cj^KhO}@zmw;><5hTpwMf?gcruQBFt$wOHL{M zn$jHH7=jkUmVWN9-~jA0fIR(2XZk1I%#$IGUwt@!nCUMrrKYdwk8Blz2onmP1Um5~ zwm(UAw^d*>aUw$q~M&@h;Xv-(vvhW zu~f3MRFa7pnCqPATCl{qq8Gm*IDVz6RrA(>8okH;1$!2>b5;x%jTrPsP(C`vXx02Y zxdM)SS5t!)sLEt;Dm?c*u*9(pf_}xGyVY&h|I$^OUstY@dQ%0v`b=t zc|8}uH%vrr@#!;izx5^L&e4wqr!)`Hga&L;4iZF$2yU{_I0-PRDI1WY3z4Hce=i?( zz)B-AI$WlsAW@X*r3OkX^CdDTBV*u#W=#Mcbi~qgZg`v~3sN!y;ZH*H2Z8t#0QBk5 z3cjXA-+_2pRQ>?B**8TQ zx_yM&u<=}2E~dsQ(HeDZ_n9$yLEbB%jjtGQ^h75><#XJJh1MMYYS(jr9o|jNy6V;Ugv9d%ZQi!Us$%i9| z{|#kmFTNDsTfJbS9`DVI9pUxDZ>yS7Cmb(1M|=`XzwZtA*9+0n#Ury^a`VOJL{2Wv zN(Cr*a5IQn_w4g#s1K8o7yGhk8%AdOkDlbIAhY=^PCp=VD8(uCihuJ)iZ0+ovQHa* z#PCpBdce9zhuhV@E5s&rrc$rn{&rupAdp6LO+LD|!yWB2lAo^XJmJ{S=0;u0k;~3H zeP()mw)Cw}{>8(+ML=2oJJ0?g2?DGWA}T@OCKp+pXq?R!C!JQWRKs1)^MUNLw!v)& z37>LQ1cEBy1GuoQK`rcVJj7)By69}6E4w#II^E{8yN5qZDrN zBGKB0;8iT=sWF3fAK37XP($9*ReIaTguB0($J{!YiFD^$SbO-P&Q8EA3wT(p@0go=##K(ZI<%1bspGKB zCqG-YO>HvzB|9yPItiWa9ht=Xh5(~pG_%9w&H2`X?`jtJZf{U^$Xnp%X^Woi>9XuP z{&bhIS=Ep#?HGP7$#?U$&_r3;TPRkwX-` zZSHpmcOR~=$CYEiRgYVCA3{Fgmde(gqRymcBBN|mT8y{C^U3r?8)fkdywhL=1>pO3 zEkhLxp0(33V~e5-XREJRR8l>cdkeH#46wg{)gPujw0Rlp@Z-HH!}!8d0X#5*c65)s zm5QL8!>`-1?B7rcyhu;dJI9M{I-*@oBhfpa;m@SSNb`N_{sEVns&DL|C;r*-9v6<~ zO*-vk`2yFQ9;0r>>$wM$U-{*_cEmmE4POWGHfr|F&P^-!>V8$!87SYS%*W$PPK_)3 zEs&3ED_>dKaMz2gca&H(Bip}g5x0DKTYqNF;<`Oes6$5NPi-q+)a-J9u21QH(<8;8 z&Im44EhoCp7YUOD2tUI74De-p()%gZo8|rESCnpkT;gzzT>-UF)5l>grDsK#US}ycFz>P}fx>hTmLjoB^(M(BiH({4 zi~^tHaqb7hZppRGmb`WDdCji_Q}MKof=$F$DE3x)MzZMVZC_+7ZpeSwC-Z!RZNHp9 z6Fh+Y4omE+I6oMaQ&Y6>o3f#XI;c4RvF;<%5>Z-MmYAli)IVuip9of%d?k`YA0-${ zg3`Nd+|EFIw;GTTwY~IQ6g~G!qNK`^#8rqOQts6Inb7@6#>M?c=`1cqk5SJ4$g;o= z79Ph|K&{|kxpo`SVtDN#`8aJUn@HOmfH(b)fBtXB<%2QDWw%L31D-vR6VW}9&z%2` z_})!myU1soJ(tRW1Cf)deUTG<{-;u~qzNcJ?ZK#~8?HrRFZ0&bq7iE$>JCLAP<7r! zc5iHb+qFXV`+@!%7K&q==&Jb@zGA*wQLAux&7l2jeYOh-<*Nf?@?_u68b}l=+$#7x z44d7M*MHA5EgzWNUvTtQLfw^s2t+JQRv#?94_f2Wo%utN)ElA$rmGV;3pQcAhE~Ko zQ2=pwE2T+a_GG`iFBbzPATc^wx>bJ_@~PVyhqN+VfG8Ph~9LXmhMRE z>mC{L-6WUWB`B&;ubOvHqgXx2$ZN}l3tgsf@9GNDXYy*P{Gl~}Liy@bnLZiHMHzfM zP^T>saZsWFm}F(l`8M65^iWrn{&2EfUV3~|bg8?QcFOUTnP@*=Yp^FaR0)IHw>{|d zG5qVR$H4>xK3{zX*O3#4QzN6Q3O@fU5&o$gWk0tA8}MCk?q_Pt$nOkGmsEfood0y{ zhi%6y%SDZjzp7}V!$KuC^*NV>w}kvgNbiDjedM6Me4+5S3P zD5vG|(t5qojdZjwuN2!3CAY^!yX-7Gle{bN(xXW&-zWiNl{-a;($5i9m%~(|Esp(K zSYbxR(eVpmnThz?9D0AEhPvDD1r|EVt35}TaTUt5hqYUYTT@!Z!mwW%Q_cxUUW*C1 zHnR5Cw&uc(B3rpWtNx!7%=X;YYyR9eV@rH_gC~0H@Nu}OSP)~zL8+MMk4^&9vNCZL z9X!h(v!i)npFci#n6dS(S+n<}{W^H3L8(`*J3lDg)kq>(C;3eJ%-Tz zJ!tGu#=0oo3Ep!0oM+oq8MHN7>tE;w9QIyPQ}M0q_M}(6S#EG$NL^!K3 zm@wwp^d1>KyASYv)ta5=%u%K?LaVXufaZ471N=9Zcf0KveWF)7S4H&SF1zG)d&LDGa#&a|HR*+CCPVi+|lZU zZveFnJo#)Y#xkmkI>cK}aUPgiy1q+c3}*_ffK8A4-Ijz#D(;+Jsnb_2dS~aREYO`6p+6%R%rFt> zowkdSrWMg0F)*yh@`&w#X~<^o!^ft*+1w$>#C4NNnU|%meV2#WRdt{yaF?6m%sLn5 zvq>ks=B%>o%r{A1>7sww(gDlaH??Iyy2163Jn<0!NufwwXKVh{w@Ha!`XW%c_jiU4 z6ApP=HShE&5ZWDZ{LQ$X%tJ=gm1m~fHGM*ldHBuz&2D!U!Si=M)Hfc5R#Y;1D2KtZ zSZ&p^5brlQYspyF&7akx0`1N}r%v@@VmOK8e9>T~VR=(ovhp03sch(nrb>?G&7C@| z$QIq|HF6T|*2%p%s~T4qn+ViTp6OIm{bL@hX2qVi&PUFu^l}nyEpv?3y*`#;D`MKh zI>#(MVB+cI>kATcg-#5jUMa}CAPN*7aK&N349|7zN)4XL6+8LrZA@kjIoQRC zTri9F6}2%jx(0xNRBq-x^2cgPI547W+f`AAp?NtOJ*lu)h!mp~-9fEd5=>;_U`a~; zqQ?^H_>&%`tIDuOA~I%EI)cK#n3@UGDS literal 0 HcmV?d00001 diff --git a/data_selector/db/data_selector.rtlv_sg.cdb b/double_selector_8b/db/double_selector_8b.rtlv_sg.cdb similarity index 100% rename from data_selector/db/data_selector.rtlv_sg.cdb rename to double_selector_8b/db/double_selector_8b.rtlv_sg.cdb diff --git a/data_selector/db/data_selector.rtlv_sg_swap.cdb b/double_selector_8b/db/double_selector_8b.rtlv_sg_swap.cdb similarity index 100% rename from data_selector/db/data_selector.rtlv_sg_swap.cdb rename to double_selector_8b/db/double_selector_8b.rtlv_sg_swap.cdb diff --git a/double_selector_8b/db/double_selector_8b.sgdiff.cdb b/double_selector_8b/db/double_selector_8b.sgdiff.cdb new file mode 100644 index 0000000000000000000000000000000000000000..ff166cd5386945b4d2ce0b6da057762877e176c9 GIT binary patch literal 1225 zcmV;)1UCB*000233jqLb0B!(300011WpZ@6CZnhl&000000Ivf8000000PO() z000000LcUZ000000C)lHS=p-8KoHGb_Z@t37km-K7acF-YyVk3$FI;|P{9pF6n7DP z5qG`uoTMsKsY&(ZLf~>GHS|=c`qa{UO{S`g>g>0Qs7JaU zH|cI~tR7*0>(gJxn|qD-g1;L${=^EbX1p&f<#aukcl}UZi)9>#tRm_;zkOJ%p3`NU zr8-kFf}F0!GG>3T=5+h8#%;F0Q_Oax@@r!n=iz&BTF=AR)tGybUU5{`XC>Dw>$q#n z`zUx!D8-%b;mYHN1fE!~2&|E|-x?($PmHw|&{PIm(|nfJp2gOzQ`8fDuz0f8P)}%Q z@w6cVIz>Ieb($k$~e`_gOJa$Q@xJ~J2k4)kHegvAJr+}(*)yYdwOY9r!R&% zy*#SZr^B3H9o6Z>VNS1&>hxaM>22M0m}lO~V7(-JV`_6Fu#3k9p*O@ndpF&b_$iznVQhKwZvBl84#Np-}S}j zVlkg@-n>Dh{{R30|NnRa5@BNi0!|r z10zs=0f>MCejp7}4^`^v8k`fYTmVs^09C^Tq+#ZIc)A8T2042~!gNH=)mAWM2CL*i zQZEE#W4L2S&r>guVGIl`V3L6W#Dggfc6SVMjrVg6@$n1}seGf}!U|T@gQOJZTBuTg z7uN}^7cbxjtMGuTvj)-vKn$`SqRv0WHOP09{%#gd1|y(^2~LHcAcZzt7a4OfcmWkD zKov#-X^i`w-qA2q8 nztz3>4jY3MP&5XJQ9bGG@9Q+fWf2QIg9K2{2Z#Xx00960R#ZX9 literal 0 HcmV?d00001 diff --git a/double_selector_8b/db/double_selector_8b.sgdiff.hdb b/double_selector_8b/db/double_selector_8b.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..4d7a3d3e15a60d5804fd2f7db84152b8fb6dd8cf GIT binary patch literal 7176 zcmaKQcQhP8`>qo4-y z7k7IgSVRmcBrYf{AqW-{k^&k!`1rfnIRN!u+qnV3KtnB{se>(0)!qgAubbobKZ$>7 zoc_OP_29oq%=BM`;qF_JKrQD3nMtU}yRvh&ml$i4)Z!f$7$DEwcBeJQ-vgo|koFAi zb^JpRArGq6Xo4p|VTe}HlX?mb4eFJm15I7JARspZ9zoXZpGFsD?Pcwkw#}LIhnH)Y zhvUH_N9*?=WYZnC*FH-ebp?0d+>KeShhFlpbcNnrcr1~{4%o&IEq>r*VKf(M4snMb zV0Q}=lJ1hO<$c`N^!WTAH_O#leY;?mtc9rE43q22WJ=$CqD(sxxaN>hv3s->r5+U! zA+%HY(x+x=oc;>B6h{@a`6(HO86-7gpyI9Vt(=Up-)Jri6uc!v)Bd^^ z7^kcy54Ww8u+r=wH|DNeV$=wJ%6K{J@Y#i^pBWxQZ)Y{&*l%0Y+snVynlHfkB3=m{ zIgFn~#7eHhjF6=W1fDAX|AgL!qDAGSJsiu2X0krN>cu`ohD-nwsU}2W@y58eoW8nd z)3jGWSA2a&#ERnFgAxnIsU7PTQOr8U*`!1s{51D}r4mFd>33TGmmiM|On3 zqBdx>UFjDS={nwTVH&xgea`=1#zPUs~NEBsHCx!s*pY#>pW5Wki_-OXeZzxDjKxHS}W+4S@4 zyM$KxiEa1vJ0zX^6Pj7Ukw6l9^02CRqd+$DpI&Cs3lTRcrd4{*6H@jQ&w}LBY2nTt z0ri8^(Crz9@)u1URuE2ZE8Z|sgxc?4VSGX?JkCoSnkMWchOmA&srW%H5*G(b<0A0 zY{iy;Fn+?jv4vc6O3Mgni26bOg*1ue+U#;+oTR8Ng2`Eb@@5Wu&t8AZO;NjA5(R)J zE0*WTz}+iy7s#~7yrxYMg||k84#(~J;BEDdMD}52lu{j*S`iwN(D+z-JR-86($B^p>&@Fc%>_Gc@{8@Xs(IYb7)>*UnEH}Y2- zxi^p8{?;<_1;0iZDdW~CU;ZFRLrBznM-1J1+KH%Y#aXCFx-R{TX-EF^tZn7##}j9M z{b^?M1j|ik<};skxA+wa#O!VQgtLl+)4=g~jna%wX7aB^RkQta7x`?grXEi;JkQ?V z3hcF`-70SmdE)il6=~L$=OpUJTm!^O5=6!=X_t{+;FItqx`V+B(Be4IRbye zM{2XYI)u;2Y>{Sblq7S$NsBt)Sg~;TcZgt22t-q5Q2m{ zEC`|vXSjI6J=z1yum$6sp+_Xeq7Nrz%4Pl7FuZ$?)?71_l^78K@rq9 z(f)z`rOZNyElPrfatc6bl8RHF;4W!q(whnbzkqJ-r!9xtJoB~x!2e3E1f(=T}$S2};0DVaR?A*|z4LdtO zu13Ma2PNTNYF|3_%k{LkzZzT#sNnaJg1ozN4;6*}ls{~ z6ccRQdHZi3#NFW!z)a;E5LOP)yS#u-`-DehbKmWWYn0q}SD2Dqd8YK-BGn#Cj(clx zsE0p3`?yR`9p~~{@S@Ck@coLzzFEb4@;L#!8gqwnmB65qUdqg_%J5OxGGg2N#2+HnQGcmD}NE4K*FpcBE zA@H@(E1jI~`em4K$_u(pI>$JrV~6tgL6!9S%F9*4wJGqLHu zw@DF89DY1Zu-?wc)Y?%6T~b z>oPX!n$}66BOITHD^wf>X}$tGvVpIqn}pUA{oXI*-<605(2F+l2B#t<%~hC)qNkqf z;zb7_zn)=8;pW*v!2;r6I?OpNp1C%faF`Jht9NTxXHM>n(-p7~B|Nk6HC!z`Pg5-D z9e1if$N7n>-wmLtClvf!r*X=uSax3Jc{X#v8BwquQ5NC+3Tv;iTB@{({Xug~m+fY0 zv`&$X(T$|GJa=I@Wnl1m1jLe-iOJRc<*P5|KG{)vRsAUPs(~UC71LwMg6FGDJpKVB zWP`(@ZFggh>qq4T+W74Y`zaaIc`S1qs;lFy}vZ&=WA?bSU z^lLMQdP)j;Es;I>6M{deHN!~yy@4AZr~>V3 zk^I9KJ8@t3^$_jQ`)9nzY(UwO%S)O#<@B`Z4EyrCy6Xwjcas%r8HqJa@lIe#E+Sc~ zGIBD)WKzHRM665n%9-VFIHb0vWj+xH_o>HZmk}I*->dKFeph}n?-oPLC?hXI;0>{? zF8-{%wYN}hK4gK3e9d4$C{Vrrh9UnFhtB@y*Oq_>$coRlu8Be;w*SM@Z?sam>6-KY z(_!0KyV}{+L@&IRLv*%kKR@fH3FQepkRUun9lpvtdS&_%jmvQQ2@p68n5KJ{h_CcR zg3(Dy7l8;57+}kK&vw73#5cCsoeb(u2W8t2&qfePNF1a(*(W=8Q+WBI&Ve4qV!C3x}gOW2)u`&MIzX@3iGP>JJMYRIJfCP zv7ILps{Ixo!ll~x;K#x!zm*0P3VSP-d03>hQHBi{Yi5?-aU#CvaP9ddSHxFs>QWNs z^04Zp7+>Khq5Hri7%&>Z0JeaSCxM*sSevxEa9?@(Ow@m(Wtia^b)lv_V70BT)QBM+ zA)`MU9>HnSs}q!DuE1pK5>DiaZtq z?=M!`{1wyh2k-a89U9}?v)Y4d)O-Fka{88AGOD#OM5^=(t5e+HKtUf|x<^APMK@h; zLpGz8ei15A$gZzdj`$OgAXVWGq!V70%;!cRSSdP?LOjwx4Mg|o%6S0au9l0Pt46)4 z1)$vHZm;QL5zi^T>kzFfDty$G;cTq(Jgn*Xpj;*s1mBh-al;2=){!CmxK}>c$7z}!@RO!p&z-U&*eep-5Y;i%SeTfmeNj-b8?cj62_Y`37=96ZFDTj>~^FHVwa{3PJrW{Zt|^)J0L6)qzPmysKnkp-7gFxQ-w zB1zMwI1t;g`Xp3crGuCCB7!!Au|(o+fH$^qPS0lY*8U(&(U9|OqR333H-ykT8X?)I znwf!gY9~IM_+tW`iXAtFSm6AWRuuRUkUrD)pNm{OM`3@ywgs`IuCh-`@VI{M&$2Fj zUvN}PQH6<%m5hp#C?27#(qF*3DMabripXSVO`xDuk3)Qppm4o(PD0aDdRHPObxhE( zBsKw7c{WxZ3QA5q5Y`-ZvV@smDncherm$b)P+tu3epek4h-@i@rKHm|x_d#N&^0CiXSH=Q#f{Rp1tC z(c0d(mYI2hPNhFyu`GM$(Bg~o-IowyyWm;u*3U#c$fpUv#zrwz?&8YKw6K?sZ?Ic% zFzd9mM3P2h%T3mB3RZ}`X){~U3Zu#ZE0USd9w9r7AFY=yz>z#+FzD_I>*|9FKfKtE znR_BMRxfNKCPuqV&H!`cQIMQlP8mfb9I|&`Y(FSxQF@JAzVML;TJlxTVk53>{`cl9 z@fZGoPG1I;>-l9r-^-sY{^j&M*Ge*)u5GqW$3Z5C1zK(oLL(yczh8*USm3^E!j%nd zr`k*F{3dc8ILE8nxnxiX0@Kv}Em4?$CeM6U=SPpXSY_7i9z&kvOPC8IZtfw9O1?d$ zW$d^~f<^ghfa@>QI1}HXe#wzqh>>xx3x zkB7P7sR_*q(@E2TPoEZN11)N9wh#2)d0myO*B_%!@Kin|ROUB?4V>EL5XCk!jpad6 zwQiArXi~?$+xeU(lED{=6r*MQ^3&c7Q)h)#rylm;bQAaW9lAJ=)8#y-VyBw z>D7ckh-L4N<|1t_RL+K}`kk~g(6WSgt!-x?*nS(olDg&__M$2saonZvAA%0m{9onT zVj_%o$B0D2YKmqyn*1$^;Ih+MJa=NfYm*$Y?ivT{GsIX)%1B~U$s$k7LXa57&Q+J= z)(9eTrq#!*Nq$F1Js1y);-6JNMC0!u|vMRo|;E;rZg(jGbCo zvH}1teC};{-M{-PJPvXi^R1-255>Fj1~$87t0jT)I?X0wNnIx{{%GySe5YR#VtAK1 z^A=h^A6|8xd0YZ54RiFc(bq@mNXubr!VSOLnToBaS_?8$ciVY2$(KN>b%+13-5DL~ zUW(qD=cc3{83nz&hhRC^d`(}5sJ8Q*NCp|;t8-l99<)VEVC*)9i%pNqX!2zj4mPjq zcvn?rtQ|w?uWP}r#$itxG+jrkB)j7DqtTd2>#C)1acr~x(8P0i#bRJJR=Bu> zx&cEUVvj%6(l;ToCqQwu=+_#%lzhRtTv?4b$WMgyk>+vmLy?<_oU@y)@?j#HzE3r~ z6YIh|SYnRthz9VHMprP?D!gI;@ywI*e#uU0rr@VL;h(3x*Y~Eq*Zt?bt@$vLM^YF` zFV25O7K44BC+X#efmTQCNgmDbN*<92{mqh5uw}~5x_wpO>tI6TsU+{`)C%}Md5xl> ztT_z?pZ3k2zHJfwbj7(G!0@Ebc)^%GJv2MAWs;{(CdiUI-i}C_zH?3qP#f~gPW?QS zXPfu#n{dj8;ZjLlLK3rKg_rudu-x8fAHJVCv5{x_Ppi(fJSV@=bJ)G#VM>flOg<=k z`Zc6jE=LA@yjm>$`ZCS(8bdnC(&w}9%H;7Xn889;RX6SS6JE0_a)hB`2qf4zc9O{ zxov)|#&FzAUX(j|r<7BLo)2@5_nr$0O7f-qW6w;Hp?q}Pbsw;MNnx*peOFfTB~Nb}a!jof$WRV@LgJ7@7QT6(YVIw6goU-mh+?0pl{(;GKR3OKgx$Z@3g8&mTRu>J!eb z&vDYfg>kMac%}vh0d9Ah-I6~79K!C;L9@Ag0o2_j;ZA9P$xvdCGya_yAAYa}lo!jK z&udsDk8&*vaIT?ScANO#(ccaUzHKa%Cg0VAyyMRB?-vz; zJ;*;i^iNgDVxPR*rPCkE9DR#VRP{4*EJwubB2%nvT;FQK#e?QTMJmA}IVoUt7RM(3 zM;$FylgfPU?r;5LRre%vqZa!kgM+aO z46b+9R(EPXsIE8kb|l$wnQW9}pX$*rZ)2Ccqv?UTlza@9th!H2ZlZ@azUnfMD?oGd ziL>{?&E%puZPn#i5_|)OxgC5JeZWi@Bp*>u{Y2v`TfT-7LSF`Kx8; zC9Fzk+01MG%Xk2{XSK^+*R-C^4T|s*|0g;R9LAI!E`HpNV{&9BzA;UmsKs`2#1Nom zmAgB7$V|s3MMG1@HQG1 zzu)LRz{?wVbNBv&Vpe`*OG8@VpmQ6$%(&U<;qKIbh54BKjnVt?_Um_jmNR8abZyg^ z`HCRRe62S|wkxcBD=qkv8fucuGZrmt0_J99=_Y2%zGuO~xxa_uh8VrjpwWNam9;Xf zZBzWk#Zh4a0%!sw334Swyw-cNSsV|em4v3N`3jxw1Xkt>bK?um9aKS&sZ?J@QxH`7 z%oaLSh*P}-s0^nXOaKh$%Vn z9WXhcYFv#v@!z$>uK-S&%5KgpwPS}R+|21NjusGU`1E+{S$=oKWHgZ?OD!vBu`0j`KAB0$3c1Ygv^ zDo{)PZo{wA*{CDUOKamfroKHfH5YjUZ$~M8cyU@a9nhtGk7guIeDK zf(At5VwgE-T5k@`miRlkKnuYr0Zt>NPQEv zAr+Z@oKU{`RoQC>Z$($g%za#0s{Wu4==E5taI1cHn-1T!6z)18=98vp;Ivv)!S!VA zY9HMu;0?Scz?WF1u(hY2l7AqD2mJio|5cg_#=gZ)@nn4~&xMg4xwfwY;MRFC;o&pL zEUxFTwonkyj|;7Z7+E2abnz9iIpvx2@s(55ol@T$2>%duQ&5f58!yoWbZXR+Mqhzz k-u(^MJid$pJh1dU30|EBfdOB-xjGCHM?Aa7{mwYL;(d6oj|1S2ietr{1GQC@DMk|nytO=A|FGqEjf z>oyVOn_~752{U2>>UOq~A!?CjV@ky6W{jdN+g$tuXOWqZh_G`nE%Ol{TR7?Y&hLEZ zcfRvI&beuiTj+5Mb%!*Wj!^XawQ)Oo0HB5;Gq&uyQNL2FYl_qvF_Oj!h=MJ+h9*}3 z2MhM$bzz?k&z;+7y0dhtNd|p5P8Br!?~zc%=nd8HUTPWA>5dqOR4Nljts-s;ie?OM zMn7Z6XiraV>*(pz2h);N3=+|iNzn{P8J*$DlyJao6!;m1zIU{@w@(z^;RsDm0vgKF z8zz)vgM()>QW6-{OHtq1py-Z(4Xh@oV(j|$iZ|{Q7gx&W4QFR(vjJP9v#(jU@> zEl4nO(yD-^!G)2K6^W3F#{!2UQKpKjx6SV$--LEd<9xOz0lpMwhN$e=dN0fit21MD zTIAwTG^Kf6I8jSS7qb8%-yV|^y29gNg)&q@jjND<%}&^5`YYZd9dw^)ESK&n)o7t; zdMum^muJ}--@x+`_mM=H5S%|`!p`{DJqP{qI=WTKrsbW4qQ><4lFN<<1BnrvXX0S3;(IN9vk~xI$+MONd@jzr;I)^GE!^y5K zYD^;ugY{|!yCnAq8kupLGvLmNN;*|Pyc}8(SUwAm%AcWRb1E3(SAZi{4K>Lc&Uf=d z1a-;`tD0qSwXuy17>XE7Ra;U)IGzEglF~R7Kf6f`h1koJ8K~XUh7tIZGFbo3o4~(( zg*4~9&9J}bVd`@_d1=m31`1~oayc!7rIu40cq6uw9SK=1?9R4wXy94|C0-`IGbau* zS)g>G4cO!u5X>458PwBgoGwiK^Q$m9;ry0mU3pRM)Ji)8*21eCdUH((+1T(Z3t#7^ zN@up6|A2uF`G<*67s-$<$5wKpmt>z~u^c?PR`}%mOlU4PK~1rq%_m{tD2lJY7z4bA zgHxTE4Rt)00hm%?Ye^!!Rua$pqe`Ho#0V`Vv7GM~5yP;6y|OL5Zqedp?iy!w%%Mm% z+%C0*iL;$<&0gK=Sn!ovG}SfE57&Bi#L^k*J=$~ z$SO;USWG9D7OhHM?=k8Zk&VB7q$a+KYL-TP1JhuVNnO(c48F<~vZ^_cg)4=&{}Q<^ zl=B@RzmRRm&R`DtJ)5Ab)7HM;h|3?7L})u-LEih+%+a)@0GCc0X7Knb@Gn@%S8q{A z_Q$6X&*ph7&8k68j<+t;fZv%)$`{hA__WEO|FH_T=gtzVolX{B%w)m3+44Z;EDfzO zxEl63ULl6QS@&0OHVd83S!C~bF>+$<->Ro)Y?4o%)%kLI9g-pcY}I5I>9w)6*Qjw0 z`;1W>q;bi3gsKtZ72;29Jbl+X)}>?V!%I|nkryGu@-X^#mKX4e*oZ_SFb;u}1d39q z3XCX9D=v8)$mmYsxdPrI65N88LWRen;u+*nom1KVocMUO;Gy^FpEcSU+F9B;+GVuM b+lR~{p_g`ltT0c{v1MoGDG-AYI@kXXtRHFh delta 2597 zcmcgue@t9O9-n#h79MPYefxu5D4Tr?3;V#OuxzQca4q0oLs}G9s72eR5;$v2a|cJy zvlY9$?LxPlw$iV<8e@trjjbu#^jV2Dr1&ESNuwf~G&%3uJDclW(w@8EX_Xaj<}FL< z>E-^rmz~di<~!f{%y(wKGuiQ=G#-?mIc2_CorWI$^L#D3jiAVYjOBs9AA#$56WqX? z(&xYb{^;e|(a|X_Z93seE~g$j3h&D=)N_eCK@ke~pA7fQ0z&Ai`1ttY)A5OkS6$2t zjZd7uIN@5hKp2N8muqO)Fy!6f;1N=Z%At)IpoR!(R3-6Ii*-rsWnyv1Os4Iz*$WqD zv^6sxkB1=S{pIH^%qqr2(SXrb#(ha>#_%#R!#oij)NfbJZ1%fj27?Eq9>%+p(2dm@ zp;MD>YuIpg<-eMn*HtDQYgC>Dj|Lu1wOOf;@te9jv(Y4Clt-D3HB~V1%)>T5aXs(H z$w`|v5mth$1`37@=2O&oGZboSojrGM`|=sL+d$A(#GW4j7Zi9n z)Wi61lh94~nSQ6g+q375&2;H>)pQ|6jo)~^O}oC`vBSS=VHD$!C80ZIQQqDd|KNkB zp1Et+{A(9n8Q+_P?o1Z%BWk=2vv0n+v3gAbL5-9(ZA`*lYP3d^Ph@+7F2*lj{N(!l z+}zh?GZhuo8>yoox!KpZLuQ$=ld|8irV8yWcapgUb-ckw54NS_SN9h&Us^xRe%Wfs zD=V8lmEh-1Mud{{skJ&ai*z}XOOEB2@#yJ*7m_&!_Xx#Evfm-`z!mgpJY9E(8SdxwG>S z3SiWLYNJDnLAfcgD-QrNV^w4J(Wgfe*=4t4F0MQivo$fOLij^J@v&x zV{wqgQIYHl2a5mV>Mci=Ny*{=spCLL`v{C$bK#-POn&U_j27&>WEg7;!7DjV-ZnDsA?ZYO+g%vB15Km1X7&AFT7jkY^8mUzF;L7=KPatPxJ*gNQi`KmL@ z=zee3$RNQdF#oA|=ad@A$nP}xEcK1^5cPy0>xELPd+jY{@P}=LKIykY=upm-U=F@} zYdh@T?0}BVc_iw22gH_DP%%_a=7VW;zg*yJEr9A)D_Pg2fq_;D_O|Ad_}Xf=mq%8= zzU;*zujx|Q>l?^z6XBx=A9a<@!0x9DZI7IlcD(TewOe?|F5-di@SoU?+Z8G4GYn0BGc1}4k++wE3MsFaA zp4dWdhdAi;6hmO6E270Xzy$^9nkwf%wXd5Sp^3PS#Z$~%JCroK#>-sf;cUF0<>ll- zH}R}xcSRl%((uZkTkn~Y5}G-0592Vz_PR-pXYEfVpXQY5FZWpg*G}Iq#apMp%Ay-w zsvJIFM(}~fYs0cNd2EZjIkMhN#6M=0;QqZ8ii0EIk27jgTYT^zvP|Y6LnQWE|e;m!o_6lnpDN5Yp%ws4^n$T@<4dBL|?<_$p*G!zNeDGC)hbQ4ZGStzd!5R#|0Znv%QU;zB`vq?Q7EEqs*iZ&u*?JkuHS^^) zjV@z28YCYLqd!3_g{s0Hn^4!?W=7gOtQh{156QuNenKZ*CVDdY0VCjgL{)JHjOg=2 z7%$|S+;fRHYIw1qOZoa0ep<(w^}I1r{X z!&zv>_!g)_@7>8HBxUogRq0f%5#^X+wwQr>rSdAE7HJq?1+N*A>H;}ng*+YOwW9fz z8Vv(}-bk0+m5yH*#=n8$zHzwOh^=VC=rg=d2Ew9`WBm?rtAu?#VS+s|zD=EP#pqeM ztN_8Nl@HU4jWC`ED;bAcg`&B4S2KK;AEiT;x>223B)DM2@{R{HV33%U%y(eKr z?ZTs=&=^MQ(vcK;8CX!qKajLRlOf}&Lu%NPX-iMGcmQzIHm=L#_wnyn{pniH8>`J2 zH(`kys~^?KtL5A;4Bz?Syh%DDcOB-ZD$@6FwG?OgOE=0qH~%DBylRvC9>tX zy9}Hb#j13Oo`d41q6GgEv;LluN#QX{r;GIjQ5!Mh+_P_sTRYCNtp8K8(yuof94=fi zC^A=0GUxROW6qSQIQYvUv9RMGB8L8W<$nszvh-5_<-3nDU)DJvPL)8hBb8u?F%nhR a)j_ejVlw%WI;H~>r3@%Z{PVKM^M3_VQKzK< delta 2096 zcmaJ?Z){XW5Z~E-*WO{F*Hc1!*yFW8PGYrJXaFN=UPJkyCP1+V1hAZdiN*vD0|J`R zR|Q)lmg+(Wq>{=9h!8^MDJupPzaSbu)GugN@Di}0TF4QTen1gt@Aa;C{o~8#Wp`(G zXXf{tnLW@u=|JzK_VdNI*W|2@F=p~s#)@dt%F3B#;173T$^zTVIJ44HKTT|A(%=33 zP{&f|nZdx_O6}0JjupxG!@;m+_<`=D4=l#Ku7ojelP${_vgHS~2KaoLi0^YMdFvCn zp@GkLVn5yk$E=vi(Z3!p%4BC$6|NOE0s{f~QpPt{tBVKuT_0X5GZkdSrocN+-J|;q99+|Rb&)z(z@A(e}p6Y{7NzsF*0c$Y+ zF)Szfx5|1=91-}&0Gtto1J;*ArE0tlGY#jxHOoryr3Tk7cKr>FbXgU)`-C?5xMOc? z@?ulHn5*rmlau)+5A9Dta{@mmt&*21wyPk!-ad=TsocQ*{rrp|8Zg;FCbs{*}Z5vcD>0LD=RKKI4*65Y2nM=NLIS%fd`jt z5Y@8%``=Uh(2DK-{4mDL!B1WXbS4^Vbrc$XgpDCL{1a98uRste*4I1t5OZh|%H(k(eo!sl2A4gWAx&D#Fq(?ctmdR1 zOktu3@LQTMk8HRQ#EZMR7rUy#hmDVlSFtM!MC~*_iS&!qU<<7CX@m^i(k7zV`2cEF z&n$RWUuP(5KMXD$tEfB}>x63Jf0sJi3!i!20w4-?p}Ybbn{!BfeUSk&4}**O=Zea! zFNR0YQMsgJQ07GO9N?xM+@rpFhX1v4EQ4Ozemtj$bMkF7CLNfg^X;B42VKfXgpAyb zj><7xwVvXa!#M@JN{Op{@59ilh~TxMoWglQC+F-Ou7(T8rYy@>R{~<4kC!u9f1z|3 zZAEDJg>YxRn60SiTmu;?S?olvF9db7Mo=Z!4x})!$!ytHp3s12nnI!4vy)%;$m?74 zWZ#T4=#N6adUuKVCYokM1-3dD;Pa)umT$HzB|t>$5S4mFyfTE9DSA?8ZslxZk84mGnPB>+6fVSvX2$h z7%3ZX2md`Grchk^O!l$tIg1U6vUJA4VGM`U{$`8Dh%S)Q%MoA^`9&{y+D?SANWqN= l-VH%%Angx}F+C_Yynj+`4i7CdVmD~5Vd%DQV=6 ze%^Ke+}UfLbz5~0zPtDCKj2xmCb1M5r4F( zs33vfFS0-(QN3liJf9$0?cVUyw}x1vyMBK(vhO;$RZY{fhM0cb{W;y#%wMbqN%I>vr}_RK|ei6>`*vgJMr{o zY@v&Yj&l1*LFm=3+m&2%mS3iUoIq?1K(jSz?xjqPwdL<4pX#`j)67u681lq3RbQ+d z*Xz$oAMM(?mSf3@cuUgsVOe^5Y~?~3cX8XXL>I9{lOtzt)k${br!D(C(HOQ6fzzJN zMQ_ke%BMSHP)oBiy-`F_ ze&|4*i%7d?Yv%Ct>OqGeE?Bn9P(Pk@ru$|?#gw5%+Q}2gTf@Zi&@)`3(^}n}#uljO zZu!-|X9g?tPp8VSzF&Du(U(64bk5IDY<%#jOIs<97F;Dy#M$dCo?%@cXdqsS4tGhh zw%mAMDe5B7f}VeKv$#8B;Vm3*cUqS3S|_2KJ&AYge0@ZdL;(C*l)jXm)0L0C+h?V! zn5y`#^vRHr-sx{*m<+%69Oeh8c>`Yyp2DsjpI7TseUaCk;&mIefPl2XHHqC^_27N( zDSrI;i5F+)ukL8w1d4`2dt7cWxeeLfWD=k=tvosVUD3nQt?1=_+6ejO zDB?k>WS`ppse0i)ZMZUb-#+1E9iS#?*5M+tyoZqn!!MChXF?2cZmu-JC#T`}c7Ijz z?RGG<`2P6O#-$_c71G=DI(EQhAZpi7Mdeeelhf%3uguL~+TWnRtxvV2twfZ;v>A5t z5x;bx1VI%tiPE5qoBZrgEWy!}gkeuV;66M|SLR%^qku`spo?nkOm}YpoVv zDB_{FQ!tw=&;G@S|&*>ujcy)Y=Y3@4~%h_7LcCAWsquh7jGOPTqE4ZofOb;Qj!^FXSa)J~~<|^k7 zYjrRWL6Y{uyZ5Z^Hi(og8wrps;dhm*!sbA6(s}xJcbq%RArINyXSLIgus7>xp5Xm% zfKZ-burt`5QyoNlR&ZsSgu58^c~rxtWbwUoa=`6vS{P zMt>Gm(sOLK627$|;UQIA?kuz0aecjQrFV%9-xwy!PZN&ZojC|zil^j6JIu+Fs+{st z<2`Cbzf)%Rn8e;bPH-FFC@*wdjYz{gxCn}~|2VJ{V*EHF<|TL){o)D8cO_EYm|8h^ zJiwdW(~l80*>-s|*x(^jJab&_b?7krJ+`57qg2eSfx)SH*Sl7`uh z%Ltx@NzG9}7Kmu;4hWb>rzNwc2--UtyJdeaT-l)C;oL#%b9^%Z6P%HhWK#rKx;w19$!-=vx2@)bnmJo25oA`WINYg!1pXqqe2E_OUELKSnGC(}@+ zfczugsAF0|J#UST-1CS20S4O_Q}Z0-*4tg%W!;@v-Rba7InT4;EX;R76Wub5dP0cq z!7rKF-$5Xu0O7G^_aK^xdHOhR;ck$h)4J?{2ezJ*x$E<15RtL%3GU15S_2}!J{TGv zXc$8ab9j60)@7}jJAvXh88oyDixA&72ClzVC6Lv=fdA z^(F8xIJPN=UgW(Yx%xg#Ego#!fqA!*TKxTirawsFa}BLG=5z@6D6R9|`>p&*^f%at ziqpqPrZu_`6xb_yWHxROVI>qr%X2P^Hu&P+bD~tPQnKg`T!K{Cclfvwzh6c6rvYhQ z+v+dOMUQwUFYbed)6_JL)iR+%P+PJ}Y|m?V&?k+(AJ}ah{KHb0x$e8UsShwf3b5>nlgxNu60SQR@o= zZflMA7gXjKRvIl@zycT|{#)X=+4q;xld!SjZ)+*57+qhbQV`B52#xw%EVZH8fs=s~ z>*`xGk0JYKD<`&?__`E2$POK(!ORH|{@FfmD{*Vq^r~KM;RJ|LYhP|O2kSpk8a;_F zOF;l29IK2&%tMHQlbOkszv~eJ#+eL54T3`g09|Cr^a)3`clB)syCJ~-iu~cwY{zGg z_^ri{Te`{S=T)Oe^Y5?TUjb2CzE-py_}RAp#)}L?_6{lbTJ_#=UT=c`$g3>&SCnR# zigM5KR<{LaQ^X9~N7|o`pgt!Q8c&kikV}hXa}W`5;%hXIz>L=cIt!$8H=sa;S&m(7 zwbD=S=qZe`-Ety>3q(=fzBz9?h)T4XA2>G+kj62W9OA%F?C;J$p}|MU<`VYtLn{3h zj!c0Vl{q>+WF^}AXj`}OfRZ7yq_L~FGeIqZFvf=NO8=oNYaIQ6yW0mo^JGR@%&M$7 zaj(JppK`oO)>ck2>VyKBzO-{+XlK0)qScQV}c} zzgaa$<{%VcS_K#{YkWvr4#;5#J7EHw0%2+^WNFy$m~7qN-`vsIQW*s&gDb@mYq)$g zsG(ZakZV)miDl66bHaUGzOXA3tuIi5n4ZcnCF{83%2aC4>6-$Z^}tuJB@WgSVFWZL z$fHd9WQ7;cb|tioG#xRO;FbO)cmrh9TeySF7 zkaXB4?hhx-Enb4UE*0vG$EP8yq-A<}-})an2eO63Kns?V@<2u{)l>jWwp9tO@4|Rs z8kY+uRjM^=Ng!Jj4D{7fGTMpYKb`MXKSHzlYw5S~+JRpi^}6C&T`)DA2ngU)Fsd!8 zgg+g(n)q~}l=%O%g4)s?*n5gzi-D?`f0|`JP7kbKnd4oZ>w9S_nPSVL7b?C{L%@*> zC`quj_&c)rZ=^og@yN1^X^8(x%R|vc)qUKu_taF{#RR}Xew5!tfCbx@en&?!K_>g6 zYNJeeuQ~OCgqG^d#c?TA?D>DOYY0YyP-b-MbLYs8=3yrP-$1sQ?GE9x^7yGYt&D7Q zLpAJUX6#fznblJf5JIs02%T+%!BVTAx^*RBBvbPE+HB z#r1UmCtBCUyU!~ufw&nRlwcqbFQ$`A*#*iQh${xF>JDezVkm*RdTQG<_(;saMa;G% zF0ELwqYz%N-%%XTs800GihRxuL%?AC$ir9qD#yR*jy2x8cWWs(TGp=xrdA%wqZe&N{W?w`aAxkZP9~Q z*t!^oiB8!pmzspg^GaDm@L?iyFTbs9q5-F4{JrC}QBAwdMsyw`=V{c0mR9u_w%aG* zS%G}Sku`=w>zZZLj`%d^@}y%-E1Sh^Ty7%^iPR$<)N5wnz> zPkpLTgLTC+Gh{y0UagM0va1WE#a59>FYzAG^}1ZSCrMG6$j!P^d0zPU{BY!T%nsng z8E}QWeDHl@2a|$3&pdCbCGyP?8D9{~Whdpw-4sS5GUIVzA#w@^vb=I@B{OFzE^d8d zQ`NhG&beN(F!-E^r0;gur+vzpf9b+AzGi+@`pMO}_Su>0*v88tpV*SO=|d#R7@v-g zw8pLy&alVxqq{1=NqQJGNc#gZarZ73M`2y6pxkz$uhqg8N$_IP3W}D%iCWk6abdqA z@};eFT9x}4ey+sYyBc0D48$PTvfGQMgvB7rN}`x9FCmxDJVk{_ifc3qt4UKMT<#^# z+nUQl@%%%*(=RJeE%~*S%tXJ~Y?8UH7U*lJS4JFtJz~cDIj9+|OB1+2N2{f#KALSH zCEX;Wt&pc?-?BMx@dLYji{_SYx03*ht#!V2p&7DAeis(9q%mT^X8&f$t5n7<->`YO ztZH}>T6lCL!#kE#LG|HqdVg}_Gxo8g7CP5-e}+dX3C8Z6G2Tk;TZg8ojnTvy8|~C3 z-1W$A>ufJ#cO18YqXg+R_TS>XBK8`Ggc4>Qs~skZX>GrHDL5E@e4h=Z`a;sFq3AE638&ZlBK5J&AOc9Gt+V0Z5?699 ziogj2{z1KVepL@uSwK9HsvCu$p}-6jjZFVP+OYITxFHP42S=#F(e0cIe$>mLmV@DM z(5?9zbkH|iVKP5e!+#XP*4#st5%@|# zz2Oo6BmNa48;b{|ovyJDBsD+N>z(wkQ1j#04&-7l;c99Oi=>pin4?2sE|9=hSm?<{ zHw-+Gi^>%SbU_KRsr(hP7ibf+&QT&1Su>a_s~W>q{^O;aN*A7MUj++rH0a9NR5giq z@1}w=#)b@8Q!Yg$n0|Xmok1ypf%mR7qGA!Y&_5|5Fo52dH%f=7G65(rv}xmpNKiYdbx`l^G(_>uI)xOXfJFn0MnmUNr8!u_AVW_q_vH=2ItvOVrrRveHzQi4 z^s=S60Z#&^g&(piBxL12F4zY`s;G47ei@e)3#VF@M|ajND^|>GbIwzp+Bm0DS>W~Y z=j0@>7TaW18b%ZZ;#35xl`f_f8h%w-<#IeLm1B0UxrDK90aI;k^XFU{*r{{Y6r4;DUtYSE9>(SUn!qV|R1iZ|P(LfH1q`Mud|NFPFzxk_^=(3*N!)tE2{sX?R`uu8EwY?SGw>0GDjUTV)RNj*{nz04$qv@yq-05WwM;;OHbM>vQf>2+`$ zyS4sdtbke6>lty}@IOhDQU3lW#QfG}0 zJk_F#M4hKsK}m%+V-WgHmPDtK@cb20*{YC{o@YH=Hk{if@7%&(IWqoH3>hhR`cv#P zX}nBQSz=ilpsX#IO=Ug?>mZOh&Qpc1P~oIKtAyrO_t9HDM=V1CZW@6JdNSA23 zK*aD#Dh41#S+JX7yChji-6SU8EaspPpy0hy)Ef0nzO5guGavj+w?Dq)OAQ>2@aDBk z^YB>=p%8vp6R!Zt3)!HdVS|}6*t3*C2L2!mX~J`bz+^BXqY1IoV-%kBSGDz9Ohige3?`P3*o`)1>#_eNW#L33~2HoSi*g@uYYHsqw0?o|KpNIJ+IPYBqbh zTKRtYlRuN%?!8Yll8V}Qu&WHg^ zk3=g)Rh5>6i%T~*Rh1L+kU?*LJ=2zkHCGJ-P!D+h&)-R(_cp(nQxERYuZe!sL}VOS z+TXoaslm->9;PA9h9zkVkj;B3i*Hs`y=-t4_yYE!sA|cxbuFx8n9s{}%FKqX)5xM3 zSrz%1hisQ}J$+?K`zv{71ovxS%I#hdpkMvHk;80J^YIoD4_P|plB;Bbu0>N-fH>F) zIyHZi%T7^+bIr_VMIez))^=bdk;A4j=AuC!M_33TlMH^FnO;4ZH`$RCLjTpWi7X|g zNRm~d%Lvw-!CKBPN+YiWf?XCbqGkf8Q8V8^tk9-Ya~A0tZVSv$+At{2X|V-n*V~9*{1SHg-}n_4luG0001Zob8)iZ=*;S$DiX*QQ~E~C2tG`#&}xu;5ej*9mn<>JF7e) zE``GkHx-a>PpkX0@2TPvhjiGMHCpXBJS4H}a_XF4RdN21Vl+bYBt6hz|B$11^Y=)a zPNjcfQJkV#m=kJ4HBA$0=Rpz=Mk92e6ciO1L5csJ7C&XkBU!MIQJy4WHbh~v4_xFI zvCFD6#7x++hm!n(P?RNp{>i3<7gasj#~{zp>~_6IsZH}38kS~Q=f+go(vA-(De|<) zkspL4Lq1KSveZW~2J#b1_DK-$dGB{Y?1qKMzIx;_a0yCjvZr>f0h?(7OQT#Y z%)l}1a!Sit$WUa*g>SogK>@9Er~_hvzz{FuA(X|8HY^dl0DoxkC!38R%W2>gc|x;G zgw`RkVOUChh_OP>=kF=pCQRek8F6b-y5?99Lk49?ZVwQouJQ61(EMkl#>1T2B^LQ)_9tq9Zj`=dUsDeh!&c6y+&_#v}L-;Vb@QX0Cs+O$w zuKGB=6wy@?K-gFwBT*em%sy;MlTf~B*S7ly!pQt5`ZsZqUalWzu`*`GMdT3fIoM(q z4l{RD+?ao=WP!1&r}2(02C+vzL$#z%G#SenGyh?S9QiMQ7<}d2*v~@%z^Ds<%1uA0 z3l8W3BSBzfVml(}K@yQ*i^AXnN-pw4%A8oPHn+0{3~}Ly_CAA>ck|Wl+F0lt>)J5q zt2=$8Uk{P7T<^9+bhBCATIOoEnd?Ke-sqNjzuf++n}!KrSLW8bF}7P{`EiIAbA7R> z3XdAy8K$vi2F=B_wVkckv#W*vo{hgVmb|6K$C$5n%Pn{#vZ~B|Adzh~9t!J?acgXi zJKfseuXSOFg!#+_XY{4Xi&@EUy1o{;<&3&kn7HKy1Gsxh0qfGrNC?-8gj$+&>3IG- zRT&~Y!SG9FzclSVo0hK)y$R5hdU{>-rfNk`mhf|WSO$8yr`JJG#$ruRR$KI@Ku_-J zbDUG!9~rl%>-=_wM>8~60O=uPXap@^-lp|Gr>_VhaFDN>y^ z6uFf(#w=@0dU{>-lsaoD<5t#?VCT;6dwQ?XW8fwl%NinF{4}wZHDKd9y;$yAdgDg0 zjN5`mf|Tp@V!4xEBUr|5!GdAqI=xu#q}K?Raa*upwsU*2+)1wyENWY@$dGcKUMzRf zQyamewgrm}H)>8VmOJS+f<W?7>b%boPJI%`bCR@Tsv zaMf$&F0HIFnOtgR+=2)DHi)zQM8OAzS1o*3yN$uB&J3ekN*7pu7%)ohH7Lm?#}lRB z8{;TRxCYr4(9*O7d7=t@Yap4H<{+`ue(+rF>=Omy8v}{4QiGhdg`re}JW(6IHISNE zfs}Fk{$x^uJW(dTF_5xcC!3eg^{ZraqGEh&Ag6V*QIuA)QL1F4^&o!$q@vWxMwzy6 z|B!Hh;uF`^Zu^&N@c$2Bn#6bS5KY6nYA1;ZtpJB-&5Ia#WpEEIbG>8*>aWqI)f!K+<_>76k zx|7UB1zSS_vfiUqV;t+t(;_(g2Dv-xIq zvs;!{>i9lxR=aict%;4qd5|A0K5gIKrffU1`${`@Nz+W=N52Pl#@_wlhw87cZyzx)wMeMPPsS@%dE|{PFW8eTXQRtlb2aR^L>YUUuTE{p#j2`} zHP>;cnx@rW*-@H;AG8Wb{P6XdNjcdsusEadka3;Qm!G5XIUW2Oog>S24>wA!J&6ge zUQ~D$iTDbM@pnmdL^|MS{4I~9B=$(`@{|5gab^bJA4F=rdd*xsWrUF#5qfkSP2VD+C8`UjK{--r6(kvy%N&H<`uULU1ep~sm^ U;GtHpIXum7^_s(f0K7!~E@_Om^#A|> literal 0 HcmV?d00001 diff --git a/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.kpt b/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.cmp.kpt similarity index 100% rename from data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.kpt rename to double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.cmp.kpt diff --git a/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.logdb b/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.cmp.logdb similarity index 100% rename from data_selector/incremental_db/compiled_partitions/data_selector.root_partition.cmp.logdb rename to double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.cmp.logdb diff --git a/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.cmp.rcf b/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.cmp.rcf new file mode 100644 index 0000000000000000000000000000000000000000..944d52028315b0e9e0fa9f3d6a319648219849be GIT binary patch literal 1023 zcmVuG0001ZoVA!+Z<{a_$Df-|VXZHlG6&(zJRwL} zhsK~HWYsk7yU%tA6l^YuMqjF9xBmZpe9rMP=jZ2O8Sl~{dH{ADZPUaJqI3(cg3up< zO}z6$-`V=1zfR-CVcta&$Eow^CTWlcadff%`FN46zYWg$I$x3?P5lVO(ddl-T*nc( zb`tAAV6f$|VzGxX_T13fxTy>N49+;F^R$w@ul87F#U*ac8eji+1tTizIyNw@l_-Dri-ha3sqpe~Tmi->0aGn7t^l(NFl`2w z8&ZHt1(=)!Z0J2)=0#;*V%77CfIv*;wG>`@60fOuuvGzu6%U(*%?|Ur9iSB&>OxwM zS9f5Xv;#}OgG$QbS{Dm`HG)}ICJH+9Bs!+0vr2G?<|aOvdRBZ0{xU39vduag)`NmH zTX(kDv*BlA^-om`0dmaB7V0t%~ttwixUTDUgAdU?|hiI8l3>D5?Qgk z)Jk0b7YU<(3Dmy?>tDj^U&8EPg0@IhlWtN?I=CV{^NadJpXJ=XKGP#|j^L)8Be*77 zZ7sfif`?QI+`k0rUjp?n!TxvsQzfkacVYG~L0cr0+ZHJ~XJ&oRQr>K)a?g>*yH~a@ zx*+d-_j(e^o`1+YCMZ{KZaid%tkdmWeq5~6MJ>97)MQ-u{c3e_i!L^5bh|fNM5jyI zbd~+mBXvEZ>8)VVTS3`d74JJU>y{hNK#4heDfZd&)1gM zs`N}k_1*qUi|NZtKnsfot2VwZhQ tpykgw^1)klefj6H2FEF-ksWy}dMYJ5Jpb~zZuS&bl8d#T-aliaD6Dg^`lA2< literal 0 HcmV?d00001 diff --git a/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.map.atm b/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.map.atm new file mode 100644 index 0000000000000000000000000000000000000000..dbe5de65e663f8988441bce17bc61f15f6b3b647 GIT binary patch literal 4229 zcmYL|WmFVe*MRAUA*H231Q~L;bSMpjbk_xG1O!267^xAE?(P^-V(3(2MoFoGp*ti7 zkdPMrxc9Daz3127`|PvVv!1<9H2@#)pYtmJz|JP1tdIUpODOTz;klX@4eO;gA5X$) z8|E}r@#c)|AMkI;Y!9UBW${D7Tir#6to(o>cLVblRv)8h!_z;-vkI@SQPLyPfYkO^ zzZ$+NuOKUeze9C)gEF|LG|NO-8(((lhkXjM6-|5Dl3f&f$(_KQSJ7lufmu&9*>Diq z1=&3-+QvZYvuni4=hg#28Hb=#;ZzHHP|7#oim%tocDhwxm#&jsa#BlwQT&p%LGnBA z++ds=Y{yD?eMo@IG8$fjr$Ef#jc*pKD>}V1Ys)*g*26QGb;hFuTP+bq%yYk_+OO?E zMWdkB%QMieQXT@c@#6S(j-sOD1c7F{lDDhN!=}#{hD*sMNb@+4%<4&--5`l2#qv{` z8mcVUfE`@;o3C;ep~_;DSkH3}YahWs;P+k_6htkGI38-5f_NWy<)fLC29R^BGSm{3 z6aOYB&Vi(nO~VG}D5Zkq$A+c9^4NL&21PyA$S^-b$}Q!AMlfm!xGGgEcQ$n=Ml3z<``t9 z*P?VG+TZg!T{@OU!<*B93*6^ zCJp3oGWpv}VD3#SmDFY#j9c$DZ{8yWrP96*htBmVFIV#~WR8u68fJ+QP5v1jqcN51 zT=?edZx47kbJe}X#cu4IhOc5;BP?Uh#kkK>$A-{r$h&p9=F-VPC1DKYQ$#!Ji+exS zyy|+LUGAbe&X{gkCxHl@xlD|j)EF{x(+`;Y1-fOZ)U8^YFf}l9+6iNG-I>2~*xn8v zd`u!9X8sL0Irn#=v8MiyMy*ZMKv3B$M=BpT4hI`Gcc|Eu&nCRQ)bW()L zaXlCh*GrV3aYn!VJP^}zN>+wfOT3)(bXQWGch!-DwYP%U?8Ru*)3c=;JG-4x3O?Gd z*)j~q=8+k8p{{J~TGoC%Nky~onKuMR3B3#$CQ&&mzT!!gzHeN*AHDFp4YB1kI6y7x z*x!s@F?x=grCyz(a+cbhA-{HRm8Iwp@mz_C584!t{y?oPywAllIq+5n*WYz;pM@55 zo$=dI`eBj0U;Gh8d#@05f@z-#&Bfuq5U9+_QV+PbR_H^Yw8Qvb<$mzpw)6EG7k<8r z*rwSZHtJHUHJCjAyT79){{Yc>&SCj7ZZ0@bb(%ZszioC+>SG{3Iy8t$VA4&s`!p3(`zsCT*J_zijy$ zzGX?~&hWdZmSa|JAl07@!5}U`Cyif>>o4(WgUTqy2_JC^Ewd92WwZgPoQKFdAUqTg zS1u@=(K^;@tHhrgW=|i{5`;T-#`{Tr8|Z5J+YxU7$qCq0bG)U;C9Gk6ZOH#_*Bq#tZXh5@UOXukAhB@^_!6`Kch@>y1^ivKR_ETVo6bhMYQh zFNsIn0U}Y`iVH99Wqlp~)jK->+J7_GblmFkO2nZS{$ozI?)$a)9iD0iGL0*72?OkX z79dlyZ&md_D~lUWAY6~6Vv*NMom`#br?Y(pp~yq+qy8j<^r_4|pkqpei4@ZNJ%A}Y zhqLy{6^hliwzOO;gLOV=Cc57F$#bxV@YQ{03`eqiWe_1?_Haj})<}3cUT<$BecXG>4f^0A)@1GZjVZ%vn6{ zPk8hsF^U}e`_K|jp9Flz;Sm2kU8S~rU&j9uY|D}5e@%6drtaBs_K2I~{lcQ&tj4#z zCCTTSbTxjOx?@MYj%>Pev+?78_T(Pi3c!GS1qHn*so`2@Be;gRnl;>6MWGRWiNRmn z_C`h$@CL?KgaMwm>??bD~t?Gp2CFjNwOooq)Gwc$buYwUhn+eH7CNv40lU6%6f2HtOsO0zjo9jTW&o5usvPx8I7>phRQnX zMPOWp^%a(5g^X$C>m*B@m%eb{x7;OZDBWfv#aEc37!Z%8XTQL!jOxycqCrkc!N4Ay zSEj5#`l7>%HJ!R}i(O}4UB{KeK7S}g+&h0inCJPZ9hop9pzITPvNSqJ5{n)<2IWRl zQvHTOpl@1$glD!*zE%Cj2GOP@L-8Yy@%b?iU!Ym7;<2kxcv}2`z+=ADS~smPPb>IB zL&?r4!mQUy?4LbFa>PM2m>ADJsc+cMfrQWs>3uqCvkiM<%PPf1FRDXqng0qMC3R@Q8X;A_wyUk z!{(^sHs9$sr2ikuwi&&R`x8%P4)gGEf`E%hBo`xh!|53>YS=Dh4jt~&lQv(oq?>y^ z9beI~yj~b?r}L_GdB$tzzvsRg-37oN*k@2r#|Ro0-3z;MUyOq|AWb&9`fi6;yX@{? z5G>B%v`^qr$O;T~ySe-Gw^$AkgkzGj_=wtLnm6~f}byAqR=)ARbdtO>EB3gfs zJE$Wy|A^0l&2UwxZPkc|@`C=oGivcs)fyjLNXM38M%R_tq~02-BQLwNSXlO~MD?*O z-sfS)Eds~#4J}e-QzjMbqY{}TLUQZvTZsoIp6S>J3bc(A4**gXFS3PG7dO!HM?qg5 zwA0B1J*b%Aly?_sOsOO|T^L%pvCtT?TvE}#kYH&{DE>t>=gokqH-x0-LYsWeNJo$# z2+ykaibKBGp5pda!`g&I3=2tztR$pShR5Sa?*!oIi4k4IXNpr7`@{+~Ok%zBoh#}v zU>$HU%}f9?XCAKR#AKBP+%zwn@EN4?@67(ONs`R2{m>mqAPXmNIw@2EDzuTm zgaazYUQOR6#4KS&bs!pmPUMMypzc;M1-{S;Jc(N{@i|KCDUOAR+?i>b zjGjhqiw~3T#<<6RWnr)1WUcW>cgsmf3DvD0&PS4d&ZUM0Pogn~lW5OEL^SrJ zQuRCP51F@DP<^lMX~;+)++NVO8NtC2S3*%X z1E#^PbicDyjxmC1a(@a>!;&z^-oeE9qhzT7xKetukA|^cM4akRul!Wc4h&;ogUzF_ z4Hu(f8P6vMF|RB!iT({8rK%n)gPui*G_0I~P!%*4oH<`vP(42g86AAcwHAvx+A63% z2f2cK(Sps#y5M{7?_fl!=C(XR8Ps!IA7wI-GrGAksGa6C^!0@=Z)Vb*SrHu>@`npP zc$1tEWuIjI-lsZAUPn<^!8=GsJ(24 zf)zK-792U3&0j)pQDY?X!={m({C|30Swe3d-@}MJR@IN`z7Q>fFUj^eB8#s@+cd{{ zh%x|e*yZ1%+1oC=Q=#jKdXqd2p{hYNy-LvM{Im-4fCry`xX2hz4tf^cDN?>uWTIfz zoOYIg-=zQ}w)4T;q!&Z!0*W`x2U5UY=%FL_9+#4=+B!Z=JsrbedlcIxA|E zi|maR{mbgn{mjcG+04KO_?@8O2Cx+FZBVWiuxaueqy%dP^c2$jcVDWtO8*fBXPE#1 zc}*5W&|Vw@B2+~v#^}%}vFp!JYdx8$6H-F?KRs_Ok&4H}t4|93=*lJVTN#ui{%M%` zcU?S{Aw4x>Pu3~_-4)OsZ=-e9rTMkxdbC-3KtrGt&D#ryK1lAnI}rVlk^PwUMG~#PL@MUg-j$wfxHaAFrJq{rb zIoPcGy_6SYeRa9!y7dl-_us!-4MJ%ozp-S_P<;x!XUn%k8}VDM#h!=W0na?<&(s=$ z4p82T^G;1lt3ZM1KQsl^{||B?&ET-}ay@Q-FtsqCVTd#thfKLt_eu*^Un9K_+C`>c zM$C-l$y;4mb?9eK-T*O5EK#j-BQBYJRe_n{nYd?*IRh#@0Q~o-n>=<#5=nn_YniFk zV2c~j_UxHyALdg|cgd9?+QcAtNU?48@3&8a`rk^EIhL2mQt0EI$Ed z$x@4_DEV40=V`(V#);--Qr2!N%Lc081BG*;E1bgcVP_0BsDCS$W}hHgxRd>psX?yA z^mt5w)lcv_;Vdo%=0j)2@1Wh_0e`@}n9S%rC^USMa{Q#4DF-7~-i9m`_QB7N06dfs z78Y@%7@07ZG3j{AD2bJ-5WzEPa$QRq8_H2n+7@w*tz}eix@$=|sLd5VP}kNt)2CA# z2Q{M}jSuBu7}dttoD1YE;^M!cBNLSb;=mrBB)Giz;O5bTC^2knJ;m+z0MU%h@YC_3FTSK;soMa6Xz>?0u;fFbWL>MWyHR3Fh?oz?g%uu z7wMQVo>%gQ>6x4dtj3`38xYx5G+z|iGu_>{k#@9j1&dKY1L>YLE-xjq(A^bdT#E9Q0nnb^XVjusBk zPZ+j_`t~vzz-dWph;4LIM)R36yUTD9thUW%`$@u% zZfUrif^xE-3T5Q@i?W(@f!2NoG=IGhf9@k1MWFlu7nRaN(a^X!p|AK0559$6Tax90 T71;3mlbNflKlsO%x<&s7KM_S_ literal 0 HcmV?d00001 diff --git a/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.map.dpi b/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.map.dpi new file mode 100644 index 0000000000000000000000000000000000000000..5d6b23ca7e7d264ef39cdf0afb8ebf0245a8cb88 GIT binary patch literal 752 zcmVuG0001ZoaI^3QsOWaefKLeJntH)f@LSh5vV&jDlDRFpE_w9Bx`6f zO>vpokKfp0p|&vH$8Gx3=G>Eeb8>F_5_Iu4dL ztJ%1~M`%feWKwY=d<4|91=4YXJ@e2ddG6Sb{gF-b)lO@QGo1rr~<@wC$U-PM5fz(dn3N({sJ9X{sK{sC()O z!eoRW?yboe&>!87hd0(h)lT7JAHiZHrPvM`!O!Ou%^6jqJ@xvOG>fbOi=6DtpEsn4 zX`^HTRgYCdrh!VgF6l1>H=*L3if*B~&v9>Xh2Z-~F`THCs$MK8R(-NNEV2`?_gVg7 z(g9mi2bu*dGJ@J!vY=A^sme?}7+tCzF2S={c_;}7Dl4|tvXYPeJf8_1#&Q`;1mC|8 zFzJlMTskYlV~U>?JqPTcm}~Uj=;3Z~IkBDbXfoZut;s~VUt=ZtZZ-KV_-FO4|3H1u z)>%E#+WSkby|-I>|MsfMuc`S&YxTCFck5S^UsLmm*6QsOy<5MU{F<6iv{rAQ>fQR) zuG0001Zob8)SbK5u)$IsTMK=HCkmDVOfilQbp2U(G4I3r71J+kE! ziw{u74j(FjbfQV+yKe)0NP_LumTs%!A-2^38t5Mz%|9vR?hZ}z{ejWlbAdigJ|bf@ zGX9BmeT2qIL6{HCY@ai~pn2B2yF*WT$xz7&%HubB{DY&2aJtJ-k>?5Tqa@$a5XEH{ zie`?43qOlcUOW?qcpevDeMY3I9wGju1xMq@SvtG7=vn@ji;@A_;Lrpl0D&WEk|9)PK^s!UFJV25{w=Ns%l0BD741 z57ROdLyR?YdHs&zwqeQ@$0d_IB2xG?;kP}}`-Nl?wEc>-YF-h&}`U4$HXSaV*Ri~PS$UJ>iv-1#J^_>z*q>ss!iW(3E}Lj=gaM;j~-TwM{m8@t|rqyTCS$v`f0xTeY$qneKea)XEU%a)|*rF7kBM$gp2j; zv$q*9m*e}{^rM(@>&|6QPkNm!w(||luZt!gK9kh<+BIp*mHX&!+%Hpa^R%35eWXps zYnXmIUrV#F{5hR2HR+kN&`a{LDiJ_B%1g*ZkCOzdL(V)WxDBHCgKhN@9%A@miXV>i zQCx^0Ew3T)GCE%8yhe7-%QWygFKhxY-0?c&Wn#VIW!eg_5%4lQUgx|ltKntq=e(dq zYrfU-I_G6O4KK$!=VckdYtZpJ=QV2Ty`?Ml-V*iR?s%Q?vW%wQTc%R)2cq5&J6`9! ztft;u1Et;@uph@y9j}&`Ks9tkz1N|LIl5BsVLJw$Ts=>(K`SQ)s+=(3_84?>RpHgj ziGeC7Fl@)5ldB4^R!$65If2D~?BuG#tCbVBDkn_1JqDdzJ?CY&a>7>Sgb9yBK_^!g zUag$4RXJgadf&-ag_qsbd)ra!{Xo?FPOd7voTlCnb*0`rNW1UU>bY8Vgix*2Dpqj8 zZloD6E)-y3yjOtTZ&$8p`o9NfSHc2P3;0B#4VU1CR?m|^| z{pf_6mFTdczI?6s>xBaC>d|3rHRy(_P%|oY7izWZN9X7@IuonU1j7p5g|hAH(V1p* zn|S>;yS`0asNk+2-Ke=uSe9~|uG$H41C34F!843S$Bm|>~v7ap^oE|k94OiX+&Ac%SJ|a z(AJCz^K=itqZ5;#`N`pL(wu2cO2f8n8)Iu|Dm`)*$rG_wZBL_t7ttNzg_s?lltTvx zA+ZLL6Wb#{2(fKD11Ai^k>fbcs{C}nhjp+z9R1UeQzq5reT5~sdxK0Ee7pUYCg*hU zdvs1bHzUGy@9juNSbaeGT_pNDBnEGi_(`NadA8S!$ev^o$wGO;_ZTNPV65m>{m%fe z%qXPEY%%#0w!`?h)fn=B^8560ym2RD%eb2-^!;afh!PqwpB+T|*PQ(Y781wMPG86| hZ+<#K9nJf*)ERnO9W)tgeZ2X2b?f8J{{`)+;lIOJjt2k$ literal 0 HcmV?d00001 diff --git a/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.map.kpt b/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.map.kpt similarity index 82% rename from data_selector/incremental_db/compiled_partitions/data_selector.root_partition.map.kpt rename to double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.map.kpt index 1372c2e..94168b0 100644 --- a/data_selector/incremental_db/compiled_partitions/data_selector.root_partition.map.kpt +++ b/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.map.kpt @@ -1,4 +1,4 @@ - + From 41d662cb91927362e9cdbaf741006f2c204d3483 Mon Sep 17 00:00:00 2001 From: juzeon <812312770@qq.com> Date: Mon, 7 Mar 2022 11:23:35 +0800 Subject: [PATCH 2/3] =?UTF-8?q?=E7=82=BAdouble=5Fselector=5F8b=E6=8C=87?= =?UTF-8?q?=E5=AE=9A=E5=BC=95=E8=85=B3?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- README.md | 10 + .../db/double_selector_8b.asm.qmsg | 4 +- .../db/double_selector_8b.asm_labs.ddb | Bin 3616 -> 4105 bytes .../db/double_selector_8b.cmp.bpm | Bin 637 -> 639 bytes .../db/double_selector_8b.cmp.cdb | Bin 3926 -> 4134 bytes .../db/double_selector_8b.cmp.hdb | Bin 7124 -> 7660 bytes .../db/double_selector_8b.cmp.rdb | Bin 15581 -> 15623 bytes .../db/double_selector_8b.cmp.tdb | Bin 3195 -> 3067 bytes .../db/double_selector_8b.cmp0.ddb | Bin 54628 -> 55432 bytes .../db/double_selector_8b.cmp2.ddb | Bin 41873 -> 40301 bytes .../db/double_selector_8b.fit.qmsg | 10 +- .../db/double_selector_8b.map.bpm | Bin 623 -> 624 bytes .../db/double_selector_8b.map.cdb | Bin 1386 -> 1391 bytes .../db/double_selector_8b.map.hdb | Bin 6803 -> 7319 bytes .../db/double_selector_8b.map.qmsg | 4 +- .../db/double_selector_8b.map_bb.cdb | Bin 725 -> 726 bytes .../db/double_selector_8b.map_bb.hdb | Bin 6227 -> 6813 bytes .../db/double_selector_8b.pre_map.cdb | Bin 1430 -> 1429 bytes .../db/double_selector_8b.pre_map.hdb | Bin 7155 -> 7860 bytes .../db/double_selector_8b.rtlv.hdb | Bin 7154 -> 7862 bytes .../db/double_selector_8b.rtlv_sg.cdb | Bin 1349 -> 1348 bytes .../db/double_selector_8b.sgdiff.cdb | Bin 1225 -> 1227 bytes .../db/double_selector_8b.sgdiff.hdb | Bin 7176 -> 7875 bytes .../db/double_selector_8b.tan.qmsg | 6 +- .../db/double_selector_8b.tmw_info | 6 + .../db/prev_cmp_double_selector_8b.asm.qmsg | 7 + .../db/prev_cmp_double_selector_8b.fit.qmsg | 36 ++ .../db/prev_cmp_double_selector_8b.map.qmsg | 7 + .../db/prev_cmp_double_selector_8b.qmsg | 57 +++ .../db/prev_cmp_double_selector_8b.tan.qmsg | 6 + double_selector_8b/double_selector_8b.asm.rpt | 16 +- double_selector_8b/double_selector_8b.done | 2 +- double_selector_8b/double_selector_8b.dpf | 12 + double_selector_8b/double_selector_8b.fit.rpt | 434 ++++++++---------- .../double_selector_8b.fit.summary | 2 +- .../double_selector_8b.flow.rpt | 39 +- double_selector_8b/double_selector_8b.map.rpt | 12 +- .../double_selector_8b.map.summary | 2 +- double_selector_8b/double_selector_8b.pin | 262 +++++------ double_selector_8b/double_selector_8b.pof | Bin 524488 -> 524488 bytes double_selector_8b/double_selector_8b.qsf | 32 +- double_selector_8b/double_selector_8b.sof | Bin 240789 -> 240789 bytes double_selector_8b/double_selector_8b.tan.rpt | 84 ++-- .../double_selector_8b.tan.summary | 6 +- .../double_selector_8b.root_partition.cmp.atm | Bin 6866 -> 6873 bytes ...double_selector_8b.root_partition.cmp.hdbx | Bin 1882 -> 1890 bytes .../double_selector_8b.root_partition.cmp.rcf | Bin 1023 -> 1231 bytes .../double_selector_8b.root_partition.map.atm | Bin 4229 -> 4234 bytes ...double_selector_8b.root_partition.map.hdbx | Bin 1791 -> 1801 bytes 49 files changed, 589 insertions(+), 467 deletions(-) create mode 100644 double_selector_8b/db/double_selector_8b.tmw_info create mode 100644 double_selector_8b/db/prev_cmp_double_selector_8b.asm.qmsg create mode 100644 double_selector_8b/db/prev_cmp_double_selector_8b.fit.qmsg create mode 100644 double_selector_8b/db/prev_cmp_double_selector_8b.map.qmsg create mode 100644 double_selector_8b/db/prev_cmp_double_selector_8b.qmsg create mode 100644 double_selector_8b/db/prev_cmp_double_selector_8b.tan.qmsg create mode 100644 double_selector_8b/double_selector_8b.dpf diff --git a/README.md b/README.md index 7214644..0aeb3b6 100644 --- a/README.md +++ b/README.md @@ -10,6 +10,16 @@ 8位数据选择器(二选一)。 +引脚分配: + +``` +K0~K7: a0~a7 +K8~K15: b0~b7 +K16: AY +K17: BY +LR0~LR7: Y0~Y7 +``` + ### register_8b 8位寄存器。 diff --git a/double_selector_8b/db/double_selector_8b.asm.qmsg b/double_selector_8b/db/double_selector_8b.asm.qmsg index 9217dcd..9137121 100644 --- a/double_selector_8b/db/double_selector_8b.asm.qmsg +++ b/double_selector_8b/db/double_selector_8b.asm.qmsg @@ -1,7 +1,7 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:07:55 2022 " "Info: Processing started: Mon Mar 07 11:07:55 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:22:46 2022 " "Info: Processing started: Mon Mar 07 11:22:46 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} { "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Info: Writing out detailed assembly data for power analysis" { } { } 0 0 "Writing out detailed assembly data for power analysis" 0 0 "" 0 -1} { "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1} { "Info" "IPGMIO_CONDONE_ERROR_CHECKS_DISABLED" "" "Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled" { } { } 0 0 "The Active Serial/Parallel mode CONF_DONE pin error check is disabled" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "241 " "Info: Peak virtual memory: 241 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:07:56 2022 " "Info: Processing ended: Mon Mar 07 11:07:56 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "241 " "Info: Peak virtual memory: 241 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:22:46 2022 " "Info: Processing ended: Mon Mar 07 11:22:46 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/double_selector_8b/db/double_selector_8b.asm_labs.ddb b/double_selector_8b/db/double_selector_8b.asm_labs.ddb index d733dcb6e5a2e2a54975311f9662dc2cb21f8e34..17df1229ae10b977b668ad1831f548edb32765a3 100644 GIT binary patch literal 4105 zcmZ8kX&{tc8)lFuMIl5olo>KHjYg&v5t6a*5i^r9jV;?)-t1eJ&%VvrL$XFlk*y+2 zgOJ`3Uec7kOvsk+8R~oMd!8T9bAFt2?)y6Tb=}wDVPRn@=VE1X23}?0-<06yPP*j` zLCYc_s$`O*Ed(i#f*=&+PM?)SA`obZ5y8!qbb|oV$K7y%AR$IqA!YTu(a~*d|0e^KP=#byFcEnb6Lu<@82D|gC4fP!aKNp%z_+SRJge3UblqT25}2; zADx!cJ?n4^+cL4|V#~1?IMJ3Mwj33~cOu@KGnz|{!g!L2`#a&PWfa1;k(#c#^r7O% z7oAda0Pt*-4a_#THAxHHR?KCa3{+A%2H}b4>|mQ>U1IUs9s1V$v@CAj>iRq9RoaNn zxbOVa?^TNNTln>?==%35`HgTJIbFhsiq(&^^x9e70r`3B*-tn|pOpvA`(on(n&jiD zb69Q}mqy_lX56&-^tT+JV8sC7~^>+mrkDB|#SV}>Nv?6d5ku;1yzM%P>U z2CEid7hp8yiof5Uogb^cbE}S&|rCQ6V1GCBz(%I0}ZNk{pSIUGS+rJgPa+Q<5-l{X7%VJcC$`&QIr~hoW&k83zqHY*fXG ziSm!B@)jsn>WDEE%}2y+w=(EY!K zdXt?(eS(djb5JDWAt^4bP=pVt{s#R5e7=X%}SYWC#=z*$z;q=%2^!k=;>LiDb?^V z0e_u|l0akkX|2|eKRShIlL*3z3W^>efZT6$bli(X0^k`ZI*VL8{;&go^Pgh_<^9A5 z9U_z#WAi1&PQLiiN_MvLL*)kWg|?nTlYRQB#_W!+5X)*7K8hjRps&`E0f}}yv*9>@ zBG`R810lHQxvv4`{Q0-?q+!x`*_bUt!)qr-j17HPwpoS@YSS zfw(0mkBqgj7uu>0G!>Cn!?&W$hOY}pa=VHOPRGL_Sm#9^ds!Wz1PlrjJw|Mw!q#c< z;+LK)23(YuWX#QBLDYOtti3MketJ9JXwLE4Jr=_6B-8IMrt?1M-EZt(vR2S!J1}!` z-$9vq|JxNXnvKSzYezc@QT9R-N25cktyT`v{k4=bZ1gC~@ue4p)&dcNiH^mwn{e)7 zcqkfiZ_m%HI3hr;AEua#o#c?xJ_)K-j4!tc1Y?SWfH2Yyrebr`jk;mWk{PDbDi(%^ zD%*nQL`~dHhqq!Ao~mm$pe5V($8KCpR$iJCwVQu7VZ<4j;_&B zC^rnODiF53Xo|<;5+WV3`+$-%KzVsG8BHX-dyCG1r=9J*NH7A%<_`!}u5%cBU4!E^kkL^+V&bp~$ z894pP(8jd9&wQWz=9YiJCCai&OF?fLes5LuI8XpF$X`jWW84ba)pF{JdObWh4@3Ys znhB6Hb`KL(yLsT||6YmLSMwa^=`E~#8yn^VctDVeJ^ zMgXcwWz=r=DWFbwRvT>iW3{^>VccLGc+a)t*YdT%UH>vZ%#AF-h<$O& zVp+09v>WJ`opB6SN{-*VHez42{SQ`(t~5a7Ls#OjJ^_U0`f8KP6Y1>^DRD|1J(#Ij zn6u`*+M`yLsFHSb7~jov*N$Ti1MO{>UvBd0lTXmXB(zK1WWw8m9I*=f55=}Uvyg>9 zyNdybH?w{{3)#spfBuoiSY>s8Eb{SvjGnxbZXPDNICw;1$TYd|f_BNnkFIXNj#W=Z z`&z)=e1JCqc&+KX70Cpz)(%kadwWXIgI22M!OX(Ud6V`#62{#Yq}B5pJtv5|d5Rg} z4(cz)eZ(l+n8q;Mk`_Nvo)s;>%T%2n$Def9hjt}n&4VqgR!(@-XfWR--ejFp{@kC( zR&Ma(;Dq_n%#Q#ZKyfz=*mm z+biP^_ZV$>wFzJE#1r27j8<|U7t6(n*X1H@)>*qaZB{Daiw39VbYI9Vtx*-?Z=T0S zsSBvFtx_+LW30=RD#fRSs|MQ!7iTAn=aE81|Rk0NP*okp4l`8cS- zAJ=`lB6_%EDZ`zV^lfxz2CO1e$t>>z1^GD7ky7WogRI!*q zoy~=otGc7SaFmV2`D%;(^V42$?`63>SMSjSRV#PDYVm!oYUML9=IpiZw~s5O__*Ym zN3pLsQhZztj}hkyjh$C-tMywBvBWeJT_NYszqs((?`V$EY2^j?Tr zt65+BOr=rtH}2sT#jUeIxNN4sE8Ovp=gcH!=D~(w!Q{F^q@S!Cy7;|+2Ej)tg&BjOynMTK?tdNf-^5e>c zS(AtibH}Kxe--AZwvB~1PQ|#XY61@C|7?R}iO-)BblKqqv-trEbVmBpZ-_1KNYkPa zIw=z!Iq3+65bbt7kXN$&maj$dap1N9Gsyg{C!zl>LuQ8qJ9iEz0Uhpo1In$H^O>xO zNKC!W>G0Xiwo1i#9oAnCDZ7kC^c5+4)0l6P{5Te&$Lt-y%pF~0yDsOG_Sisn#6&0; zaH*&er3b9C#OQSA@mx{^&~zd|dGXIV2tXG=KaCQ1-7f;r0q$|;XZO&neQnT7$&}^? z@1K40`R2oCGft+%tM&a0tBbi$uPFd9Zx>~*Sy1gnha~O#r1NQ4XAH!$crb^G5U8bY z>lY2yfg&r|sSwSuI=xEn;#RnS<-)lao8}MZjGF?d4~7eN@$bb6QNNE(s(8L7i0M4N zqbM^U8A@?UPZioICTS2TrNW=9m765r+vS;%4fMe3c=_v|*>OCRrp$ojkYN(hcXztv+#FmA z=s*(L69;H|-1KeWTD`sP&5Fd|U;#lP0_^y9?6|j>=6!EiZ@jmj^4WmY%E#jWfpF|s k!t&-N3U+819%&eC=ohmEv4!lX*kTp;Pav6B^X={b0-YsPyit^{v%n!UrV^YNU-TjRzHIJoxH_6jSc6|??;)hsfQ?O)OK{J4Z^Tw4Id)c zSblx?N}laL69SXToMfDZsa~-{nAOWGn(mrW4OjY=!IRVOrnjM;;EFL>*G%u7y#{YF zv~qMRrk^82&wz~819yJu!g~%ihhcc&U7vr z3qSHDKVQ57pH#`aag-Dz$A%*W<}7%7@h}y$>uC%5{s17!>YbNAuOJ|`6I$1{AGg8B z&YTD>L*BprTRrTmNK!A6%HF+vfNq@MiZOIV_<0R3kOsUa!}6b(AL@CzvBo$*^nmOK zUTkGz)}$Bzb5CY2-M`dnf}3d`)t)&cpCjdp*YVrxuS{I%vXnM@EGQyTAKEQ=RGwt6 z3+^{NT9ZC5uwAIcE6r;6G(A|!ta3L)v21}nPH*T6oVPr8Y5L)giGxC|Nmf=? zt7Ht`<*Ax#Ne?z?<1Q}lmxY|0o1NH%S)7V-Z2N&5W0*iMn$G)a$S^?YCHVfzhkGqQ zW+o-p&XNgE%rV)80St^2CoQhsFCLK#u3K)Y8Gteb6~Q4vH|O||M_k0*v@Djb67SJk zi0(kfIZVkXfdrJ&)t@3tPUZMGPsY_{Y>N5nC!hB886t*SlKbf8#*@lq2R5&VVr@ZK zu%yWFm+~i!3j6Mz9q2JF>OA%~rTIh9lktF9@6BsH%8#4h2R$&r#m~E0h&MPR8T@nJ z!3@4BJvG|evaix64Dyv%dunSze1??W9S#L(p@3>W+QtyPqSbGcM};k9K%E< zTqY3>9*c6J%51}2!RVN6;L}Ob-yRm?g*7M_O_*lX;jCQr?rw@|Thr*`R?kXwS>&78 zOuIs=?LJTEBzOtREW@g>uR=(GLVH3aqx%@?BK19HW~j1)*}kW4kAN54Aw%lmz2 z89h^k)2~8qko(12$IAw_=*)qP6qY56l+TX~%!BD)RLmv!@{}0HM6o*nHT7j*#{za> z{PT+_(*m#w@eByivVZWIJZG`;%Rt7VZ%(=C*~1bp%;vz9?#_pxH_v2uM7}{LvoH&7 zyIn}`;H6mP8A~H|RQ9WCWA%iM(gE%D-sn$^W|Z;VCPX(l2?a*k9i`-_t|YI8(!L6b zu8#e7z?pQ>rJE(^*PYs+Hvr;_ct!3DQw@)m-ybfs$R5^QEanS@h0>*3v zTx3Cw7Hu=FBLXK_&3t$wt10i9MfS(f<94O9A7r8La#5zs&}E*a)M!n$ZghTvFB@fg z+Pc7;g_Y;b`Tt8!Z{(r+ki0I5I;$Dc7qy|<58b_Cci&bmOlEFW*L}vqnn4shSE}o+eWw)zw*5x@@zb=~H6Ts7sO0Bnzrt>w0(?@A;x8yJJwY zJkTo|2Y94&jQ`XNl@4w3Cp!2~vV6Sm+*0Zol;8lomG0_(_$Sag{*uZ!_d)WSXHm%s zf&G>NMkl?)BFbEl0|(9*0k3Htovug@t&VnEHwtkKGQ4+wSAQe!c4<{rYKLb_jwAnc z-rZT;9*e{||Im%u+e_>~IE_3+PBr<;iP}R@&Yt-1p5rLR-fHW)7Y#U;H8yPkRnhDd zs>y4rXsdRM8%bvT&==aB*QPHwRyQ<(D^~DFhH=(ri5E})f&V|xkGKoc(%RhImEZ)g z{mm8W%eL2ZQ>csRzf_uiw5M#TRMeg^JRRh{+6*|fsJb>U!WEWPg}}=Bz_q@Of&KT@ z=J7`UaU9fgF~6q~U#&hNe;lGPzNoetH;5zZx)9adCs>TjzQ^0QgYm!aF8Oz$B9em< z7D3}!;3VAw?xi%L^qW&RAtq2|X<^@^+ohVsHTGC`Az3Rzt9T#cTcRa!p+8y3-oYHP zU+KEKZr{HM%X>&*)3qx$88A;j+^e=brcWdGP~|_`4L@Gh+P!o>v33S{t~S+xX7<)q zU7gWtX0(Fuw8?k$MtM&o{QE2!$1_RPgD>= zaN|Nm_okX@t%3`o8&|q;ANt55ns#EEewiQQ(w$!+MMRDFgnO?;l@tWQ*TC(aIXU-r zW@w)0`F5pJc_IH@Y>N7Mrhc}_ACY0*&>4OPKeRsUksIr$`e0S+eTl5LADkUGPfmmC z8Y8AA$USLQa2kRv3rCTWaO%QYU`~BkkU2(bifGiHaG+yR%YTQMal~k?2d-`ogoFDm z@>B(p%trG(O3xa#!cj)m(AiZDol7%gEvdNP(0V02Ga!G}nhhCD}i?EXoysKd= z&4vT7YlrPP3lKs# F0e&O6waEYg delta 387 zcmV-}0et@d1pNe%R(}Hm00000005%^00000004ag00000004La>{Lrj!$1(OPgD>= z@Zw4IZmQYVDtHij@uUaup^qG*X*Z_n&lHc|{1qZ1YMd|3j7yXh1i{zBbauaf^ZIs4 zp6B^ityX&>|6Oc~`gx{)Hpw57VO`T1eg;3ZKI@Si>!Oy^qtg#oI9<`58g8CXG zrXk23X;pAqf-DP1k$d4Zg|on%=8hn9j5HL{s9oVe$D)@14l!lKXsrjXZuW(P`z-R* zlNkXU0l||s0V02FazTaK2VRoe^RSnVyvuPX&Bi0IZ^zv@3`Sv0uuX$j*NcX|G#rG( ztP=Uyy3m8Cl4-8F1vyv8dXs6Jdv@2vd9%HDEKSrPsoC6oIv@{!Wxy_m+2z! h!>xBkSBa|K3~ zT}2hYySPg!eo&yTRBRVpDqt10(25J$u@P)Ztw=xwq`PTp3RY?rd8wm&+|llJskOm?;T|kV#sRaiJ|aV9Qw8($az7w<9tfkN9DmlONK_ z<-$9V@t@zR&&MbO>-**P)c-Tp)lg6U(_Cew_0%6~`{Rb#{!sl_kNwA5oyT~ZC&`U3 zbahU9Ye=zn9hQF5FkUv9o z*KP=}Y`yHWtCyu`pPP}7HZoR5##rPSe}*ZKaiS{$Q@I@v9KLOt=y1f?TMwrL}m^8tbNBDmV@1zqxO$vk-K@hN;tk`GB@BuSDv<#I3bX8(fZcG5N?qAF=N zK$bzq+`dVk`I}chH!aRB@6qx@<^1|D|0;z!PyVXo(gyO%S8F+?TJN&H7nRf$&ygBKr z%TjRi$~UU-$dy-qn);sWeO~zoK3!XWPg4OQ@E{tdemrOPby%)G_``ITCP}uRI2)e+q|^_Mx7+4P{g96)KPvS@{p9LdQa{^I zu7Z!Cv$?ea{e-$PWPS8C_0dy9^wHLfMSjRf&qc=4qk*w>CH#ZaGt<=oA88W<#?sB| zqcxGSbnG{!A7pXw1jp70j!#8!oH0|JnC;7R z)d#!1YzWP(QzHAU z(7Se5198;XngKYjS0Aj4_+U=}N7ol`89^L3s0}M3HvBY#;~5bg*9Xor&sQ8j)`0OC z!tp-!!G?$r4h3+Wqc$uWL4O?YR~v4M*sv{v@W8&6yGT?zEZdR1ZXY;^#(-DK1S{moPP-FSMhXR6?Gh_ z*IFLe7rNZB^7o zpkj0yr;GUJhjnXH-5010`@*Sc;Znr2Y)iEFI#8$L2?y35mFKgIEsxWOyj-<>-;4oA zG2i&Ls%!M~vXvQ1Ip)5a^<(9jm1@?H={H(``2FX4q1;IGM~SQ;#mj}0z1f$-n^mn9 zA#KQU*W*VPl7Hh>(3y9kT;|>6DTt5bXD)gG^pmAL9 zwu6bZY#@#0S-vOs2q$lXoALNTAf?^jRFpC-=2m%mivMDG34SrXY=O$O?(tiWxgVr5<9t?&_!ZRT3KJ5KC zhaogGATcI=eh%9BB?~pKoL_JQ-RMLtaKJA>Tw8a_%ICyf4?(7@nvY z@*{kcVSmU~lNj>eTmcNh-OI>1l4C724&5B;^Ns8{90$Hd#v$~p1ioSw4S#Mm%)U}(pG6*Lj=utPe0QyMWo|q{ zX0&gguZCW++Hq3Bz-fhoicUkU!%$>85>ELYUN_*~H{sh#36Ue3$1un;+7NVuV`vUH z5X1+)-cZ;L2M&lMn!^p)8QP)XTJjc(THf5j5C-)mTH}JM&32E$|qJQduiRTTis8sL1p-LF!#KK@spD3%i8>oqk zCFJP_JR4*gZK(N%*=RSg+d9Q!Dcz6_`MtrpUxA^Ot|!V}J_I4eq)J_^n@= z#sYg$EIf~|g?~Z>pCK>1t|n6?>wXnI_lU7>vmEjaGb#nt&$M}1(*^`4JbQTo+<$yV zh{&8KT#hp!lW6QHEVHAqOdgIXb3{+v2y~%_?bP$7!ZLYLNJB&>?`(LSt`^e_M!fW( zAtKWo4H4VfF^kFMw=|E_)nb~_lOLlru=T&E{nL1TiD&%Jq%u*#xLQb`@MMwAnFljbs`_6oS za%}4GS6PFQ34MA|i#2@P-RuCe2IDeFBer|v-Iqxn^(&3aGMX}p$kg7<8oo^GS+_sE z_hDZq^{k$P*{Ta8pyzP-6CLr0;||9exXH#=@fQ>NlQ9h@&Bkya)$^_IPk#^1B!fHW z`n1@`J;}`TDc6AAg(s79a&<8NV{w^YEy_GMedf;UZ!$V6MC`X)WY67VcX1u>u|-B_ zkVI!@TP7-&6WYBHclwYRSYTK~XIqbtRQv&pBnEezIVvlGvP7P=dj+oS;Mrh1TE)ld zN~SDn_gehL1^Ms|+1@MDk$-9bya<0xL!E5EA6{7fxB}w3MX%my9-!Z5<G18qpQ{(L;~wR6 z{%|^``iUL81#IJR8^|Y=k3(VVhs!rL?dZo_2KjLF(b|EHlA(mG9e=nwU$FzGSL{vo zlXicud`^x~eLssG&lRx)!Vh8%rG78gvICmU!~T+d9jd=;H?>3BeU?|tz{7qDsB`R) ze%cDZkdJ<*z0MxAVfze)FkC5#{pV;TE59k9w7ZrA6|!pDfvV%QU%d~!zPzj*52+pO zr+)uS+Z8*yJv(ZRqkjd`nC%CCyQw^}V}si9PqgdvWyi*OyAT27lk`>r7QC$jOZIoS(7dA?S_{v_$s(idZ>_tSEX0MgCg{z7p3$M z@Foa?7Z3KRC-G#URP?0SgBL+(CU4Vcd@#Ft%x7l4Z)Vc!1$^>oC(uNt>_kn~9o|yg zIB_bfhwmT!S?GmB=-;9veF}IJpQ1`Onm4EJw1HB}9LC${tto#Q2eGInJ|cNGSGt&E zvOmKY@%Mi^UOn7fqGSL)d;-wh2pXY*HIY;Lx_Pm>fB7f_9-G0p$rOvtvQ@h3gwa*m zu*zr`1vXYUHW#lx@8=Jk=iv5nIt}CORaJ3Dh~;{qMS@}VZ`-Y%BOEiDiSdeJ=}dV^ z3QLNPG2j(l!4`iFr>V8HPMeCn_5I7>I8$B#>GsKpGFHaX+sD7}A7|_&p8$(pm?EsN zczcby4seD~fEB!l&_p%J=xQhNx@Zw-55|b|O~uloYS{dz`8R$1u}$>;(->;I6Nw0o zmP$Egwk~aK7GVN8$~=|L*2RWGh9OQPDpSlD&=yb(O|XBXptCk#ul@Sb!x~EHr#5t$ zZ26ZH8@nT1zJ-V%J7d~b3Po}lY2n%{j|ADSfp{hrQk=Z0AV aEm8(y0gMpr@3v0m;XJx&;(q}E0RR75DiuNi delta 3867 zcmV+$59IKsAl4p`PJi5800000001)$00000007hj000000034G00000004La>|A?{ zT}2r`cclw$DHgFnt>{v*5hFrL*W+V_!EF0z^ zssA6cE1{kGr`yU%+o}I}hwU%!u>DT;*AD+DYo1SWI8Txtf4ODZ z1=oLM`QRUF?|;~^>7->xPM*8`vW*9q*S-$4|3XOz1CeD#|2;&z2+q1TW*#*QImRDjs9-$HmH;{J#|zzlh8Kxb z1Sg&wPLdaK+`B3k_rIwR>%oh9_`b@xC-ce5k98}3kdPk%>rx3vP@t!`6yKZ)q>K%`Gz)1mIx zMaHug73l6mZR+lxi0=9#em7K7ccDJLCDNytUS$wMlogk|_`K!!CU!_5`QaG_x_@n!g|$T05)gD@7b!`^Qg9UKXi-}`W} zovwzAa}ig{SkX;8{W)N#5s~rHh@EZ|JAY9VuAQwfZZs;I(5C@cub_?f71&X>Ruk;_ zMUnB3BIDBmJ8jr+*1(~?)#qey<@dK$;;U;au;VPzGtcT=JA6rG{M~g1VV@_X<#Ff^ z13V51kHZ!4$bUl? z27m1Qn(%mPWbFKFWbC~A!Q!#=a*^?t3dR+lLlnsf)<*zWdm`gX-+|`i%B7Jro9|2e z{0vYWb=-cT#BI9EbJM9kG$@)c5ejqa=d*25n38C->W`` z9C?)LNQnDuKuH@}#6Gs&5q~$uEl^`uB65Fc+T~7*p7~xxd=o_5N!?%oXDtcj=6=vx+W=*|_W`;H(0>~d_aL8J>Rdo@ zl;%Lc;Biyli{-q=;pSJMB_~k=B{%m1im_0)i!(9dh9@ofY^ydS=DPU|pssGpdoe!i zDrpo6b#o7Boee1WZ*SG57kv-AAi$-to=^w$M`4x+>`s5b>7VkQoh_^LSGG3_~l(w*2R!G;jJw< zvVa(SWi`WhhBW1!EY{$?hW#M?5->iEo`M8@TZaK~Jet(>1c>Wwx`=K=6o@w=5XLe_ z7jN5`LFKYiVIF57q+c^&$}pL0=FMCDS+sQUg)~$!c#x}0_RC?DujUZSx!gy0IYGoHv}>C0k6-t(E#Hh<&-oc)lOed@?hp*0P!owFbE zK2ixAa(?4nhgu`dhvq}BXMDWLoeyV;4SDIv+VHqq(}2G$aEnzo*xAtEQu*(O9#>&I zVLk3e>WFk@8VgxQz5Co7+G6!XVGOi72{E=3ioB&+{qCv(9Y}nA;fK!*O zQ}pmm!K;e4Q%s4O0^XhQ{Lnvb^52PP?l*93aFV>H4{z-ryEG8XsD?AG zoIa6Cc{Pv|8|%n(!o<5mmQjbMTdS(oz%xe6SS+O){7~!-Rt1}YUPtuRz|UXqb&m-w zSbsIx>mI-_eI`jSiiIa|!u=;i;3?wLbtSn0F08$17 zCOmuDoohNm^E_5Fp$Sb|gM*HPDOsI(d=BJg5t`4Gruq8PG@ma`lNW^~MEK;L4F}WK zV(Q7xOAitvG@af+Ok0boN0W{zAwrWoDSrpk)?(_>Kb7N4JmY^nr-=&6 z)vfWHUR|0TlN*C_U(3^UYLVt8`8!8$nYl6` z*4s6+=C0{g+)cbn3QrepW_To(v44onb|bzLOD6v^+SfQ49_sLV142d*)o7(gJScLD zfvFdyoHz^|R?X0wCe-&B))pYC_>%{`W`RG2z|VE?G;-8V*F_3j;1S+I!@-CmgzUKd zEfD@-U4`X9F&=QP6M3bFk(3TOJ@ALt=Z_iwo(sxh>g_^B{HfOkQsKA(zxtM`tNWX&X`a3u8}J(hw4oM7uax4&gSUNTZ9`EpEVG#(q?PUc8nQ6QNr2sUomumDP zJH&>@4&$GZW6gjblm{MCaQvfPj#cE=_DRs>_#29t;uObKuG>O5g!AX7&B~7z&n}%@ zJAgu8T4DbO00960c#{MU7JsU=hYAXoqVTd=lP&M5qk2X zl>PzU1VQlP!5;M_o(zJNqTXdvP0dL|{RLMs3=G475P)eD@c>BCHC4b`}7PZ7jB+q6` z7jsPZXZRxi{!hoNM|(?@44{Wk0D2oiBQ&rka!Ow}FK+K&KFWZ{X7Ft?#bUE;m99Er zbX7L2GTKFfjn$3K#jDTz`2*)UxP6>X!#I0YRh$uGxgKbdU|9Xzc5CMd$BbrTyrNh- zQ(lt7lA>b_ctuyRMSsI-YAvnPrXp{B|1vnvlovp{eKMkqm2vd;$?pfp89T`*z+xAs z2+DW`FS_ImIG2(nvv2>^!Hvehv2RGd#c!Dt~PLd_2UJcM$hG%{aIG!`_|3C@i3FuG`POULQDT z3Ot7M=%-a6RXBcH7)tXl_j-B&rqNMC^CL|GCB{GBpB#iWbe%=>yHD#wljOhO)7kCZ dFiW6C${;L&kr4ge)~P&PKsQbNF8}}l|NpWidv5>$ diff --git a/double_selector_8b/db/double_selector_8b.cmp.hdb b/double_selector_8b/db/double_selector_8b.cmp.hdb index 8355a28798b9491b0e41bf2a4f7405678ca2f19a..d222470b605c120e1d840cdf678e49eb5d15a2cf 100644 GIT binary patch literal 7660 zcmZvBbx<6>{w)PsT#6NEkwPiHIK>@`7AR0y7TZ$X-C7D|aTa%qySpvLoh`1#odwF` z{=WC#ci)@&&2N&)NpdD9pG=bTM?!>#hE{?149(%6B=t}IBgDnk+R*_Z%FPRqb+@*& z1OWL30lY#ye8N0HUS3gv4#dU7+5!U5GPAG+00BCx0DXu#K*7@5?Vn-W7|;IEKtqeB z{x_htzW5I!>HdRW&ybnkezbjw14iALqyS0_-ca=)%&atidr5ZDqXyJVb+aJ?wJ%w) zqc|F{#R+hve9)-ms(N(amzG8Zu4F!0-@~E?9BnEg1-*Bwsuj3}S1hpU^ z9$MQzxB9joTKA~K?d$~z-ug9=Qjht4hp1lU^0*)Q20l!4hFnmJ3B@j5{j5k^Z% zjU?9sf#tQHAazTj1;eBZLextC2I~Mzt_HU*MI-&_naj$Nhlk_^*7sV_0u?8N#x2*i z9ZS+f1=eq$FE~pzf?c(ZvM3sdC|+Amba$7W%IXW#tmHF?rn<8d@N-CAt>!k7@Z~Vw z_^s0sv?)e{*cWgqjr=x`QG;bp)JqTjZwF`zWA~FQAJH%CTu6&3$J|B59{7(@QZ}8} zpAOX+3#&$RfqUuHQ<6J?1BNpVbV+*og-5bbwQWp<`Ju)C6Z*wAhcS>OrTW9Pv6yNN|P># zRFmmqmX9eb`ke6Q7;e8bQkXO41dnq$Th#aluezhjG%76jmoQ03>Sg}>)Qj4(EQR$p z#h&SraFb`oM1c*1Guc~Z(Y%T!S`@tS;@bA0Anwga5I!b8ZwWIp=i zZ$c&bu>R@wpOqS;j%2;+_!|bq{9~IQbRABow77=BH?Qav5tK1Qn5nn2aYrnVp8*PWjC6JH#!IM zI_T!IYA?ea@+csx9bZ(X)u8N56ZX@&YSzT+I8YqEK4qu&diI%x>B1Ep51+VS67=bx zrsk>g@9D^tgwoTJ1>9$6@y89PQiP`NzK-kJ9nctL(#%({=p8ER_^HH|96L=qJ{!7$ z4Vgq;ny0o57ccte#p`K9c9`NjW?&FSHrw1`WR%*D4f9B(+Rg#fzf0y3fjC;#keFFL zIjLSyYM98QI8fyaUk*G5nm+!(W>{R8I|+Msq>P>L%Qhem-kge?{zhomZ0=I#+fZk~ zanNGsn2o2OIjgzwG8bp1j&@bduZy$jioum1A%~6Zl3Zdsr5%M@9YBay>INyPO?XW6 zk_GOZy^h}}x58;J^&B6FY8$YRjdhxgp{DQuGd}-jLjEr!qfi>u?2x`OmCIi+Zi_p^ zp~K3q<7Y0be)>|pGJ)N=N&VD%BK5JlI% z;y;qREz>)|9DvDpAsL;be&Y$<1;C#>^KbYPyHb41 zTdodiFUiOh&cx?j%>!6_9^|>B^2a;H&V0%%SmKx|E%+`F1|2oA#g7=D)$cSpZU3f|_AK~Y%*2X~Rq=?+sxmn87xjus(n)FmNA zJSg@%{A|qywgtaoNyN6!WeIC`t*>vQ%MYKM1Wj<&|IFF^;(E6(lK!IE@C;4xcoEO; z)NY2|8Sw0O&GKEf$!ryU`>eW89-ep@Ud^~+_@$byW@1G~2$^qA5$T1tjirw6QNGu0 zPWdpkZ)YqCZ??w9+v@@a-O?XoK_3M1U-B-M)Q3L)ebH&}MKF(}Se_(D`!3k5l<*)* zjC_Vz<>U8OW$Xqio)H6W?_q;y-otzI;^g)WGXTUFe-MkI`-CMG#|J|&!_d_Qto;+i zP}xQQ$IPbphj&fC59aCeKg~QZ@3VE;l}wysS>;yD2~c&6Y8^l&3R3j3+`kl{>mhOnFCeaPJEpJeqpXdM>1LL%rpvSFF51 zJ#OrN9<#CzSQx3AtxjnkyFuk7kJ8p7dbIH6qE2RJ>g#=Zt5)XtNqT72*BuM_lKyi1 z&QEcBbE+>Nd{lB*--NAv3TJdoV!5q|+iQBCyUHFG@T&fI-lLx4N<56na!Zct#z3)g z1l#c>!3|n##cut*_Ji;mc5W|hioW0=MXNPh;{D8N$)r0{uQWPr{^vQNtCZdA`g4r0 zeiR*_3b`h-hPe;ae%h-4WFD_~YhbB8QrDg!ySPOZHYQN?j`ThMf= z3t6+^YPAppu?eS2Nld6W1JQHsMW?O5aZM>Z)HWhZn#nNcuPIlr8Sz9_6{9iCvo)L( z=YqZ&GQZ^vJfbOIOfC3Thqj3r)~vuR@W(6YHghhCG-hQ^9vN(cY~fNZa5B%K^UWPb z)y6W641%OZls9L5&1G0=+~BHDWVA82;k{|@Z+R%6@FT@j;7f^?X*|tr=w}Gi;LoQ# zK$I)hO-ST2QE>J@dsdXjpD7|1RH?lW9;$s*QlyWCVL`U$fT-9=aZct=7);4>OPk7D zO)=n~Q!z3~0ochq6Eqw=1frhBQhj;HNa``}?P4v~ATkks12qI4l}0_^WUrpKL*_6! zrzopoG15j4pskM`KBwD{_qBQZi6WC)K(AnKxO+B?q9QrO4y}8IXDUtIv;KgGwG%g5 z!U&?!ZF(X4Q_Jj@e?bxLntyb~pP(=36@onEo(|hL%jR3a?Da{P6XM(av$CA^cIa{; zl=jJp__5sQ$nWy`%hwMWB3*i~h6fdZE;z*2zwR!uM}?VqS0jJ)QKFPDZG!vAKy*R{R+oUW`UeSs@z%*IRr1nMj93=N(FUC@b< zjX5$|Z}3D_6ya}}Rj5>+@fp1XQ%qt8TkPFSsDSxTSte`CI}>3V0Ka-4 z|6B1e+pp^gw^z4f+H)7Ru{8gf3!q+P>Cd<*b_@(YlP>S-;1O1OpNA&-OnI4!q$%iE z_7IO}xa=cZP>c?$(p=PX z6(%Gs2d&nr`L5MTYc(w=Hsn@eokS750Mp5p(0pW~uypPeMFX<5EQCMd< z`pu(NHavH1Fem<<4%elBds5}+QE@lngP2VYneU*4-7XlEZGoBF0T9_+SLqV(V%E>YnETf`TH|T12!1677{%Bn_FKV z^5}g0GwyXIG|lvzDv!Iu-fp+XOB{HGMP-wY!iE6MfStrDtapK_1~=Hv#ehqd3XKup z=7jiENAIS&@I>_# zHR54B7%&^uIkuW6<_`Ws#tOE%i|OdfA!!(dX@W?$cz+w{QW#kt3rhsn;zAeL%OH%l z@{CW6Bv;|R{!FJYvAeF8AX~)Oac zF!>q9+yf?U;qN3iC;otI{$=V1X%mHx z&CrV<&O@9jVY!Y zB5X>_*8RGwNF>|AO{6lCha_Hp0}YnKNrDyLO9m5BW+9{qD`9emD%0Z17XRsyXAF(# z6@aC1)3^k`VPPau3h&isau&euvWjs2^_Tu;d4b$ZzdJB-vqfb@<}AnHEyu3j^jKN3 zGRvnAbWsr``(~=s(M!y zTCZeuHDFj>TvdRDcR6T$<|5Edv8%8R%YIjP8r0w52E8vFZh zMW?K!Pc3UbOild}fJmd3fbCz@rQ*vo?|F*0`^x*B(cW2u6M*)o1WrU#HzueKaphnQubAK6MYZ#gh;npVWFt}yq30Ycy z`B1Cej@}L5Jc4U4PGuboepYkD2_#368kGM2NmFXeakx9HD=aXY2{P2z(bv}lf%HL! zdivYxSH8Gl`f~$_@iyCaN2NfQkS)46M9%C%^Rv9BdY#kH#gXk}DLm6Of?A|qjEY6a zFtY{C@<`y?AePYl=HE{3DUr%Ly6TK4&e(T%+~(93jd^%i3b%B@>dlj zcM=f0PtB(Lv!om?@B|BYN8o$+aj8CJuyu8 zyT*B%;Fs3}J8UAO%JiR^;z5bu9BVepwcTjajO(Y9p;|t_9*}#iYs{3&lVQAcvm-*p zI6Uz;-kYyX+;Ox5l2C9tf;_l6Ow?h}yOm=CMPWZ^uw}`$+Cto7AUV*6G6I)Nr#O-Oq zqj?Qk)7=6%1v_;+3TDg3=|R4er<+{h-KHYhXg!cb+G2Q#nx}E3CEVeu-$(+9dzo@u zmNT8IBb;FK`liP;F0btUu0ht&Sp2=&Puf3xl_LzF=$s4==WLUD%$x;G=9>0U?mq4T zQ>SJ(+q`Kv1xYpZsxwt(44{A%^}<`88G5>^kwB7w+H$rgN9^gkcumdQL#c7k=(%|! zxwG$63}j7ZAI>s2-?Y*eJ-j+A7@jy~POPe{o4z+&jNftwi*)|c-qDcVI>UHSk$j5r z2>kF_VH@MY(j?kKimUoA+Yhc$#SLatN@j?)=p38=rm`Tf^ptuWi7ZGFx<)6EGyRSm zV4ZyS( zIDTa9+*PTPz7^B-p_-IB&qqk#vT9O0%CVgyVo@kfnOhA_>JzrtCztt4Fh!%GxtKo= zy4y&PFb;8FNkR|8fX2{KEXI$Aq29CJVD0TQle|y6!O@>~JJcG+?-Fb>ujpsQPLxXB zR~YmT+us+>ddFy0@P9mP4;^-2-mM?#bV!wG?lVnj3PJu=PjHo@bRzD3VwyZ1D}IO= zj4{8l^R+kzJEj)}&{yGa8w9zNSbB@||E+Y7rE?G~j(B)3N7jbKF|YPJux<8;Og{Sl zXeu>SjWgm^!`Ij&8OUNG)f@23Ow{HGF#pOaqxTmd!fDAwOK1RuZ!t08YCP}@r+@u8 zbWyTdik1iXcex;?*jX#d=WXO>Z!yqAXu#jpcON{v6mK-s=HQP&b@BVcx?*)TXPtG+ zISGyhM<~_sl@_|BS5m7@YW$hqN>H+Ju7zZVjKLkD{Ed6qh1{AV`X9SH@AmD$$pg@C z-~iEO&tH|cTEC0FqE8P4$>WYTG-I*;t`*(MbpJdZ#xK5*6=v)zJ zUO8{u2CxG>zmg$zg(kjt<(@OTYO;qYs-b%|BDONue-9}<3Lo(Zz2&G!1inRC<=A;^ zFL5QD+A{@8L^gnN-HnWu3Ttx~4d<`S{pAu{-q|&^-SyQ6I#b=vZfu(ApN?q7Na;M) zwj~qHShWp%6gIY%A>{<#iQ-Z}xHj*5QEGZn*qwF)S*?`cT>$soko1qz$V9@gVIChI=F4=XLn2S*M^LzU&W1To(%Kt)(lucuvbVgI8b%;A%_2+|b;qF!{pCU`xuuzl?8aZlBKaM#Dex z)mVw>jaVo7?pX%u$4D)1Y$zSc-B$^-WkQc2qP=scfy4LxrM2f2nD0%s*VPV| zvYUhHp&k66B%wyRQ|)_LzGDwVY#Vuezk4QSYCO#udXK)+LziTb7;RL&{^ow1^8}3h z0-@LCDpI|9Me|q|vxGr&KzP4!P*}|*sdxZxTeS`+rC|Xxhx$n=sIYgJ7;H{=xt9{a>{G=WGfNu#bj4omt&c+YmFd%nbDxrVp94~cK1g)KhD@0wn zU$k$+qzJruye3Ty`HdfO=6mN{HC;RD$WEK<=-s{Wc&zJq)}$UqviVGA8>jBSvP^lU zjB0QYK2i3L2TD~xjF0X{ELHxgcQ?S3A`Fgk#(&@MWPinh$(hF}oFsbdE^N$jrh}VcW|Le{55AZI#fvAkFc{*^90sIt)vLvEBrFY( zQod8PeE8Sj)6f%PJJCKh2j@l zpVC-+&!cSp!B%|9-IpV;lnH6_iF^eimW6LO21FMlxevU9CkO%qnYt|&WQnfz*W+C^ z`-OqUkyebV))mn|tzA=?3blfjp`i8xQ)yuZ-qj)RgtTLWN(-9C{HAr&L6s=N@h1_| zP3hZjF^U-<30dy(Luo0!E}=7|Ixn$1$#@~xtk!XL>NkQd_k=@u&au0^E7U$%d7HH> zN(BC50gr@09F=PN9Hs3(bH*wS*V6zl4+RMXWBIogJapqkeT1yi(hH3eEgYvcyfLMG z3IVhZ{^q9_mZ5&@Ug|O>U(VK=pIpl$Rn}?o*R)Wv32}4nYJ}~N_XemXjea*2CuY7Z z!5Qwd--XEhKwB(v-d|&W1@X^|0_`X~qPwP3xN2cg0Q-VJb3KpVnT^9|8#)Yf#`Ds= zqBpiG&eW4%+VpXJaVLgdDVa5f2b9rOdfwZ%^7)#V2oH&n_X*xUFT>zc0#W+z-^g0O zexL7y1};`LOrsI8&W&uvfBwS$owV$P>>J%-6n|HBo~<1P7(tzM*>UngAFGg{tUlbTii#rSuWIm+umUDdGlm|S1H4X@LH&oyanJTkm94ULldaS&3p(T-e_Jq6ffht4dt)ER<}pZd zLND4qBSm8Qy?|Nqu4m4n8!Zm25o7pAtSO~9i-nX6e+%{d`^;p!D2Ivru0p5T?%rNE zwkW@uG2$S{YrD5R>)ob^#zWgSw4M~CMBn+*uX(gyjHboeY~TEF_EShmnK8m_w;Vkw zxS5`>d^u;5|48kbWs?Y*jIL*V2i|46f@Xac6P?Rm+$h!r%eXcUaWF7oI$)$p%*Uwb u{)^6nIad!>tM@J9dzjBh!hxSkW~-5~x0k+}$NKi)zc8Xj-(&sjcKsjw_XXkr literal 7124 zcma)hRZtvE)FlKGNJwxEHaHA2xa;5&5q{F4(c3%w(kFEdpG|i{g|}A3{-2^1S^hcD;vm2GOMfnPa)C{A=$%r)!rAd9hcYysJ!GG9*Jsr5WM&tY@E3 z51s8xiU?zr$kJj`md~I z@88zidLs=YXY!3_B4_t``~K_uVtaXB*p9#9{`dS2_d{m&yT#-Y+KF$gsCC7-MaA2l zzWCcv_XkAH*$Ge(ix93lEiIVeqi}C9&`C5%&tY4sN@RwQLaF^z$V2Ld9eU_`d;!agp-p7ler*zlv)50``&? zONKa#4ObV?AZZai{Nn%Ehb9S>>vq2zXI-Hs zmvIExp{bEat#sEcyz0$OqhtKPW$KFA&h37odDJiaEudARg&usT4Y98`v0c%pE}B5#qw59OAT8TFmrbV|xNn6q3?JnPUeIQ>3X!536R{r+Tq z-Oj;?TXgVRxr?9g6s0YD#N2=pq`_Lm`k}I2SO7yZ*azG8glxLNxbXe0Iea zJ-t?hpBXTghZ+BI&>$7~p$I6>g<26M)5S$nqSROx^HC)TNIUI3E?YiBTd_qz@Fhm! zn%qsTqA8{V*^gdE`yb8m|DtvLN0SS=D)~?jww_}2#Z>EBaF<5(v)MVy_k8rmV4if~ zx6JS$*2^9uu0bf|943ZPIV|ytwp-b__d!`$SzW$ZXY3?JT-!-PTm$RImAHwrDIDIi zx-s(#Wn!}Ph%OUq@0mawgsR31f9{wl+hi4v^ep`3?n`krwZwj}sOk}7eOysUy@f7_ zQE)nC%V~d;>+W1s{5UQn?JF-*{E$F7%*RGwAYVw@N~n*v$1`OjLT-vJ_4p21sGPi^ zKgL?`7*4ro7U<=$;Eo}l_wqvNz;ir!|3y22X$;wAR5V>W31E$svHp9(iw!n1&=yoL znY@qwl^8cPDY=I;I#qs=8MzsrrYnEt7PNm{iYu4S z>@82R6i)E*2tptC2N8K+IxyeBy@Iz*%k)MmjjJR^UgZmrYD+Z(_*TCH3YjjSInfSZ zX4UdZ15$AXS3skf3@SJs%C|;jOO;~viL7chZ9i2&`_U`5Xfk0HfCzRi(Y6CkTaU`# zlQf{)|Bx_mSWDjgPo$>*BC#iA(j;a|YKfwYE)d!3iNZYCl5sy7hAywveYbO$N@73^gGXi=o3p(98VSwS+VBcc=@Nd$rmNN4aWC3C^j-21 zYs6A|^ohCCl+?}g95MO!(w4`KA4gdiCj)c7YijMxK??on)ZA+Tpsj#jznDfZ+2kuKp0Fvjk6KOfBcGj+4`dBC|*%Xfy28*?@;KOZf zF>gq6RU2+>NMbKa60o3g``NI}+;P{CD~R6izq=5a6qgI4L*s^^;x^vaCWo)l(*4G} zy5+#+m-NumFl|3W6-23T%lGpFlAj$Mo=#Y348#S5A)~7n`?xPLacgRqZ?(&IWkioK z?W*?Ugnx=L(j}r5;x%yx>=Y=b>@)6H^W7=~bEO@t?26#Mbq9s-1Yjjp=Kd%L(=@VC zg1%m5rMA$)-)0{Rl_wOv?NU!W(5b|G)YB?5u8mn&kEeCkWVoBCIB2aX`dt$ffZ^W# zdg51V02AHW=&&#MB|Od#W#>~%2e`Aec4<{7&TEfnY)LD9$M+7hVsu`}=93fW@}aJx zW&*Fg0_~_mEQlu&%`wyh(j?DSpc}+hn7OcG)@pZ^Y3NVYp_4NtUomB#_-`4CRzYp^ zw?_?(vy3y$^yzh0KGeD5dlT+Z62%Zo{^99y0*S8(;Dx7ikcvF8;D)vMWbY7J}6pHSmOUw6&IEcEcdv!Y-5 zbAlU@@?^J6WVgX_Ygl2&o44-39F{L$2P)U*x(k7$!~Wcmt~gNu9nR?RM~fXAGVjlF zR4|ylx>L;^6ZHc^z^8JxMp|zcX&`z}l4XY7)Np8Q~5nz0;r+)^ArrkFp2W zJ+2n^4pHPya8g2`N+9DZCQ4w0R@W4bZrv7jEcgjMtQkIEV%+|y!O13sJ4Qo#@We*n z%0fRBs20@qImc7&b|xuV97mONzF=&a#A1gVfW#`}8}}7CW^; zF7z`TYEOJ94+GcM@wIffP~5a*>T-ilP^5ibf{G5~FEWrtI;q?wIgK1ALV&{X+P+_4 z47~0d)?^d=P$SK28(mGyMJ|h{Gz+9da5pH(sV2ixEO!V2%q@k61wbsJ4|1v*u&;4n zgEE^9*{}}Ldq;e1AKz?BW~5vC>&d;5L>i3V&5vPdJCVK_e+`p0dB`xs=I@rjcRv9N zErLdetpJ@_zcS05h2%2k`Aomqqh?j^3ghyE6G*a_n>bpJgEyz#r*4nUj08r(a2h%R z)WX4mCnFBe175hU=00(xLK)KpQ05m9zcskKV1(m0LHGlHzaQqN<5aLp4PC*h>V8c5 ziDXoV`!gNuIU#QTj<4^V0n|RFUe<;(|GK*gtnh@XcePZ8BKT7lbsC<~7A*t?N-~y5 zT4Fg%@1-|~`&!%igySC`z?b5YrTvj%0B!;|9r=*lVmuDpqNg7t=5n=*MKuLgx5ktC z6UHO#=)2bWOI?E>o801Os2n3VY=ed?;P%h`X7)XJ>YI*oZ{rOVJoE+LcicC8-a0t@ z`FGo1GAG`zTETz`e=)XyQ4;e8nGmlcK79~S+a=1sGEoi0=@fdbr0y@lj*bU)DB%y?3jsqYa0@V>y zm+j()U?<`TepSr$%^PTo^$5xlxI;#qoQ;7)ey$wUH;$7@%gga?e$u099UM6eWEe0jnm# z2rMmvX&}C111$nO88N#K(?t*4M$6wiJUQ-0 zAl>2m9+F*w$!w<kJtq&Vp`c^?!D#QQ(a`o7mBpe29+=~COOXQo7t46w_Hrjz`!7;o-V=NJU`a9Vz3Yee{y>@gz zbxgl3ZTF zGN(C`TQ2i=%!~??W>1RK%Afj0Pxgtbm(^VpPgQk;a90NG z#U!X#QY#hK_%Achfv|++`WupHG?^_)n4kjv zUUYvCt!tD5#T#(huRa-?$k={7S}tSlo$aEe?+SkE^a+H~#gdr(B50Hme}_rt1vX0F zo@pf*7=sZf@IIi0FqF(QJxg=R!IUYY1vdk*vGkf9Sde zNo{oksbpy}vOEzV?&j-ccR6?9zKwWc9wUpht`PycS7e_k&KBbn5^&<))sP$W!R_4H zY0a1;cFW2jQ^MR>V*XI&h64|8&x{8HX$B6*_V|2N%Ye+^gt}}{&bij4R}Pt#Mvcg<7AcV^MQ}AI>GLyTL9&U!z6AEJ)Z0d@ ztjXt9nY8ubkETb0?=78Ay51GA>kVAF{k%7MW=GlpN}vH3S+$@auc^27Sn{d+Or8DE z#7Pd*C<3@395=V|3^%q!Q&Y`|$j8M^jgLo|Q`-r>R35T3H%w?VVlG4?Mnon~MfVf}}=~|93GiUeTGghE9Lk zp- z(8MuXa=DrEc@b2eU0`DHCfV z3SQ>lv>tco{FRwyW>CoIFdE&rJs6r0%44KihlnQdV>RT^Yv@=Vk1}bFx*O8 z$KcFlbx!ho!iPRNBulsp8v*}4Sna%fT8_)1&?A1BXoy9Y`^<9v3exVXy2Z%j?qDS) zp}_V+xI>KSr;f)T8#~r-yoOz6mYp?zJk?a5ul!ZE=Vgw@Ysi)2|F?c}aiwwxXz}Wt zKJb89esRd-f}j#j)&9qA_O6Q_MZ#h{dppz+F5Gd5{DRc|lzlP(t)8l4!dOS59SO)& z`D0uyE^I{Nyg1c~Aou&@Ff^OIez!QVx?8d1anYPyoZ_6(s!na#sj51Rq(^8{;hdJ; z6Ri}Oi?FU+KjS+augwqiUcx=KlFg45-&NfK2aYf22ab0Rw)`PgIvkgu(_{AKIoub% z)^uAgl$McFu=YRK>kYbnVM$2f!psmwm5^xzgmW`&PRFENdN;TBcnp-HgFSWcR6EUy z`euF`=SP1%sOG`5Z5ETOUHz)z8C7(r!ep3ls28;EbeC$l0?6-`<>!2N>~nmeA-b2B zPB}T6dqp+BqUc#OsO$O4_MKMM;k?wj7LrpZ)BXmSe~bi<$1)J@`M)6A6GI`|Ylpva zt2PezK9dS>dr{MC5U69io?=4!m!RqvuWzYp1c#9|S6uIOOriADecwE%B9I<;q`CA2 zMroB!x#o{LAu$419>PKoG`!WlaTH#sylhPQ^>=@uK9nQQXaW58v-k|Bu9(&6MS!o% z_}A4i$8QIuhg^+!o|@DhR{qGL7n4~Bg3nnFdH0rX*m44t=A!#hcZ1Aq2cfx(r%RVp zg(0^Lv);P^-J?USN$S4QrD=+>?i=m~KNl05KO1)!!9?aH*t8)W<@qL?4!X8SAU^Ab z0Qq&JeuH{Px}CCXh^X{B^m&UBRb9=`5wW3f@GXm7Dy@eE;NoPmbM=VURrW}F3>@Lv+xC`Q?F1YVCHUl|r$54~`u)m6J)*c$UgXL0-INE`8PehE zhA2iK?ifih_s)scmiEu(*XB{O^-1CNmX9S*E%^&P{fezm{LhARX*&&^VP?)aZ=OGs`BY;9#kdl|n!* z2f;R!wQ@SC9^v8xA?E$F@1l`Ypy-qCaUou%%9^zjR_^z$2@)_rAF7zqFg_|Y>P~Gv zV`;6(v+U45>q(sRp*8=TPo|Th%5By)Z%LL#`fOEedJ4tQ!=?MI2#^T0W|MTP^Tb+t z)I3mVUBoGMm#t*!-=!VBSP+~2N_5!2dii59atCqjmFGtp=obFek~@}Z`7dQK-nRQ$ z>vb9$H-1k4s>NJt;MqCply)Jyk3N6azjLq?nOLjURxxk9r52*Ntec#86uYA@=Ek^e z+A`mD{xVUSYDxRaMtbHKX%S`9+Ask2PB26kVY0UplIV1>^@Z5qK)j4v1I<|L&x=amHbJ#Y)Wt1}fb=gw9jB@@xc9@DC zLNeALR%o)tWcf2&JU6=1FL>eaPgQippPn>0da8fl?$5k;os`^mGo!LVK@%=Ax|Q8WNH`61E?EVFvMu!v&A2X|NPon>F7w1so>dj z#94Z+ug2dJbjK3eg<%Rm@NKzjF64Yns3qEVd&~$keS9nNBlM%5$})0u3bX=RegB6_ z<7R`72gr7Nx{WL+x;$;+B{B^-wE*tOahJkR8STvja)Y>45DnQkmGD5jFgMy|S;ZW_j5-U5)z0~DYy<$>33;Nf0epgm| zJ)Jn~9R%3upp`UPLdS909K9wtHO)#mbC^sKW-4yT7p-QSX>PqHg^Z&3o3-^(O~?L!9)(J5L%Hkt#xQM^51uKJ>eIb<7g0k^ z;jgZBE~1y2w#msVHd=9$YGQw;W|c&-5YlX!k$_mjzK;O)GkrLsY%p|m$YD_TZEJ7+o7#!7_ z|B8?@<5Aq|K;zy1nF#}7&zg#KUhG6~Tl>Voef;NL%W-+zejCD<-;WIZu?#86kqQH< zl4!;@;0oXY!{A9 zJii`@i?XeD>NdJ3G7>}mJKtbkbkg$7!L5?r$5U;gX(UwGPTL+Hq&6(8|J-gox;MXe zy(9c84RGR6qz185bbUW_@LebLlCUW6HyDt&RE|qWM*j{}ayU3XQu6dTUd~)I4N4WZ z$?{TLQl26iwO^v0M?-=wM`zZ$6=4>0mI|B}1VLfRvbe*kyQQI3#r6hl@O&;d#C`W} zzG_`j%x`eFgy5_18%t-bdC`l8Rnd@C;-kSA zc9e9Rct+CiVfdB|s^LLF9R6E^|LGd$F0R&&4#eDy%)}z@)^=vZ09JNlW)3D6P9^{|GdHoSxeL(R z)SOt!*wmI7K&&c5tZ8mSEN*7)_Me-)I_Q5fKtR-Z|1Uu9!2Xxe{QpZ&&?`S(SF>?v zgLwM!1Eo}8I|j{qgZkw0&CC@N^Vx{`@A*UvMey`~v{v!gsjIiZh~N>>x$GN(>7FSB z4h%h>FQY6}|0m?TqP!y*x&a{9!2VtEaWsAlk)15bdSWdyk|Fs-aus~{ch6LX>*ZoB z)Es}K9*2pCLY`{{pt0dUX?RfcdzzOd`X~66H+kF>^y8}OlGoo`l0RRu-0i?%mC4_W z+0-c*Q+8Y3-8%xfxt%Dxzw{~p8h!hTSNZuNx%nY}{_zm}I2)vECz7m||5>Zdy3W_F z{6Na?GIj%KMvB2&$%M>9P!auR8jaVl)Y-pm;tj&)H@fjB@rX zk6d!{p3L1Y#buS^K|IeOsv^hPs=F@PYOHs~wO_C!%g^!OZ(~CJ+i3FnQOv?9HbCyy=;OET9+>~i*&Yc(~E#}eRzEQ03oBepq2pqrOeTj zi)Nihom+y3*Mc7iJ?3hl)7A?vq5zzbZ1sF2KXG4;Bv*}hj)GFPm;TQjKGd?opd+xk zR;hLcA~kHMf#$nWWAoPSqO5e0_pQV5I)#k>}QnA8GIYTTiHi`0AU*b^~Vx4jlq*%C14BdaZhQaEGH4CV~M3 z>(Lyd>$7#W57$ruZxe%{o1GuJc_Tw#!Gld1gVRV+Im%h_|`VZp%XZF33WYF#nS1%3qH^i00CRi z*MnZ9I(20FvE1fNV*1ROnI=)1NO;u{guA@{wT4!x7TjndeDefYhARil85 zgOkS?RQgmSO&OJ}oZ$@yV1x?fUYpWcSq7l}vK~?J`t#R(w0lnCkJ^F^3z`mRu&Ec; ze-#L}B~JPkL5~)%t~+`%u(B?`bPxWE!yNa!C+vZcbg($F?p%5P+`%X4k3KU-8Oh?o z56SQD^)<4nz)`H_5tD4bAr=GSRFE?$yU%8J=YOD1@;bCe&-d8xWs869F4YvgUgG%_ zZuGy9_?|7)jD89A8cbHqazas((z|^qy4ET?t@)DPn!hfMMr`Hz%6t8R{`p~YZvGo~ z+04kt58BY9EDcgXr9h1IyOIxv8uW(>bc&>7?feZfB6ff=wH2){k_TyXpEzb01$#+o z4Jqzs;R>0)ScYX?tz!Rnr2NQHurIon)jej;eX-ZoxetkO zMsS>s0$b2!Y37Ic;|c1f;Te{dK~8nm+@dp_gc2T`ic<59^yX}gp5l^ZV*KregE52a z^()S#d-p!huWUg(LJ%5-$v|9i2IJ4F8|8^JckueQPWMY-7z#6$fi2K>dy#8VlLQd4 z{nk3oQ?>;Nl*G>07o7@bbzk(uO}D6Z-fQJeZ}31V-*ekW&RfnzD9ML|;#&Gk@}(rs zMWzu(f3cr?8i^eX)T~W1k$^{W%|NXf!)?ku%3VU~x6xEouv{lAWI-q{VYgW8 z7TXEKlclNy^l&YY1`qAZwtaHZZHrrF#XUwc=I+GwftI((Sq-lu52Bhh zsw(^-+Dzs32|A-EU@Z2uE<#H?$iLtJ>ql0`FNd;R=`_GQY%m;MP;P6XxHbK8qRdqb z{e^1vD@cf)-RiH-l|327Qh%N_-D3&`)QY<00(b32uqyXnH%4hhE;qD#DZFMdta-Qcj zElB&$eTP9+&sQ>zNk?9Q_y;>j6ouYcayF6^NxaSWTqp$PpQkeC`s8FE25mS<`!o;+ z5PD(fP|*7*6HDD?uP#^XGhg>21awW zoXu#N|5CbFIU{s1VIBVF#XdhG66BD?n51fh|@DEU+C>P&eF%AQC zM{y4F##;>jyPXPOazZ`?ntzx~R66~6AA%QUd=<>cZ$iLF;cjzg7NfM|I=d%;7ARix zKZBAGI3${I5t2(DJ_H3f8Q7M3hXmh3Bxeh`fr1!~wts{AKw4PM3Z5joY$j*G#u00zqGw4Ar zL?8%`&m(Jk6l+`&i6nQ`wdE-M5bFHC$pL|2(90&2lHIP9;o2DD{zWG4567SVyUZG; z6w#aHu!Nw(ZjUjBl&V3f9Kb=_7MtgYgAOObe#YJ@WjK`S!+K`2os*}-%xVZ3PIsRO zRj0WHfPT*Zz{Y{HZ`9do_Q;Hxtx856tXMS3>$*i@Tqyg4t!&0fZqN2kg0iC9kV^ZbNUD~ ztZiNfGK-Z-gZvqMahNJv1~pZ=)z)r$<2gMlk$sWQ8td^uC2JW2UE*W$^mQ{Y3nxKM zog@(@mACy|5ub6NCQJ%t zX>K&sfi@n1{7szDW{=xnFbk`AV|e)EO0}v$S1e9`=|53IUsIeX-=2ITwel}nR$EV6 zFw2EzI-%;5Cas|@(Vyd3C6i41Rh7})nc|<_L3acJ_--V{ynPm$E&LSSV1fHh`x_D3&OA~Y z<-P+5R0l2E^9Z1*s*wM|vd>#oq;?DtUbQpQN-T|V-zEG!E|Kx?XFZTSCt>$fL6AeP zw9dcqJ@6ZA-j5)yr}ng=%we0%P>$Wxq940gfD)#-TV^#Nj zD43)^uVchaNald(hT17q_YJww<0Em`S&{oGco5?Cekd^zu8&`3O6N>2zsTkad5JbF}R{jmIIe@_ftJ2}T-@=l=Jdqr~0 zi zvq#9!8S}dNlKp_KIoVa68{DdHXG5WM|eiI{Zr0qYi8cP{a%nKu~D*NmrVh?meP=U_0`r{5uK!DSyj8o|qNU$!aTqIyGl;0x39RSm?p?qQJauc> zVvQO2KL-39wZZlkn13}>AZh&tnP~qgnznu&8-AhhZ*6a>Wpn+OZ{5X}1H2?Gkt#p` z!50yTHcRDZ8m}S?K%Mzx_DZ{w{U_J)@L2mPgdTtEs4i`0(EMqKI8l`G^oZP>pB0;T z{CN(R&!uRqQp4GES-BRe%p1NF%FfH4)noGLu@yda6TVf>xJGF#lHFG^UY{Kg?*ufC zXYj_keG5UTYHB8fw;LBpnR<#Tv%MtiU6=Ft$@_{~gZbEFTJ)!{>OA3+oBPBY*;1>n zXZ^)9{e})?A>c@Yaa8q&R@k=mMzCL+($;%x{f?IJkLkAU(nT5f`ggIL&hfofK6lz7 z?>3WxSAB~vHAAmvx-KIFoidYnZ2tuJ zDSo`KTE5TUiK5XO$qFH|W;=(>W4zE|3fJi_(}xpxSAMDvv%9k83gJT#n06K-w%f5> zTI4bCOIE(YkIJ508V@TB_qO+}iYGmU*K8AuV)gowi3O1?Zg2<=6ui^H5ew zb2w#GU~g__ViH-{HD%odF;}AfRl%t0`;HNlC~fG>dzLH4iAX=qP}fES15|RS!D)Sz z%2jfvt27rcXgJOqCTk^9awoJNtg4a&$&A23JqN71(c<;eh8=#zN;0qo$jPz#@-m6% z(Hfod>0|qeSNR76gCU=N@-g~dmk$1Ma+0p`y(F}xWZ>&-!MCSQP3sTAwJYnKEf3s1 zonKV-!RKcQfsoJZH}G#mePg$w_U6WN!({Y7cYK7uo%K1|t1{7anLP^#j`qp2pO;oj zjIZWf248=|2Uq5eNY`;Xhr1`j*s7Wu+Z)Tm7mw2%7#OA)b}njVmcv6Q#>b(Qx38Ng zP9)kJ485A*6KOn;b3T12z7$#+A?|SDwwY?Lcl9?7Ht950G+sS!`Dq=Kqq2-Pfb9*M zTWdAR&-g((MKKymbYG&5KlwNA9iNibri$X|D^;4W)mHawZ%4u>e6jfv6gunvr36m& zFitTPD=s85YJvK@K6Zp!mPWF^QF?Jp6&)$STf8;-UAQazIt)pJMJQYvCdF$(YI{)qYQnGp^BIbD2K z4$-3xtS1O*x}qkT9w3K_6VxxGtJKA~+-jbp&l`uLuZR~|;wgE2RwGb%} z-+4rrZYG1(D8H`3hG7t7q{lL(a52wSkWv_M6Vez-AkfFH*5(`iNF5RAZMC+q?Fag+ zgW84(s)MWL2?hyKR)orMK-@U@x=*PdPWHmuCg;(U9*ZP)hx$8`Ar~lpb*Kp%DoGp} zbn)IVmz~j{e$$!&-gxP**yP;Q&nND&c?-aks7_a_-jmzQwsXu2QsX;=cqYuo4jnLV z-L22%=Nftwp5`xEov=s%a}D?xr~^+1d`@Hk>EWF9dYjRtBD zbq#fb@Uem>0fJSwIwHJ`n756C399YvJBV?y472p-Zy2U?CPZ}F=go7aB|e>bP>EaV zK1K?0OP6J{M6GdLT~jy(xt+swYNObFf{j!~2q6<9&c0BIi}9sRGbCGjtlPK3+k@mC zvtX8_JWzEigY$LkeAz;`&Q+mN``~Z#ycptwNH1Lc>sIO}uxgB6qIi8bTi8422&(qV z{HzleFX3o5qn9xTQ@W&#ZTN+2&e~~R{^HN zkXWRAEQuMoLKgun(X=b;h`As3%vVceOz5Z0-g6VjW}1tbosmP4 zr_h}m%KN~~3!6I`3kMN7R1Tymq?0pzgvhlEBP@lB@S$u|t^)!P5o?pEZU^ESZc@gw zjQF#=js8|o-xzd5o@T0^;sa0UX76gB%(K;mepHEl@ zwGQdfJ2}6uf7T}dq>5Gt%Jk<5%a<3;Z+0AC-ut_u(Fp`vW#1;9wXwU=Jjr4GUc>~u z^z9_op^7=)<3&oru5x!VV>JIt{rfT)-SMddvGW5Z1{OYIUib$&goeXf?Q3I}`iiie zt;)^ZZ?_t08g z3X^Z?!owx@Vb-X&UOtbm_;S5Oa1g1yXKoLx*vf7@FrCMg8ew?pLNt=~+n>dcfD59R z0n4RC(Rra^GV|DB4lqoX36m^1f<2oe;1)K$erS(HM*V7zEFrUCiK{htU=wrAwEVKGCOkW>z;*#siXZZk_} z<6JoU4lapXAXkBHEYH1FZghqoHDQe4n4TNIF$!EC>rGFK`k>jB=?A`oBFEfrEotn| zr-OOo_tANh&!a;@iWDx(`sA1pWB9==nv)iO-Z_V0?uqoToMSYQ>Bwmjda zx3BX}{6WVLUvpzPX)YFlhveWn5xgGF`jFbZeZ5 zvr)+;q3&M!kx}-gfMANLp;KF3!@tI4f5U#rUS5Hv-36s5n(Mq@c}ctlExV>)Bm~}dORv-%%$zMV6$~L;_h7JCB{ibv60>L0!+(s(LL7OG;MACvZC zL_c^^FPK%rj^N{F7{%o#JI-gfnmgBk-|Fn}>m%Ix%*4z6RH9K$!Wc}#I8rpjp_2n# zLS)4a5lrWI(x$Jr)j2y29)|;EaaQI%RbnQVkm*bbT`m<-~>*GEAp=0Oaa zmCq=Ra6JDS!~*p&N{){Z;+e6~N+a6>-t{D~1<2}Y(_jfWn#g|FHNuc|mEN9VlT=#4hbk%*!1hrHMXKhFf!(hX9)xc~7GjbHnvTmrizK_BMS%1Kxh;DdeP=M8FK76SQo( z`3GqUe*X!MIih|>aTUK&39|#LCwn=yF{ua^5fk6!DKu1Pw$^Y5-Ast(2|{8$@{C6$ z31AOfJNQ2Nbx&_y(bRN*rjJAcKPa_SiFuo^DLJ4x9I!I11V8Cy-?GWy!ASI_!N>Ji zzcI=z#}2v%1-ki^pWP!r2HTDy|E0hDH^<@coA=o+(Ow->u20;~4uRl#g2tRnIm6eG4kA#OU5#9!Ron44QG9NCjf!B{~hN?tv?JAOB$r$oHOqgG$S!N zmBF6?a-QL_su>%2wy0U0zQh{fnY-&(~%!}#DhEKK{woKl3~T99MSW# z)#(0N=Ad*wGMdtf)|6KbX<%4cY&?7simhy zNk?;*OCa;w*#J&w&Ev6cf$dU<{yz0S2gO45f>J7oSRgA|t$}EC(?os2`Wck2rZqS$ zsG_e0ojtFdsHn&Qa#!yHA(l1B9r;CjHN2qQZd=ss!p*XRjd6RW0Dt_O1Xg+AE);*H zB%mqrGgSfaW+LlWT804+W%jzEB@UHNpEDXuJRXCgY7BO6#FBV00KTOkY;hJ8K$EaG z0}VT?CrSwm`z(d7flG`S>ETLfzQ z*+qmvMM)7OH$aj8cB4uJnq|;@>cP3lBoZM&5X0L6X#zFK1sX-pRqR}*rU@XkZuqIbv@$Kg6XLmS2>-U!6Fgdhgmg@2CtT{0PNwG8o&g2$noW)Q7j>y5dUp;9pdku z*p^gqyfG%h@`>KF6|0SFTXW($i_`{@`A6A-5_hb0Fxyx&zx%`la=gN=8F-*n{OzR~ zasZMl^c&Hk&##4%dv()1XDn=5*9mw}p6x7tdGGZm?d#>qPnr&eIut4K3MQ(!Y{_0DBSCBOmbSKsDNkgV^i(5 z2DdkH;n{`=y|JgP;pqB>P-LX!aMA{NpUV+Gi`(x`w;dQ8%k}RB zku0o}BLF2z7%J2P(7i_qJoRGy}zA;eZapiVrYLNMEoeyG#@-nn14nD&zahBQjfH*jJ{PQ#&-=}n15^$ zPRhQm1*dxPQqA55`n%GUUF_%kzvBA7MBiU;e;WPMZyDMW9pR@06BB#iWTt+!za2$$&dxmiR^J2^7$?jLjkNThCx}yM$D}>!67b1(~uN!yh$6hJvxE`myAA7+m zLnPS?ORXvNzE>3-iXR&-6=M~O1j!WT2c~d}cfTS9<3HmW$}X(*?>;Jt!HSHpvN#mG z2(pF5Mgul`x2ph|9^BL~cbf4?X~x$q911~#)TNzb;Sow?9Ex6_>3h`@g`a;FMKdbBXcw z|LRUlgv(&FtSN9>IG`lqSej{Iyj$VCCOJ6kWSo)Ju%a4&MWU;CK;TErPzG%*Xk!S0^Y?@Ag@AtQoi zPPC`x6NWtXYNspnc>`zz{Qi3~Q^gVmUTQt?3zsgbA|HLEJ3cKqC`QCz#5z*jjyVJS zIrU!}kze;02dwjf=6vm~9XQK{#e989DPHd0TaFL4Qzc~d-4|4(EBuM;x>!HdWahUp zwtDwA+E;~``aWSjBjM{cIFT|qd_~vrmvxYq>iOEujZ)#@s^rq#!fa}g;GQD6VS-$Q z4o2_VZSk?>8G(ai#Y+#FsN>JXR-j;B^%1|7zE-C}nKRdbRw(#rN?H)@zv-PAvD*fxW+!nW)!BBPbb&Hp*o`h4!6#YzszFAtbip%?T)fOa-z2GQ<0kfI zc7u)5f9Jly>{wIpHT)a4a31vbI^Gfl2#Vo?e#SX!HB&do@b}({ywy@oi!* z2sJF%#y!8;)34}Z8z^$6Eol9pi?s&}GZbpn?Aqq!h}b)dunQ0CtBSIE>_4VW{R>2F z9E8`lQ(WP7%w!_zvPqVYA~1@!v$c<%NIu(MCNT%08JkZ@1VVk^&KMu?%cm2^Pso^y zGvu|wunN~V*Mp3jnSZ6sHh<2GQAArNv&B6fl5+{sv2S_ofE35_X>;DRv#O6p69X%DV z`o6XVy#P&|daGrsKN3ocw^v3fqAvDq z-^Dj8beH5cHzU+eKPf*~&=VOci$-`irW~%%aiRCIq<1n!FvF9`3S1iym&3Xf9^PwU zBm3e4(>Jamc}1~^#mgyJO-K>1c`-d!H5s-PzF!vj@HH)ib3G4&P$1^- z%$On+i8oV{XK4ZY5KSW;y{+*YdXGv>EdBc>f*ngFH3>t{wc3(~QYh2>mXc}pCo>_j zC$iWZg_C3%Qtwv7gPv4S<`+EkzoBR=bsz7)ZPKdTLfkEM;w#I+-)R1rk81XW6ri0B`p47QR=NKG4LGsK)zXRLA6 zx^5b!5WGM&I+|esfOA&M`{4UERFt6*N5%_SkCFefuXvpB$N)`wWcvCq{qA(DR;`$F zk=NueT8&KQO7j5zdxSObaqB2K+CMv+piUcbiQJ>5*yLgZ0LzYoklo6U9rK}ws~k9R_|iF`jZE(=Lu@xwc1|=lDVvi0ESzuRPktv zYkv{6))7grBy{eP|0N(2XT{iB{CUhQINW#Tv8L6lQ2M|rr~8{&YN$?HE>T);+(0yD zY@hW=%LQLdnAbKQULa%pmXK}iPg4@e0miblHrkHa8@q+C%tV00a;;{5I3qqfb!ZG= z4F>`dYD2xQfBRaYT48ITc^K6 zM+JP5jy&(c*H&~}o6hs2TDQ{sT}XkERyo){e0#)q=5yjxsf4RuFV@0_0?6LKWzOo` z8qVvP@}T+um=ugQ5N8oimYxd7QyAf_dIm619+fFRQ&I^E(SK>IHm*nUWEJ-LafvJM}z+?XDU3^CI6inZ#7|_*h_X8^*nT)= z)5rcNGe-w8JrJ=p(ek}sQee(h#6~&-eEbLv6&_x<`PDs7E=M?UCSWD?5OM^#7{*?c zpTtM2)3Kek2#sn3Vl+$zE#FZg*<01h>k!HUs|qL3iw!@xNT zzq65IrG>-fna*yWLy>E&&4Rgy&L9=tPEsS+TO(no7(iyr|1jnopXLyQ6{Y)Q9=^M6 zppNI4{e2T@BK*p_ z9rWIpj~e7pU#uOSv7bHWrz3?A&G_gM0ZCiW!M!3FOM|!F&uU%kG9;)@Go2VP@~)j6 z(-vrWCr@+r2(A>}j2_5KvU*`a(xBiwl~U?#0-AM5;HI54EeaRn4U?me;B95}GV}5= zvQ6G#mPc_5Lw+S(Y5yoqF*5f6#Oi~H4i{?P;K*CrpA-=v#4NM_)*5ke39e4FH6s|| z^oBR8^nOezz-9$gs_B{!Ah2zrqh0iKkoRx76T;))QYIc=2pY4B$#AKRc}zgWVFlww znUUl$pMnwc%6?5#cSED4kH_$vf)M2&m0^aV=!Z{Abg=M-39=HyXOhIK@@JHjnZVgr zPmSLMCY%psSux|WI#vb!XT=|PYv~iuocm?!5J(Ix%WFWioX?nM9eAV!iM`8e}}+ma;egc zOAw8U6WwK%f;Tr&75x$tMH-1=9n_i>AGeW`siaG9^iFn`IenabDq<+KRnCpaha;B* z{i8PNE#8D$q z!Vq1i5@zh*8Y+hwI{X%5R^wdVIB59coE&&#SN0FyI2$OcW|SWBX=IcC`Q{@!iq`mRiZ8llCUI?ySkHx?yC&t(wa(HmI8!9Om!=7n|%bGgi3@ z>$6JDdEFjyCE5PR6z*WaP*^{!sZrJN$isq>>61f-~#;Phf7g_g-YbzMlNTM5nqfh*4`j9|;M_DPePMRS@eRB4;XX2WVLxDf}R)!OS| zS)}LO2>DobIswEqekOJ-W`uGbYjQKAVZeI{xwo#BmhMPz`jm0&0TrG+ez=n_Z#=roLl;$aLL4KGp zqy2emIaQ4&W|w?zA{?@LPwMiK{Q>ues>5R-rckM0L#RW|FyP)5vGsPjFoI9o(*!qWF3wUZdt}v`;yW)2HA1_GqKQ?l}w_7uTn$#_`hK6q?m!+BVrC5 z`qX-_wC?|?BxN5>@JV&vMztk_au+}ARNE$qF^BIo|MBm4DZE7kSWfYy7P~nhYfB=$m(RpvJqUf zaalbaP3(=m)w|$FEW^@2iv3um!TZf9h5`SU_zn>K9^{ zCdr8^uj~AA1=ytw9zJiJ#L0rd;-1jw<`9-woAS9z{bC>UtCDZGl2=h@7I%r+-~wpHp-Qk~OK(~m?y^GDnm zIM0V&pyvx*M!09+C? zVicR9`<;}hpY3II6DX>UQBU;o_TTscN25tl7$|=^bsAF?K;Ic7nH<>Lpd+WeriKB_!LO0(+PuDO_!Pehz~OOS`wCYTn2k_t+l1O1_2P*30S zAxm*~!0ort5aY7mgoqxFZGUdE-c%xsSXf=F$6Fm|trR1Tr5Ej4V7RuYRmj*r)s+WlC^#?rA zIL;N-)NvGk{%E*KvbNQy2)ZRpf3CbLzTiVS;3H-4SBwv610m2(oMy$xND(wT*KVhF z>S_;zw^3UJM~6{T{E8)(5pKt=wu!mDZD){1qW;CyP;0nJn-@f}57ld+u-6IuiCN^< z!%0ZH8L#Oz4cQH4P+M#A4|?1X7qdO%dr}+><<20ZUg?2_MGL|{0wnhz?1u~MIsYm> z)d|5+%dLA2&gv3+XAiwxeu5@H@QH=^z1v0N4V81%j5B%-8D0))uw@+ax#^4Nj7<)s zxo6b9yiSFEF!vKCCCmS?SC-ewe|F`%8i?$-!{aasaxRv_L}$^abg__g)Wb#C9<&+3 ztU-eILXY5}*q=bxrs!{q8P?iwZ^-NdiM$}5gVzo=tH!Mf`I>NhhE3dcItVh(uL;?j z*EdG)_2==?n9C6o`JcA{!fExMaQIC4J|Cu4>h<4dwl+?$B?7>$n^GZnwN_E*Jl|8^ zdAX$`tfqZ&hYJGpeyAv6e9ch*I5t=(b56bU&;_&m%U5%G+qlk>hK=QqYK75*brj(+aG0C%l*E)_xVSB1Db!3N`8 za^R&%b9s}fvv4rLNxvLx*@K#~EgGk7MnBpinMcu0ZV;UA5DrYP%U#FJ5t*LWjenQN z`gaHAvL+#SVAl z4vw)hVy@YFsw{h7sm4dWZTf|Mdea+Gx!qyavB^`b-CUXyEetP;-*c&|0dFrtJ1M+B z4wO07Pg1fSKVEvbf*>d@o&5-+)|o`_FG!kA65 zU%@Ioh-c|oNW*JSNFU0LmRJ_2 zCv)I};duu>YX?Gek~Gn^R`;BDi@9QaGS%GG&*n=i3nbJZ00g zGr2EFyH{m_k2&p|R>b5$YWi&3U8IW~V`AdDL7^`DE}L$CguYozkUA>3M(qv4ZytD* zqxyI>_;MZ*(I}i{Wak6U_$BeBP7U@wZ?DVm&*7}MdjbC~oRzAC{uKPSW#he_@q7hs z*F`nIY;}V}oMQ#jFyRRkbZuS}_w*3~MvqPYT{mjG&m5cW*A0P?w=mUSmV>|Ko zO#bOmFxbG`xb-1f3te>KA#lz%BZ>JBTXH|X#;L<;fIt8q{t3%nmMsBSTd5lu!Sxee zL32c{I0a|$$-VZlbsC93!DG`3KX>JwZsdS&w|8q8+1hNyvue5eUv?w;|zRdKpCh=wOwiC5l}y@gc~`czPJ+{f&kmPbUiut*=pg_xf$gwJHKhyj{r+|5dD3e zQ)ZXXtNG6tISqiBVOSP}X|DoA75F#Wj#?07N9*;ab;(Lb7`!L=?Nc%bI==X=e64D* zGt(dquQhZ;exJvw5RUh$W4xYX+R6193u}{3f`So8&%PTaEhS11H-_UGs=xtc&`r*O7X_dW+cn~+Va4q65Nz;FBdDo~OdBd~3K_~)syDgY=n0bTn z_(LA(W%t|Zx<`=#w?+@7rw@KmwK7}*OzOe;4h16L68_FK2H)nXhEl(%fvnp%T&O1`>7zo?Ol1=Qx!_ugi+!n5>v)hP z>#3)Q-6BN85QCy{kDMoPS~HQ@15h{5n3mwDr_yfwBLgCZA}UJm%To;AIIh)i5S0$2 zJ@1LyBW{qD5^Ms>x6zj-HGf}x$B&fzbf7Q>i5%e`CU>Sr9kC8LvpdI#E{y;+0bTui z{2hVHZKNK)!88YwZ;Mh;t$Fi;-V|F^RKQ& zw!?>t-c%|43;1u$_21Zo;sVxIr2kOFcvyu{U3ypC8%`bB}x{5`sbF>w4T5=veC6`@X}X>PDs|Ps}v7 zLKY{Fb-?j#uNRK11>^M=r$m zk^&!tzJRRP2r->1oN(k$>O{<^iTryHOD}w#j;VfhEGcXZ<2ZoGkXU6ah6fkl z=CxQR1frLu5i?5@H|;f#B4z^{ELKkf-PQch|4`&Am>@_iugk4LjN;Cjhs6wA8zbC;#wtY|2-R|_C{~3Dz`T0NXPcgv& literal 15581 zcmch8W0NjCu*m@Xw(xZ)_bkH!IM&|DAH9=*F0K~p9=S4j?OuE#u zimtY=3&>u|a=y|B!`>pjcTm0Xtgf|VvYH~N@`gmNcoXiFVy50*?(JP42++Mv{fI1j zlt=vz#asa&d!G;QZ9?C-{m@^;Urd(^=gy{p%Vg2AP` zdVXHnW?Q|qxr58GO7Ed>zqP2pzd)~k$#1`m0$+FEw86O|)$+etlo1d=fb+25p z=@g0@5Pl#EfiGUV$9@`gPD|?VE!f6hK|$4}4sz7|0|>XyKZX3{b6;^t*!8k5)r)kS zLsmCEr|91kK7afe&hfAV=KEW}M&|VJ-N12?8u*chaJOfSRLhN+n@xArv!*DJuKWiy zZ3+Rxg}YbK7HTn{J+mXVyd4fkTFh)c?RMk1D^X|=DKZYVt2XSoJHnHkZ^;;4NajNM zmnRp4CwE{);`MH-pa1ZE?m|wM;C)e#APf^c=fL}RpzsRh9NA@b@Og^g`gplu(e#^CMM0>2p%?EzAwb$4_;*=3h zQhm4%iZFucj{)b>Z$I(kL$}J$xh09~mQ_Jlm3?2q*hpHWxPjl`zxThf zoAUX0+UJ+a1B!>OH;5rgVVqs_^@4Gj@q(HHebcYPK}WywERB<~g5X4)ez4tY?f0Qa zX=t@`f%;+^LH{iK+8|mwv)kE!$8eiNPNFqlfkLV{N~U|qIRv8x6|@R_38yiIG@g*2 zqvo8ukDnpt+@8&O%_;-|B81oWUs0DM3U*l%$8UVg3VA-n?}`VKQa$VZDHi{+`@YKL z-(4er#m*b{UO!K5>FvHEyh5ftz6?uy;QwrFZ4LT5pOx<5;rDA}^{*Vr!}!%WDGjO1MB6`djeiit*y@DCDMl`OBMYEf-)DlcV2l6E?wsEuH1R6RW0^< z!<4JnFi*r)d9V8rg^2I#Y+hSQ0S0X%;3BsfIc#00dwx5=gkQgc!-XYSJN5|sbI@^{ zxX)NfJX>G~Ky4F;{wQ~TD1A*s$^Cr}06R3d;udtkbV*^IK5o$cEf@qjQU^dnuj4x> zSgm%bQ-=BL5h|sNB1sT~s@K0&TMd_T=?R~q;5yegJN*}spZU#;3BH#z=fTBErkdR6 zi$<0GD|~b=zGXIvN}-k(y^{icMIa}K!89XSb0@x(8$T|!BASHy`2yq1vbpsLxp9#l z;C{s$KV$ti33UG?{!|zRY&Gq91mZhG^isEO-BTJ5BImQp`=3j)$vm;w!HKZ_RGx5H ztgtAK+V<{>SpraI& zknPr!Bm7LhjpKdci`j43&k?(&4Vv;2EMYt${&ZVL_Oc@EV2H>ft;=Eg;U+H-oWgU} za`tQ!;6-lIuPta)ejbPsA{zhZ^lwXQpD_e(PR)#lc(}2GgA;AA(t$=rkZSUvn@LrqZfUc#Rj#@)+g*wF;UA zCpMHH-NfmPRw!h=r2H#J@+MkiW<|3A-j3$z_Gt6-6TfCYbK{CyCZ!IvW32E>-ux}W{t1Q*~Zl$*l!ca^>Xn?B+cW!QCr{X6Pb=1&jU zSqhg;2aQB1c%=)r{9O9Kk3pP4AS~$koWWTI#G70?{Wk4%x@L7iaZ40~a{MTeg6E() zHy7ic1^y+u)(>E_>!g*h$`YU8AVb!~!AV$_lv#!NA#}%JLTAj2)*^Wj(x4Pr$lj$Z zAG4e>m4#R?=J9sCO(IHT%0%w&94q#|j2n(mq6sX?mp~9Baife9HmmO%C6C(+0vRa7 z@s{X_eTEQy_qjm_4E~;Q<0!$aNFH|qy)h@O)d!qh6_nj8?yobI4s-9RBcBj1i-%xn z?%%teyLdvP14?8xjw~z@few)X(vWl5E~L8GJJi5vn?cR#;bv|pJeIhQsuNGWwHKE9 zX~i#<0nA0>@Oa$9oH`feGRkG*qy@3DO)HcI0pxd#5W^z=iH5_P+P1)5(APR7zu(qx zK^* z_2BpIQs~lO#KM1OFUAs;ePiBN>G?`;w@3)q5IABuoH!y!tq>Bix0Y{lT%SFxQQjkd zRI&gp+Q?B8IOC~psW)(wE%+lwup5XtpuPHePtM1rbTN`o9p7C|Kt?QGB(sSd(CdeG&JpUTW)P{;9BQKmgWa{CcPnkv0UE9M z3@9)k=G84Ww`{`(7$XIPY-Ule8gPT3<3*Ww{AsDM(zy7(JAmhuDst$HdRiBpM$^Nt zS#y7pMV=TpR-9=|wiwQtNAD3y!5D9~yjqCvsbs?{Uqz)UxQsvfZUTs^S?X>OceW+z z^Gx_^vzdt5SWMAQCcdLv4?MRxjuoUcY&+wE+B_zFRNO3!rOt|fRCI#;S*(fzZP)c{ z?-@|LP;VA}x>op1y81MmeWv}evAoIP^LuUtDndB@=7ug);S(aJ14g$D<*u(@7q^M_ zL4@Ksw>YnF@@JtH_h0XS+nuc}&=cqqTm=hNFw_%f&DCU7$S!>)is>rIa;M9ZilkP) zqhz$zqy;jSsiotqKCzP<$`ZUe3RMzFv|m|i%#_LBZ6%7y#2aob)FujK9@e8|q$5nX zXUmerlaCs)lM~R!J93q&BWcDhSZTtMO4&F#e zF)uyZ$LVO*-iV=4{SsGLB^=ePdmm~#3$ERY5jvQ#Y5Wrn(bqYrD*i6wFr7h zU-b#%tMX^o?D_zAe{-Fp=a3VrXO5yr&RpSQ^l|6UI4D$lAWZc8QOBz8^ALcfKDR@{ zLrCTT(H*UA0RMAzpVw3Ymy-CnIguGEsl1*xdlRT!^X@p_;qe(2sJ{P$Oh<75vbtiPn*W{rMj3VR#3 zF_^R7?+fReq#K>+&hHGJGIJyP#w>u9$P_hBtFmoBT?Et0L*vv~R~YJ>*|5Q+VpD1D zL4wI}TsVOrT_ByNZ=O~*rOKb8Jb7pKeMH;`ZRtbbctbUMSH^ z!oj6(5J{o)dJk6hTg+|1R$2hZ&-)0sR(z|?S@&#ug1*q&vzt8g(KKD!o;v|P2Db~s z!Pnbij^7RW*Mz7XSqkHlBP}C~fNax{;suqk_whH8OdQU0!U^I5BEB~YdPK4gH0Hx* zs}R=05_cV$GLp8v+`7-pB@~cJDg9l#TZZokW2KLfZT)#||BJTUfC%Xk;@7J{5AegY zrw?SseA;&4`hYQzBF^JtA)$H%YWl8y>%CJ?(j9fwYdHSJm~pc{@U{c1 z)I6O_=`YVksikNdSUqC=39`Gfx3|vZB39sp!;NKe>scZ(dIrK55r8#I;bxquBK;lU z08QGilyV30_622}9iSxIe`A0wbRnQIc^b*bQ)*Y*Lnip=#{ANQYlP$>C1tl{x#v<{ zs0>^4i1EU`_V7{Sv6v)Ni{a4I;j)+%dF&+Iw9omhr`1?*baOz*apkT_H3d9$5na&E zZhf#8`Y@0{%`ls4Ka(0ctPbFxpD1}rX+I@QbLIc&CK|Q7-Cu%V;oKxp{Pe*PS_ln7 zgW(IQ-MOA_{(|K4GSimY7fxMN4-2P*~4o5b?xjl7Xe|>uIVW;3A7WnN zn#6v@VkEY(Mm^&lnVXhccKT(xTcgy>2r)SHv8`iy6_vs$qm(Ut9hBoB*J(1Y-avP@lDU0^K)NAvQjB-zentX#=KafTJjFe_m_6`de0Y>DHk zV(aIN>~cy}q`anLK5xJ;gA0>ETm>poAZ&sg#R#@}{rh9F zZ*p`Qp3oa!nj~_r7?Af9#+)u+;`CIBbb11n6QT|kN zk7IjZRA@*j2uZ~!BMqh&i*F7xgGX1rE6Ffj!^t;4qYU|Yw{7P)R)w={tkN7)7zEoO z?+c=GY&d5Zy{AKkrc>P1>2onpb))t8ip=hfE4 zrj}##Lmt$H(eEszoNpkox&II}c?j<+W^`pm`cOWx389Am6a=oKm)>2`!y8r&``m z%BD7f*;1pSD;BygeR>PVC>R}Dj7z?B#3Zg~`&h#Jv|%fInPp|G;n`uvS37XX z-|E`x+Ret`Bzr(YH_+XwzouFW;ob0Iscx3ZS)4361i-qMnF@N&`YcvFOWr2vUydN6 zO!-r1NwAl6qiXY`{j|D(BV>k%T7dp$`6Ll>qJy03_Qm42HMW*&LX7$tx-C#biwB;$ zJ$&|_J874fI(P}E#N7@9Gx=;_DB4^+FbG}>*&18Oq99g zrqv8|PMJ_<7AE^bzTCw1Fo*|DYbL1~v9$0KGj-2G+;0u8w)q79E-lm?r+7z!C@=Gzf#a4kZ#cb z(m?s2>iK!(gLv&AA|E?OF6_uGIoVxv6G=W6`9QZr{ayXV(u?0L^$_g^Vc3OT?kE9; z(v#Q$D?;{epPU>6-x?Er>2Qp!To~2H`Co^{5#(s12yI=x*HwK-Vry zu6vB(?a&&BwT>}snjLR*sdZPqoF3OPDpv`R?;+L zVjWSY^4|MWn=o$k)~yi~O%8e3wT2OS@)0pc`|1A@iyAL^Lj;t0A&JxrMloDzaKh=e zRQ;%UZkY^OVw$kImqMmm`nSts8YLLw1;R2IYrS`{<4lDwsbzkTlyP4HV1(QE4m{O} z%A=+`7XG4j)z8%J+O>l(Rb>pxT02de%TEJ@W|Xta8TFND5L9IKm##m7HxXZN8Uw4V1STHo zuT;Tz@~d(eLDKi@KbE+yb<{ezy z_vQ!B(`n^B~g@z z3xZbr`!=)ZRD9So3rq(&h0ycE?TDAwXL+@I9!mwDF45iz>?z-8XI5PB(x?K(f_PxW zlHm+U`}#L2kp0P%e}KoMXHzI1Tz930Yqpt{5r1>QViqwDc-S)58hZI*SM5?3!Bz=$ z3lo)0wpY|xGSjF`46ydI_dh(VKk>Chy17O?mEZ@MDFlVrsB`u@mbQdSo8;B@KybBG z*c%DH#_h)TYZ&oAZftyPe_xy!Q0f2*GL%7Uh-Z85mY)+ccrWMw3F5A7uI-fF)?VAz zZpuHCzRi(?juNoPN@g%xK}1=-uk>()H080^p{?q3Cu-fk=I1BjjvX%ZQ%&Z1;ms)oo^P|5XC(K(W{UU8lZN!?}CPz%BQ zEy!eqr7T4792^m*;Jha>+EE`HG$>it1Sd}6v^quk>VH>uS$*H9|R^%v2 ztuHr#Naajd%8XpBcpgG36rvr)8-$TC%_N{xg#v@|xvd>0m)Ju%s*a*@m=C<{I|`ouJwIAmYjP7jVY%<-(0@luI9N zJTO)@bv8U)m?;aubx~rhw|TLe<8)PD3E_<#^08~Su@GVnQfdP(3HX-twM7l&S}-+Z z0yiEBGIWt7wDe%h{FvzTrm6Bcza@9_cP&{Ye4<6SGXUYENvCG!Fe;n6Z7J?qBa7A~+_r3?f#{lt z=ZWIK`fk#8bNn52VFbca&Fn7NYRXv8q9&fUYH1G3v<_kB1wCTKJX^z(t=EFj!4;GO zF$}{zF#}WrG#HV~$GV)U_l6xMf}mxWoy#~)TW=D;>OaLe>RAz!t&yCosrlPE;7w)h zn})feMn|l?-(3riQAUdCPiw7Wi z-18Ku(R|6)E3bsuvL`NhLaJSu>GaO|7R>X-73OSRa9G|mqUEug*}$cWv=FcfwQ?5V z(5aE9W93YQ4gf(1H|6l{iG)#`4)0c}qIZM}M_xQ};4CQB zwJ7pY)V}WWW6P$?7GIGONAt=R9mD#v>6WLoUUp&K2jP}7hYjPn7TV`!PRMhdbqF`=vr zKxbwfOes7TZWB;H&~$no81U88Ni2CdCx5~M5ark^P)=%MN{Q-QQHS|^`>UlDPG9Rf zBBCYlJabn?{@St-JPpwS4f~>sLXA3+Je+E8Nx6SlS~^{3$?_^wsr+_L@+kvN3iU~F z?Fs0nW<{PgyqTDs@^f{1piH$5h`*qG6;W|@`!YkYX|sZ8Yjfj5O&f=$PhjfliA#rq zS#4`itEOvnxHUCr2v1K9-J1%4WxGFgo4pI=%k3FSMA&BbA6x{@jp@Wu16lgx*z+Kp)JLInW!qc9$cQ8Y$X|#zpR?yc1sn;Zm@gTz+82R z)fTIV?RMgw(S{J`?{er$w~!|^xWqQBQ>im!gN!ftU$LLYHX^mx;DKDzzAl`kFHq`t z(f7~qs01zB4&?CS%mpX^ z)ss=VLk^pwdM_20zV2N3mHkgoE~@gR)1^Em4~D`cL3o*kV#kK}N^J5-;qhMzj|)p* z&i~AsZSSd6tBC4)c3ANFJq=HIQqD_YmHE+7dJvSkd@_IyHkTL|?7Gn@b#p>_UFel> z{fYJ4NmiS%iT&yKlTQ7T6dtGY>q%68P*!5u_^7o=`bz!dSS@MfB*%)W!e!-{h=glx zp^fPwEAKUyu}^8);~Q9l{e4tdMb_gu3#}_C&kE!3#ukNe-qpl$R4_*RZV+vq850i+ z(;w4DE)7P8jA?#kMRcE>)G6Af?Ox$Rd?8ik3HM1Vm-X2ryLnG2tpP7d#7Y$*jY=V7 z^V5H(r7@KrSq{60+nF{)l!GB0xkcM9{lwyaub`n7<89h61yeo;hMskL?9079DA|{A z)42G^+kn-uInZ$%zAET_2CPr`7_Gc;4ZTq~(RUglPsY%>ms#_@9{PD_Wwb!GiLJP! zw+UwxuX=MZei6vSf0OZ4>G%zGS^Kda>KbeMk|HknAT`Z9Afnl%gV9cve;LcP@&>Wt zN~%E(Fqcb$xu}D*P|q8vYm{1rD3MBV540>}f!Khy#L?>-h|mIHT$rRvm+UjKP&aoe zggI4iUIT+z)d%@2Jz4w0MIpT0V?%~QC8YvUw8c?h6Ps`V`t|VJfk|z@QIBYBUE+vG z_bJa3<##4MvoKH2(oK=h}pmjYQ zPII_BMZj*SFFsDh_Q=}64K~~L5%2$s{q0Q>kY}h=ifI#bf~#PDHOh}-PrFisP9V=v zFu(9UELt2YNLQ#yvTNIzB5%2iu?-6tZHT#nYCU(4d0&UFZ-+K_@6FOxB2ARl+lQ;6 z5HG@dKH0*V4z_*BIH3(e)bpL?_ulom{lS%T%VU$}$&BrbE8#R_)|#8znZdxS3~ev- z#?H24rUu~RE5;2is-3V#KWAo6Z{0>wnl zzJuCQJBc8qx$A#b_uGyDB82x(0X6D8r3-4+?*s=dbEj~(_=_Z_l<4Hvfrus5o}?@0 z#!aQ*4NycbZb5XJQvhIfkl(QoS5O$Sq!wKK*GN~WQcOcfUZ_gAZ=aFSc5Q7Uum><+ z3KKhogZOe6?8}ulm?MPXI{gbWWF4XV4QP)D38-Dreo7}tJ-P?t#q@L{wC6?_t#NkBDmjR5rIlClEzl;CoHO+%Ihu2R}XQ$ z)SZH5gXH1vmYFeWogoH86d3%{03f5Kn&Aced$m-E7Bu6Nuxb!Ftgj?0j%{!YO8W78 zDrX`pQK=`DiHYG#W)EC6l^O*-Jfh@Mi1F5&u4y$k^U-iVo*UQR1WH9a;od`~)#jNw zRJO1eQBl)+g2@p)lWm-glMNm9Y`*j$rhIxK$~nq@a8`AYpVnQ7GDxXywC;b|m&P`sNbr+z^S3xHaq!#&BE;*H`io;hmoaV=V{;O5mu2S) zdLkpQVQ}w86ylo-0x5Ph810bYDhPuEP;WMy>=%nOlbn|n@81~{?9G-hq@OH1KI4s2A2w7H}WE>2Sm1_30niSph zQfgg=8}GSbO{d4AqD>P9f{5pf@0a;reD$j)RL|7@2?$E|n1+L8%GEIA5-DJR&FNn9 z8C*qQPTSG0re+t)?&x37CJK@8Vciqj7WNxrD^!MU#2bM?eH*P?HuqH`#lM2XiMqkW zp4f28dSfOoR=k@8!70iqRfspJAH1qmW8Zc6E#`9`0&u(gSEHfT=Oq*=`A=RL8vfCVK-G|d!(ZTpQuU}Si&VT6m>S7R&JPi|0yN<@*7F^hJ|!fGO(3rg zU$`troIRH4wYVXcvh#{<|{wo|0D zG)$MQ69TugW!TS6zGxD&aXJrC0M#it4PoImkI0<_>o4Jv=2Kb`UG%|xGWrJPc6M0A zvToSWMK|h{=*0Oncl~EdB-S|gv*ox5dbm!LUGJQyw*z$v`VowCAs2+(BMNR?+2y$IPz(-k$kQ_zxo?GqM1AdM3csL97Bp zi~|sV+m>mZmhSdD`;!Kx=jm%7YgBISpd4HM#=IT>?0%}MJ+2K<6wczG8K9lsII0bo80iDBM(q)Q|fP!_g$=UF&v#AQyklu${ z32q4ywtr8{t{*46W+m%MmQm#3qUd}eI=H>l{L#L<2Rr>JUghIDF|gANStlzfTpZEl z=MDK`54NrswsJ!!i%qsZbiZ>{iWspPN`%wI4pbgxFs88Eiv=nfJ>TghZg~8~6bWQ7 zLBwp5$f&R=PJE2T_3g$H0h>znj$A2%Y6zEpow-r*kdZPxb?^OOMu zdYlN11WF`b&Q$w5+^N})HBqr`7X~_+J15p^455mofINy7`L>GWUjbAAGneTxT6kC- zdLvpxjMeN%H;^hJmv~Y+Hob^ql86Q}{dx)Q$hBo^R;Roo;8T&0;5qeIb%sv~%+lzmo5a>eNmK z1hUBG4uzawhS4QRX`?PYR$n;=z=tB=)^Z`|E}&#zwDv|0vh<;fV|j~Du0%J^xZPRm}roq1Fu1~KQ z$;uvGRKbe7>&JAH@7U&>+$`peP5B`ro0|Ob3qOa56;N7cxv&b2A5%Dj+E%W1$6yE?*{So= zK#OUuhhkv0DfB7@H(hj49!DSGp?+9ydIq-{dH^#w)vGJ7H^!F_(bwC&&);6S&z!Hf z)tWu^yZA##di2?l)PVU(+CD&grQLGTOI>p z{>%q&O2nQ>oKAPcpEzrZAgv>_9KkbAYrAU(gBKDm^`wBnkFoPHEG3(Ak--c|B}-8f zJe__%|G=~jMBalamIx6ba;am2L=in~4y@GYIEe9BlWLYGsZ%k{GzvTA--Ht^8g`4( z@!2dcmLVEk$)00Km#bFxX`uwgTaz^@h^jS{+aOqJ)jqfdn7xMNIX*qNJ+KOPx)mMW zE&jcn#J^IcE4q$B6Gm9zbahF)ab#WHu?)vD9$^t|sHO8hE;Os=RhcRX?3|Eq?;kiz zwoUm|mngNYX^S1`W|IObFSDzy*7eK+lxlHVc8_dCv=0%s&$y`pFfg!7Z8+f291Ot8 zVCZFrsbT;ASyZdk?x_dO&Psx+sO^PRB-QO`54Pwk=x3pllu;ucUfkf@TDc1QYursZ zF1r9tk!~x{V^FUoUU|#0I^v7W#Z2ah?i{VdjNy@=nl*FG;viLt)|Ws+7~k6N?s$;y zq;X6gBo;>pnU@DEg?Ua2S>+VfEnsTP8PXC+@Xl%oVO_h6Su@xz;kzDjE)o1^CvrGV z$K(U~IO%lIMNq3=#CW_M?3q{+<2vYnC9|68BE8&&=m&jqTNXxot^MdBzpx)*Ejq=g z>N|!Y9?7tvO+MXv4j1B@up5&gCwxakeEi7C3#bBGJhTfaVuhX$XW)Y@+Le_k28uTU z`zr=oeLW0PW|DBwuHy>rnEyIlP_4+>8Wv#MIA%3KuxE6a9pS)!=QvLGTN2MeIA+r1 zPR0`HG_2q4vdN>61pk0{K;@IKk;66Hkz=B0uj|7^%P|;MU+YJ}uKF~klv3yp^_`TX zas?@SxVSQ%ZcBC$j4AMY281(8(!nI9-!b_h&~V=mti%pt1ZN`-U^P)GMgAR5*mr^w zB}=RmHiG;tAPcDy&o@{pV7`?Lf?rcvt0FMc*;tPNM0%;?87$wJLi~nhn&wJ_DtuKP zw7}!b9cyM)5>v+cYy=g zsX3-}y3G0z4ySS;w5eYj+!kXR2o5I#w*BtQhEd**6g52l7&W;4vXP_mPz1wA=4F=7 zD6yH)HlEs>&WjP7(@LtR!PFlNY(Si+eD;0nM7qED`eU#bw4R*Ksa=_U>=kqj{PulJ zFthbH#mTcUYM|kr#`;fRVV%a;TQFK&e?o|A0-bMY0^0-#(CdxCV#x(OZ+Z)Q2M2UB0HVEo{y-oHUUuH6GqF8D}bEr{}75#!Xpkm1&Jj$ z(bvhIk>%3{j2(Uq7(oC}U!mCz!l)Po(STFjKb(j&gGW-8XfQYrqWPoMB~NSt@y5GQ z3$+8gJ)8+VPr}zFj-n8qP;*S}ttdC8aBxOt^GP@jIV;N-3!OL}{4qbGqWZoxeN#b| z$)|R93WVjg&R7lr*k8C?-@7%tUL4-j-owCylm^qwh2A4T+&bxm5BFXMREsBGmVVyzYkX8GrHy>p%%uFCy6)+`TfJgbrf-@V?QUSPQ+7n88 z2sMqi3;{G`cgK4mF(_bRr{&Y_>WLsT>vkq9u^M`T`sm%Hkjx*~`%%T#& zr*v~$rXv3brec(7F8^>iQD0ArVGXkt6El282lVN}i7^zDFhH-3XMMhLCmqXzxUons zJ)*(;qE)kvYoDYY6!@!NJR}tTMLAV*aVlg^+h?|dE@^>c)*DbX;OeCBlVv!j0gJbkcv2*MA`!yhx;iIQL` z_R1(C%4b2wy0>^11 zY=1Z+&S_zAv*J04vw%9htMHzYRJ985;&V3)3&K^%{N~NA!v<#lG^OJe)IDO_m4xz9 z%$xg$6~HshrUR#sBAcPKI8#fTHUtx0HpD+1SyF~G_)#gYDWK?cDEQVLb6FNk-*{`D zlzYSQx}Q*C3Ij$57mo{2#Y%frFD8@69G%$jt z|GQ%|<+Pyz^Q{8`OL1A}ksF5bK1gEI3k3P&fK^*ZFXwIl3A;13?TvD)IdfY_g}F)2l&Nj%iDdgKIqe%;kEnmt z8Ed)vhhXI553oa)<5yXGQ_eXt!=yNWVpM?9Pj+%jU{I5-n?FwUuq8>1Qr!R{A{+d% ztz5&zJ2S3_88!Q0%CYc*iGc|qhZkUH3LvUF?Qk5q?rTAY3_&xE{;D1ILDPr3V8IPc z2V6yGs#M<0jmB`H%%o-VHF{0sro&blWDPd*Ip*U4Z^xLx2GJyVs^nE`0R(e&1yAfz zeo9(l0e`k#UY_BNA16E!C5SvZ6f`j^V48@@S#GIwV{MOO+2Ol`a{YzID5v!{dE-zh z`*Gv-HgehML3(ut+}5Kz#XQ}l!bV3~5ZqAR3cNmL0MjRP1kf^Pg0FhI7&gLj`T1Wb zG3Oh;@Mb&(-HX z+$DXlBLSumJf+nw^+>peNzMs0CyYlcwqBj#iV zn3+yZoiw#B{(*Fg_#YERDLbo1PoNBcl7}F1Kl;nFzZrkBq8dR2_Q_)uX+{{&<<|0s z+Xy>ow$8>d_)(9vOrIHi3$dNZ;<%!dlcG zqA)r_pJ_OFQGa2U&;OiLEPq5v|MTX&c@@~p?NoRNam8RzvbYCvt%~(zz%i_wl?Q#B zJ*_@$@r)N%`)RNZ$*mGTsAn+kEvIdxhc2nv;}A^m06}!)#+0$&ZIXh`-!pT=*-ExF zasqEvUVEbV$DCai=@`HUg`AOZVrn1g_oQuuZzstXC`?E(%@+NQ*WvdvuahAiPUh$X6J+z`8$%c^ z8vsKfhVgdr6Jls!HFL|*X-TUV@8|zh4aR_kvx1mUu>$~5_CWs1dn4YYzwNN>uKic4 zpj-*HLH1MBQQ^HWhxSG3mWdWR=q{Gw5($4zp)uLjt%&k*C}(-;E}mHXe+xmk!Gl-V zS`|ee0nKHhhfQc&Ew)gy6XpWP8sSz@TjS(EY{G|V7Sf$BR%`YJ8WFo-wbVA`XJ$sx zcC^Et3oHLMhS+IqnQY382Q;ypRg39jtgN-Lm__io9C#F4&qu4DCZhuO-h@bQz|dSh zE}PQ2UFCu8dWt(NCSOucNStJyQQP^U#APo=9IO~fgWI2-j#lc6Y&esg0t-5ksHgBX zNm~`<^z^?Zc6g3&It-Wb?X9S-Q0bmY6bB4CS&S676YJb>q}NDa$*zLEP>gSKg4RL+ zBknItcInFpQmS|>TGs@|=EF7lh_eS4@W=}S2>MCik2dtR0alSd^7BLYp(QMMAJ+u2 zF)d`{wn6&@IWqQIX04H+g7VN1tRQ__5BT`#Fnmq_Dm^W4@*P{U8LZ;>vQojpn_Z|O zq9==oGb3qXC*_oWac($0K_@!XT*|G&8KNYBnJ=43~%p>*$}cEF#d=`_Sd5t?I+#)wz8*zfxz)Y57Ca; zG<_rjeW^eIdG*kC7Ju(AYy0=bQp?fhjiBL|o2m&8y-)J(p;aPGhX60z=(_LJOdTHB z>1|~!RhRdx@Ujr&ac&a2HwpK<9Z zxqcY-Zfb<^zq!bAzVH}YeKPT)pl+`U038oC&RzCtRd`_aD75H&Wu5^|bG*D80CdE- z|BFaICrIDx#)i*O>SO0pp!{Yikh`n3ngT)mNG`v$`UosO-5Rn+=doVDmLFl&U|!ji zMa8XZ>4cSsXU=j=TNy6YD z4M-Dy^U(zsy*KNkVkFJcH0UwVJMgruH43~B?B|)qysOaB3Av71CZZz9(daPHIcPT% zexGgpGH&3)?HjkE@_YQS?gE|(`pbaL?7+@@3zBJZUxX!IQdagCd-UJgfo(n&VLjDK zX%xNql!t;2Z8)eGiCJwbxS4KF?CS(|l>Yg`voW@;0Rm5FYbuT0JQ4fE@nvKr9ey0? zOmRomnFe@tyN0K~*|^DWm%Rp4E>L^9=RiK@?tq@^yyte3lE1B7sq)W#iEZuiw8)b= z^S?&4?tWYCUt}PP#eI0T0rd7m+^KzmAwhWdzoTg1lC1$=<6<`;WJmh`!CT;#WBUG~ zTi{_s#g!|3A@o>S(d>iAsv?XsZ4Xd|OoiDmOc zy2zO>7$Hyu!ON!Z4HP%_rmVygAdv-7fo4Kg!#XOuT5Hsn#KLz#%EH31HpowicOqc0 zu)BH}2YsBXUAx}5X`W@GbzgZBafOunp>pQd!aDx!3yUgSz_mG&}?iyuxr(W0f~q7@CfFN*9$ z;|Gi9MWC`dS diff --git a/double_selector_8b/db/double_selector_8b.cmp.tdb b/double_selector_8b/db/double_selector_8b.cmp.tdb index 2e961837f9c72ab1c37776919a9fa8edb19d02d3..9144a32c1546b28d2cede035bc82d33366b49823 100644 GIT binary patch delta 2464 zcmV;R319a682cBHR)5%s*!a}-=5Mx$}bb7j%EM2NyCV`dT)qDCWXBB-Bu_@XAn4<;%uh&!(M zd_3bq6mWTpaTjqz#{aKR_e{;1Gw05r7a`h7&FQYLs;;W8u76(ctyZhm?VWQICr=xH z#iR?ao^-{e2~#IubwTg=ErdPp)Sl73r}Z4tJGytUnrlRSxW2#YyIN56&FlNKaOm0> z$Shla5z7GQDwsz7yDfTgM+1O_&2V}EX1#927z#%s-4IA)=?Yj5Ye z;*?iv1*dDJrh!Y!krGbE=fYwcUey1V`dQrwWxLbr_S+^rq`-E+Y_}BT`~^yqYvVU^ z*w+DY!~l_jgBUzt_YSV2x4NKO4ZR7yEjjLits@Q?I^#GO^|E7VpY%%{X>HjCVUv_X z+j2Q{_J8?%+@grc_1fQlHmfYxLw|N$4NTH?^seIDE6C46ayu)J!YYdgtQ5nq?Tx3b z4XL-TYpk*5{7+sW!+a&1za;&*iti?lRpg;c=^rUWa*+1BI$esa|5i%>6~mk2k*5mj zxK)WS(bi_htxHO4m6E*7p*QJE-u@{=vR7tYY=7X~73IC7_WNz<7v=4lBTrj(gS=&J z$RoCh7$QIGKMsvizc}vRnQ_zp_**Gq$9rvz8*Wdze#SR(T;iY|KNs1dn^(;*ng>eK z-G?2Ti|X?A%nscGYj%ViVxk*hVLWrg)Qz&wxL=p^Vdr;eKOHXFsSi82*{*2Ajz4<+ z7JtQ+wPVL%hrWgL@rCRV^f@2v(hfZh)#7TKV8^z7*uf1`d(nDjhjLHNj&R*bxCdqP zT52M{l@hNXdihxAcD$i+muOtQzBT1t8&~^COT}QK_1<6yG=iGF+K1dnYG?Do*5YFf zti@jCv?}?KoNxU?uD9cIT_i5##|ApR6n~bpbb381D{czuB;V;}sjR2j20Ede#?@Y5 z9-5(JT=oIct5ix$WrtqC%6a?NBH<%lw<`Egd8T39Bh-dJ((#%`eqjvj7vd+S;kQ!4 zFYMd8_BPPrCn(aA(s6d#DnrM4AyOd^zp#$7d4oCoOc3QQl49bmXg=M7@D#{1_kRd& z3efPfw}+fTormsCIiRS3zey8GUADLjNoXqI?+AG5=z1id0{*BVhp}#;Q-!f6Xrigx zvFJuO3iuwwJI(MOXLutG-Kz}mj)wO}!#k=4?*}b-XBghY3~#gx|2$`SBOZHa8s6b; z@E&h;zQypC3~#iTaa;N7cEfvQ8-Kiu!nm-7T<7OktbUHNc#-)+48%g+(nov|Z=}bT zSh97i&k!xVMIxN24dY}HPS+Fj%SyW4yE=Ej4js#sv{opvuNBj3Ev)O5jDJ@9CA9~s zJwoks2Rhe9aH%~~?K33jKFN7Xa%Sw}+zjET=#X=<=x$egm3*^CNea5jqJNt!x^u*T zkLd2zBA+6<(?$2W=uXn&gKlD=J3G+bCpzTOM&5Q|+?k^Lm*ib3{)N*2HjO({`a(BY z@@LCI=S$vZN_MkEw^N||G|){5bk793CGrdMhKg>!k%nfWp&4cHFB-h%9e-hHUNJOB7`*-( zQ}dzaJ=D;=ZfJT8{yzq9dBfe>`;BK}SeV~~4exA&*PiGLH0+a<-&+r9(aX7c&vXxD zpGLOAzoMba%n^Ne>(Cm@=jxdHe%wDJw*G||sAHU4zEQ26SUh$18o%F&iGuvD)osWZ zUCQCy)f=bs<>Msc;(vFli~ul-g8Z9*=}i8m1@bSGY{1H&*`fT`f`9V#XJ7Mso6&zr z`17Q?5^S>Nqb}zz+D@3@AMD+ISsV5mA^kmHZxz`4wu}O-KQAY7s&KaU74tJ%_MVlo z_sI_Jjs92q_wjGUd*5gMe`tsC8U2|zzj^ULw7}kayL+VlI>rl1?`cT-vW(D4ev)@%Z ztPMV(j)6X&A?w(B?Ge#;XXtMYYrjraubtTI+#wl##uU)sBzs@T$S-h@ds_4>GxRs= z-ci?}u{Kuzxh$j4!!PFNL+&Eve!xl@zTECobq;|3fEbOA zJJtXYS`z+v#SyE9h-ac2A_k=&mSbt?lHfD;R#N0aIs8WaG}DF*OT(6(vdcvh&1 zd9lJZ zi*3^OGk-Y%fSqj)Abd#=0QfT`3h-jNy2ky)Bk+C=5w*2q*-Zdm;n-CG#Qswv0WU?? z)TKlxG>NTBQvmiU5()6HcO-8B{CN@yc(Lklc0$eBQQPk%5`dlD2)w7dbwWcV?9-=M z*vDwi0f;620Mu9N3s5ID0X}^6wBSm*ya9BQhkpQ~a;(-T0G`|gcqSgUNI(Fc<8&(o zh`H%v0Kk)=0ME38n8-o^oo9*xAfm1p5dfYn1$ZX?8OKeNY5<+2C4hJ#JptgC>I--# zo-?;OECo8rO#snAjsn0}4pf2=c&1@uI#o;nog^rLxh7Eo;2#hJ;F$pL&`Fj8 em=p@K6##x;F$3#cxl;;By>f$IzX7vX3M2voPVFK9 delta 2593 zcmV++3f}em7yB5HR)1Rx00000008X+00000004Uo00000004Laj#{=(`@nW}ixDhv*a0e?+oIJV@Zbn5Iq2|3W?t3JqhTC@yW-XV^7hCMIK< z^MguAlttf!226cb^FglZXtwzhm?7_I+)qwcHUd}KxPNb*rq)C??5MiNTFu%%hn=;1 z%1`x^C#Fj~HCwn^+Rr>Sp^X|2>iYp-h1|Db$BuscidFVoQ7#|0(YhNxel zD=npMP=EdYj7jZkE=&Clvp;je>6C&5z{s zHa1d}(BvYesv4oBajN4)@kII6lFOK_-=y&mDSxb%j=ZgvM z*%%KNkBC*Y?^Wjmh#4MZv@5(5GXvsd3VyIk=_6}S8gHrIulla=PV#t17w{PO);dcX zZwpUf9L+L6=sWZq@Qy3sW$ocNX{?bByK7hWslVMiAM7Q43O8Blc0TaI`Y|ChHSR6Q z1%D5+JZY?xp1$_>xF2C$%I4#3*%R#JdZsV@rXD}q{MA*?MYbU4zd~buWwyy>?cq0R zY?8nF8XZ>tGXB92{MDCi^PqwsMSs7aDa79^$OTUW9$(a3hMB+Y!(bo&YTY)+7yKyt zdswCrE3cj359P0DSk3PT1%Ijkpx_7o>VMfU4&yS;`&(QG89V$Y4L*i-rVcBA^&*qc zFHf1A3w+PncojUz@}$8l4(onT$5V}aDjVXmIJDOIp|d<)uR5`)=m%$Q@Z$s(h|R?~ zbcT3(nabsOP18$RlQY=jp$jkINsQDnE-#38fRWQ12%oo2?WZ<8owqUPXuuObuYa6Q z*Y$NJW{9+sR+1wfeFe2Xv?$B7n>FSHosKH-FESfh)D~ z@07h4Yhh1TeUa*aQ+=N5$4c)G)z`h3roT{qx#~OQ^B=r_{}k^+8PhLc9#QanNE-;e z89J~B)b^z0uaNu$ThsJ1$=$8BI87V(OSSb%u1D0b@|2N^H!A;1{a>kkv6sJJ^3Mx* zzHsgl|2EmNMe?!acM>SP{C^XYkA?qx$*-0?c0MTiDU!cj@^8s5{Me*10RIt zBlEP8IoI%?F?`$aPmIh;BXhRlKWq3_H~eLX4bK^wKBKFDW86F;V|9OQWL`2dI!|3f zewE={fBm<$8*`qCQGY=GluXr??=^hxq9kNECrSTrIm8)oeyhV%OEl3IZ-Px(>?Z!w zcB?K8A!%Et&5hyw4BS?ZF4W>g(K9_+UHie|+qRY3C=i{Jz(0Fur(D*W+2-&i7kE0u z6UrLnnB1l{!iFrq&fyL_f=+I~!QWVe&spZqGh@Hbkgo9GsDHudegawhPd_49+v)HA zM@P{gxpaB^8P6U4gRm!uY|WRtjSbrscgK9q8&y4DH;sxS$of0h-vt!fJI3`lTAv@Y zL5#n*cDX*U_4c&hWm;ZycXIb9Grx=lb>pARSp~RxL zv^(~1z%QHMGW>boU!C)Dn=z_P*ZeLZ-kSM+xXbws@le;7j{UMX;^BfCf9J~IB?W&M z3^RW>)Wm1aeFWM4622SyOUD0Z`FmHv-`oF3eKGFA)4+iw8LrlsY;NcZD4G2pxRbvSeVeAU1Ovh6!T>o~o%rr_ z=M>JZ4M%bg%Xtf-&iM=J4Jy4#FcADiIpk2r34b;mq&aMdwI>S(LY-p{;_o<)I|%+Q z${~lsTXV0>pulifD9+^(wUFu)S1Az%sEkRImRVB5x4vYoIY zT;^jtQ(_RX#6@Vm%61TlnUEU<1-(vK-~vT!r9Pl-3js?Af+!P;Aj;E}L(UdG1-Q6F z?0=#0RP9TMRY^>O;4f9IgPfAy8=`v^OduKwL=bCkK*|t&f)wPG4we!T2%?dQ1hJyl zNdbcY6}iYM>D3{6Ob8H-ge4c6R3s8)IJP1C~3mR_ePuO2Pt-l7d*9jy7 DUF;ex0qM#r{0wrzK88k#I9IlZd!j+L(~AvT=|w zb271TF|jf;bCW2WI=NaJo00$wjjc&oNtC5Y)J=^@#7r!Ie!|#L;XlXt@&#e(KbJ30 zkpEu8SN^@agPrTbb*S~f<~Ylg*-%JFXrnz1WjQyz=l_VXP+cu6q}FQGsQ;@iC7mg$ zBaQZr;fKXlm`MygCVcl?egcE^22gPNH=Fa}M8{R9{W20z@DBL*F54}|JR3OmrJX`BD)c6o;bv!nE7T%+@pb z<+U%eX%FfJSjk@vaz=kqiGimBP znYGafCt1^wyoRF@E(tAR+B`^xMni{Ap{cYZlT&_*3CotxG3QJU!=N>DDlUMETJzvF)3B?~eac%Y|5ak~b!w@S7$;vkT~SKSA- z!kF%C4d_dolb7k3aui|pQ6@jEckHpz8eGUbV6@yvE>hV^BPJ;IEHqV;eI;vDDH8es z?hY6X867QaCNGfT>7^@fPEmB9Rnk6W%_~e#)L`~-=!#KJtzE61;8G3Nmomkw6iSh$ z)f$Fg)b)}YE{S-`s0P8)qudb{e>*54!_0ip>($ML%w14kOkFwBCw~~Qax?YKZmTvQ z{HdZ(pj)R&r<9se201A3CCv(Z_iq(G8&aAj0V>X?L=S;e zo-4{vrW^NjrInFfDx;t)x)vgMKEuFD>18JAtqc#v1_K~k4xD9~Uk3+Ig=oZZy_KgA zYcqD`S^R{QCg?U@Q~k+{`%Y3w$heSWMyX`Vo5A{Ne6N1!w~xlfX&77R-JH8?*26At zvzZTfyX8{K5%`7VXrZFyjDjXt{<)v~p zK_+xsGg|b=@A@ZNpO?5}o=Q_K*=?bQ-8?*YX za?D3LnddB$DE-Y~Xun#$5nLw4Z#qt!BecM66|NI+7$a{+^G<$qtlQ(^YjuYVn38$y z##qG?(fA$RU&c-uL?xh@%tgZvjGn0)QMd7IkL+)_VV^IDi<-dQ1JL^78}LzUoXs}} z`&@FHj}D%vg3AoSUgz}d=6k*un;Vyj4Yo;?%#!AC6);=D!vaykp1qd&s{Zm9`^Rl! z$u&ogLZy!uMeCHp&@yh&_)GT&Pb$~@cEp-;5BfI^sh=0>E)X9DSCYPx6*;VCLE}QY zdmFhfZymj4pbGqX(3!rq=Id#;aJ!7eWEgK&(}9A5Q;OP<--{rF)$6uiY@}&F6VF-D zYWFRK)&7lHMfdn~HJov#aKIM&UIxDves{&8<@GMn>MIGgLe zAUe4KSCl`IWaeO&^?lp30azU&TBKs*ldx$7W3ykxNIaP7-NC+R9v>OY7O@~Dm~>z9 z>UA~Fa9Yr3L{{tC zl`-?ImT)Ev6q9UKrsF{J$y1kkHG#qdk?aO%3&YKWcWZ^aPQtL4d2#OyAoRIL9vtP# z%Dd0m^R(N>R5zm_y)!I5qC;Iyp|N_SR!>P*>M*g_eL*zdG{TMYt(j^?U9@0bL&C?w zzG9PhG20`8i5x3|{iE6)u`l1)7XM4#ns%;}8@_z`ysdP`*6r{#cb{&4;z%#lmXBrC ze%rI`P3Ca!mJH2T@hb?#2+)nzdl2FBwT#5_bjLMWN|g4v+hsZ_MPK259ALM{jqVjG4wjs8 z42%0aiV9j@hIHt_fw|fp7sqRS_u5fGwA+vyDXhNR~RgG`YKV@83o?$Q&yvOTG% z2!itgQp1V>%4||c=euOkp)sUizSG6T0m)bOtLBg@Xz)Hhi9c;0rDFn2Z%s)WFy!Aj zk_N^s)V{_pjxioC+pmmVdAZ6Bp~Z)HsGxDdKU!1Y1WlJeTOuvVM0|ine9uuri!(bn z5H%{9aPvq{&h#jN&oQNMAg@M0KLQvHrzF3L+e20fK#+f%k~V@Eisc~Be!up9f2<#V zzKZFZbSEY^a!!gxfO>@{H)?R~HyLiq1XA!G-E554Or4{kmp%8urhg;WvfSm|^f>$G zd(peddIj~gQ?1&#usGj*!{z(I#N=YIIPnXrhB}3~;a81DXZV(nf~T2nbF%5d`OhX0Cjdyy`u_*Xq>M zdk}46f(TV*9R~4ut;yv4aoZ7^4nYa82vI+%8#L8s4I6Y{noodk*&t>bUc!;~q{0Fl>-zz+Dil^bht7G8=;rX~elMOlK-aWC_f9hp)KpmJO zpfEm*>jjs}ev{i!|GNmZ$S{3-;ml?7<;zY#7hA&=&u*hfhjgYPE1kdc1NSbt8K5=6W`(`mt1?H=w{p)^g`bahsbywHD~W}W!J0N4Pxe=HPqN2 z`DDiJ4HGewH|!tuVz5N?5_ms)W;^bD>oVF^OlQc!GSYUka_cZc-^#w>tnsrdm=Mdg z_J)nx;c>cY=(yPlGDLWQ2cOL%nglyML{D9PAEp=mg@Vvo8|I+ zVjLS9DYAfMhv~^js(ZIg2kqiM9mp*xbQV_J6faKRPR*R(*p702^%vKqKb9W%XEp}H z5(GoyoTr}Zn7tC6wsgN8jj0KQ0rMEAXSUZdbo;drDt&$LwoWC*~RbdHwFTDPrBJd#uIn!dP{G|yd@4^_s_TQy6Ex=tEkNeacnb4taN`<1Uy*%&+U*d+&!2L)ivEJJz|$n*A>ks19$CalrkTG_pUcy(l*!yXn}9p zVa;f!KBV=!g=ylabKiGuZc027^&k1ICrLp|H`OXj?n8I^%9s-I@;bx_q z&?6LtUMg{@avl{C$aAiqu=4y1sbqir4z=a@sF98tj79E3V&YR`7mqot!es%)=;y|G zwRMh5!?B%^rD^_Fd7tQg6uwlCz$4nu{5qC~$74OsM0Mu@@vY5YQA5~ugo}apCaWsL z(R*cOrw&B$m+6dQO}YdI=tvVjh=o!f-r5z}Zz72!GiX?6PCp>5I+!gLYxY@H+ z<6V_gY*nJGu6o^fQRIE;-XDw6bwxuHm@9YE@lu$3OB}j?L_)*pdQ-vEf~U_#GLj;M z7tZsQd>4_p=p8wi4<+YVZt=<10Y#DZjjLsA_4mP)=%Arjn1@r`!>m`^O>u*@gZD(v zE4f+dHS#{DQ<29tP8^DDJwTwOomnyoo#=2UDY8n?j4#K1)U$PVQ;%Xi&!-BN+hz|N z-94iG>_C1sB~|pYUqnYf(s?!EMfo``pnJsh+Tgws$INJh8O6w^pB~3IPM?YDtzY#P zS<;j_#JKXX5*oD8FFt-UQ9dlP<8aFu)|;M9;0oMm9uM8g3qFvL`HO2ksKIC*n0ny6 zIfb{L8`&6HAC|`ltGYA&ifdikdB&>Ox$aXx?-$CLubC|Dbq>ZaEmK{mUKuP}Hh1)1N^c*oM08SL%Gw2GcDP@nr%&bb5UhDUfb~;C0Zt zy^d;2>D08953fMTHYQ_wkPkR)ewTiP^b5V4rUAeT$>;XXiqtA6s-}LeQ%N)baWYTX zs>c%U`c#zhsFPN+R0?fuf4Tk0)RY4e;N@u?u4SGSxNjP1{d})hz=`(CN?7k+5hgIW ze6>kV+}#)ki|aqpN_1`wuh{vRvPiGQ8!gI)Y0`?`g8{3a!LZk(;A7|DOZpYFX^$MQt}!z)Sb zKq3g*47n9{^`iLswVbj$$?uRx?=5TcRw_xpI$92T_}7`wx)t8^$z#}GX#t%)N~m!N z9R^RiZ9$h0`AhVyO2E3m+&iMR`^hf>P^lx+xatjTNJix&ImWwizZS?}8GIpDy>xUR zQ{!c}|E}SW+GnLU#B4`996Kztev}40jXkJ&zniGt4T4#j8kcrP^d$rLe&y1wk4WQM zheI9s6ok2&%I(bWv%N_q9TJlwd_Z`tR8P0v%r+E{g*h#%w)ZwVL$(YNJdUSRUE_x# z$G4w0?XYwsZYPQCbT(Iy^Avkwwwf*p$ePYM3KJjITc`35<8!=g+Myns)Pe|Z;NWxa zPw2dlh^B{&6sD`oTi$INfSO%pFA53Pajm8NdQF&HY_{R#iLN@eiP_^$fbQYfBh$AR z3xhyR46EyX?yv_5C=9D(_Pgvkmva1rY&Fja+(!+pW}nYTfDg1{vaCqN}S;b=N%K;NQP_cGZ6Atr%K*OM(r$0tJv zic-?o?*z_!S{Y)0zkY+xkOimDX}09BzNtnqyJ@FK$q_)IygyTG05t6Y4MXhZ_K|ak zb^52mPu|rpxxfqYD+PGMkMUzMjrrdYtZq~Fw+RjeZfzH-di+wYdExUw{Sk!6VWWNM zuJ_pXa4Ti^O0BiQfBkw#082wWNG{p+CRlT0>mvQ!GOe`<^>7`Rv%@v{CVWX7qiUA> zqd)DwhxvUYJZ|4pGp?t0F9$CBZLEnhH$Uku6h3(|uwcI(xm9JSWqp zJC+qvT*CCw1TuWgjRc(c3taVw9i0FoJ6w2-q|qK{((Hb`diB^HV;OI<9QiY0v}MXo zObySCmoRas=%S845s9APeP?~Tot_=@|9|}1iXG!M)&fLr9ji^Q@yCY5+Utd#_tCm)dQ$0 zB0sXtJej&Ru4^a9Jwr|OC8YRK4wbMzh!7o4c>H1>3=~v(Vj`X6+s{y>W3q$JM|;jetTTB|=opEsS?=5|@(_Bbr*KMlmbM)_t)`FP|D1?ZS8_;5 zUG)_hgG^lNwC+hV%G}*gFDY5SE{SWr_;&o|@WA-E-(>rq?fd<(srDYI(MGIy`WFb7 z<84JWJe;bYMU)b18DroCzG<&*`O(Iv?&!_wm}<4+owSb6Bs1JSv~@hIaM_j;6gnDH zb|hSVZ(BJRWctQfkduNmUy(~n4T7$0zeuR}&GZ$n*Sf5=TPfx#9qB0;2coY-j|T9C z2j3|6e8XoPmuEUM*TW#UUjAlpKs&l}`#KIJ*5{O3Vwl7uTQ=(DcIW;GaPnO}C&BH4 z$?Ze?7A6J5=B>f)_c*J@DsAva5~sn^@!M}cK=`&trt@zq;O(_eF3w;Nb9u%iDRe%p zp2YjGwpv4r8-*`zsXI$HSeKvvjVEQ(Vcq>*u`@v+n;v+PI0Gue-If)$X`@fnznyTY zH{=F1v-_>sOd1~T4pw>{*&1$$0=|=ERuEzn>Cd~E`|Dhh(!UKI8GAfN;U54KHvrAv z;(rN}Q>r(t^P zRF2Tka17z2WYdv5s+Oo~%S7tWXv7_{GIrVP?ZIY(->d1ddfgq==SgnnE#@Cir{2CK3exYa`a~Z$f&>C1Hi`^?J160eEdEVSGuMuae81M;OjJNR z>_O*?Hs<T2b`YQM6~unxK-g z!=Rx>*$ouI{b;2EUq;7>pLOuS6H{?-kM6z&l4!1{O@N&Z5zH2NSazSL23T1b_qygM z^c-H$AH==zQzMlM2%F94KgEEYA$R zm$+}@e8mmHHZ=|hlA9W9vHfxWq~q$pek4-myV7KD1uw?-$}IKZb-W9sNG=em@DT?& z8PcLQ*VSCXzVf0~pAH$P2C4W-j8-(q?M%w}GUVjJn{FxI4#u2bH}k~(a&ZGZZoo8U zgkmq+@#$>JudXmJoT7E8fQq1M)XVXQ=kri#vL*VoU5>}vd(s*BHW&S33V;L7X1BoR zr2Cu7AzUjXR-%fF*i<^&rCpRBO%cAE!G(c+o=R7Cz0)k+ur0NxuVp~VA|NF7F%lcc zFwuG?;FSq&4^{<`7dkBcO0zIjpu_Fx$>BrqXYlN)>1L_=^d%`uoU|>8H-t%tcws|& z(FY}^>kAV+$Gxm2JVzx5>=@h5arcVYMbcXH4Y4&JdE8nSB)UCrc5hqUznm>X)`{8evcHWQsnDpD57vl2n*%e66=$M+_JC9+gx3};)0*^}$HV%me zSGonp5DXTm(?<*|cbi9^5G{!Xcu+hlzk+8{&W3x#Z)u`p0DhR0VSRSLe7YC%$In&e z`r)?nHpMa$>V?`%^|oM}^w_@MUU6f%Y+L~~xxgaqR@^bKEdu8@edoV}0z@zKGR0Iv zWd@s0I5`7xe+3k>cV~5iM02<+;q*>bE+%s}AM5$hYasv#LU<3ZbP~u^l@Tk<65FJnPqFi4L(tRhG!) z@Gdj1NrrX!S_6Dj@d_p1XptA<^pBiUK)+M11VX3QE(je2vsrPrmp25nCxCs|xa;Fl z^M`C-s)#K)#7G3ST2L_R$gN8uKNQOmAdr#2C6FQqtiDDwgb{T?b?0RW>Ieduavh z?CK6R#aM=Dyt@>YkMBga_Hb{}X>yTZ+7%_vlJTwsWxT1r#Fq@kJUj1?asJ$VYR=%a z1)olK2akj$5d~An#1Xz-mx5Kcf7u=_VgGP8mWA)sa_{VWX)S1nP~f;nkW6c%oLpKh zd_*BIWW@+I@L1?43D1m%h;gYQ)DM_^h*?tirjQJhH22^qS~x)(O){ZcR6=&#k?;{- z91{{ARKjp%|1U@h?2>q){~%EbN9g9H=W4+}kpag-&SF}u>1slHzdG_qVYGN==6bjP z;((k(9(1CqgnXK`8&3I{;b=j~t2a-)R~X3X=;h zq4qIH=`y@?iy#;X5e5F4{s#;mxn(?irT@RFODCu$6l2D#lh;_>2BaW2{$zgsH!+L& zLgD{kVsuhkQW^hC>_3bBzl!~DkNto1R6hGjgk{X^!mph6=$S_0E z@YZ?c%Dt4&(;^Y1<7;DR-~Y{2e3_T!{QX*D%kkn9y36bQzQb}rc= z8n8zs!1f9Y3f!^i7;)9T-pXZ6$2D#?DBHSD;O$U(bT-dPuS(4A#;FKTjd4pE>$)gq zYXF)Z^+0?z!XFLZvWT_Wj~^qBBvKNCR(yzOEhgW zw#oL;P&%r#%~C3UHEVA=?M+Qx8EZ& zs`%AXN%)7k+ERQRkxJNlKK)&&FW`&TAi&hBLXvN@ZGQ2Hh?gvtS##r9?Mt=C#b+Q6r% zzpzM}w9;BjXBFoiLszB{!vi&78!EeQOfIO;C0+PANhbciut*|7^kUBb6T+fkT*1(J z!c)TkF@&djg9B$s*hje22uRprkuta~kmI>6sJBD^U*j_R#hMx0!M}O7@qpp4O;#Bhk^wA{!iiPJK05 zdAfpyExMNZuQW>+r??j0VUI9&D~0|eo4DBu(LPR}*<{!Bvl(*UlgfFmeKv8Rt%n6p zwmR!h6XSBx;>y--a>OUlf+#MW7U7~g(9R*ZeDfl6c)LSu2~$|h#%u}N4FM%Jd3Y}x z=Y8I!C)qo^nV)j$LE65JVcfXZA&cz2<4`d2hxi#TJEkZIN-LVrKsLz-%B;@#ty$Kv z4?c3ik_)@=i=g_hL3r$cyHAtYHfF8LsHGJ`DNhjO5&u<>i5PQWjR=)_P}v`Bb-YLh z52?}D61;b~RB+w4pH3as=%Ca3fnixhV~2pEY;W*`S2ebM=>Mxu$cC#Oy~eblMm4Va zZ~NRB15l;d-Gk*6+YEEH>H|41=I@5H@Tl{h7R-+hBKC+D`<8-w^VcLvAs>Org{ykIGL>HV86C4b!w-35vR|Z3jr$dCJY>g z;D&Gd^^a(9-cMu#D1p}(*Ue^N3pHFNql9-Lc>Ci^L|j^P@Y6XSe6#@a`UAgT!zeF^ z1v_SZD{K6f%ZqY%=&Obt^6Bkt*`j+CLz4$o$k3C9oL?m%@7I%xij@1&b4*H`?q(W8 zha*kD{e+Na{a=H+xnPaeV5vA5t(mo!RC(E!6c%)MY;xQ9KH) z645EL1e}q#RP15xpQO_Wb-Wp0N_8GJPpE)XU#rM+O;BV~*U}hv%{kin!L1PTZ@~4S zZKaPEsTL0L0hyI=uc9 z$3K!v4HNa}ls{zb3FO3@!<9>Rm51}dG61dH`c@tSsyYb`>O?fvMZvB)-L~@H@L%ir z>^R`qk$1QVSphKYC^sPg1m%%|Jap@-qs;$iJRF=CYl;RivckDj4>(gd=`SLI;=0HR z0tyIH+Q4P1ESmfkc$wnNOZDvXI4YmUY`RWvbbJTuLBG|$lLQX#dj4IHK?-b ziMqf?Pq*4&=&7U$@GSuP*3o6;vVdAkm#M?1Z=W56j4yS<27_P6ZiE+;}Jh^#pRUZ}*CEO$+v$L}BS6FI;} zPQA2r^l5P%k@0S}~@e zh^%B#g*=K_e>_9lZ%Ehg1}HfXtWQ@;W786voi}sOdtx1HbXPY{x!wpW?;i0KqmyGk z`g1kzv`_O%<)VOXBn|bo4VY)Q%Y6Y(sZcuyObg`7Bh?}hWmEl|5cH3pG}5%+$Ntl2U>a_}R!4eJYBp2SV;E!UUcXN~ z47p|_abc7xoy;qtU)3H;$HKS|++qjugD)5pZBiFM25b)Zxl#vp(8U(x1Um*o2Qv0m z@3}q@jN|s%J}0=CiYBt4;FyzQ(jK^X#0PVlP)v2SW3`=>;JS&m)C8-2L!kG&jg_S2 z3ANmDJ6Mj-b|J`{Yhe+Mw}_il3ZAD<%=9DlsCze6M3=2r_bNBkKJ#J>=j#&G9bB(K zPt-0*Wzb`l_cr1GWT4(H8Id}1cqRpm^&%}6kz?VWTG-DoyJCjLbbz2PcT3=W;_ss5 z8+Be6kIc?RP#AICt-kW33qcArD}3Gm3C2DM#AeImcXcC9a=LDz>~Htnyr%|gb-D7P zI{}kr2i7lie|$&I%9PL*gW9)uFQ`9onPz{I3ZjNHuy|ux-5`JQFj^gfe;;>q)PEta z+;PE33j)vadxrFT_nG*>WIZC=B~!#YG)#@FIZkcgWgqphL~AynNxwf}!vxJ%#|hFt$_mfCE}Q_wm5dk z)5&F!1}FvnQ;QUcF1wpbV&nLdHpQ#QmPC~s_djTeIER*E`=WMt3SD_pySNR=m|TF= zT{1VLB64eq;^FhYF1A2SUTa5+jb1Ocx}jw<2q!)^5MyZd`!` z1XF#*xwNRbTPCZv&`*{>ISfy>KMMW=Q#V^U zcWp)wSXyvs5HW^%gh8a2CEHTSV<9pf{Z&5h9|Hn$7r0xhE`Lc13C4VrP<`|`sqvsw zRrg8afGM;Jl2f)|7YgX#{+0xzah^Z>U-hpPGca)nxAIJ~<9gT{h~lc#e?()~Lk@5+ zU|F76y1G#+VcPa9eeYX?qMi2Ka!(Sh+-H3cp9B0sLDCA_fJfSPM1F-Yq9xKRnK=%} z^Pfa;lzlW4SjV44QMQQgS7O!-jtTi!t$9~nUX4<>@anF(lgwzyG#(Q~5MT03&{aH* zJTFMzc(Xut`g%G0QHK4^7aU<^$kwhaVaq!@yyXVoY6g;t-kiqIWxT-~CYSW+OS#j~ zt$xkrD}lV?PRRUQqey@D)BL7CuJOpr|9J{&gC5Z+d9-G-|$z8H-4lP{ijg|J6uk@BakKAYpzpYpMS#P^VQ= z@|9P@=MQgaZ9}DG>Sn(GpSBJJJp;(UVLa``V4|G>;AIEkneB!B5mv5c~CNSiu94X-js_w`arzTZN!K1fK1mI0y>ODhTgU=lN|X;ZSjdvrBe4pd zQC4jgkuvNs9&Q=qRc%$#jve-

&?28o~*&`^$IezSp)T1~_X5Fi+6vp9~)YP6WYS z(#<6w-LtJAhr!y>n?LXTZ;@H`Os@|E!L}RAO|-#&U~$h;LVoa8>;}zbL+F2kX?rDR zAdbxo?(eLpj<)A>w=XtTTz&%sQ^x7hMahr_KpI_dgbDT z8%dJ06{TTcJs1KQSvUseU9Br_F$%^Q_HQ ziJE&(eovPx2Okvr(nH4Y_CkU&@7O*Un%5hSd^iDXq;vB5tLkRxv9d|H0sViB#i6$4 zB3ScPl5bvXztkH5@+VecRXMQQ1T`reid@i5`<4tjVwi8fwXk;->`n5wxmnGTcPcgv zoiz6`&lgENwViM!H0r$o2&kf;< zq|MIDM2OhjPk7?PqXQGvl}%e}WFImvM8Qc4ob*I@f-V~5K_|oVw*cMmFcs4nq&2`X z;_ui)fP7&5(j2eYbK0-i{cP>oxfoD@YrS@ioRd>OWogr6<&xlvxWn@YsYLDxk^qE^ z+i*-a9(D!ydRcbIbNFVF%mL>s6~m($$eoJx(D#zhG+yYK0E)zk?<`DfS@lYTWIyux z3kP|K*o@-|bO4 zvmfO6dq$LV3r1vfjehjM%us*zKtHkkQo>zhWVoH7l)0?PlY=kGV*rzQFB8?a`XSBU zSR_*T4aJrQ{X}1|aVPg`!uJeoUBh`v(6zEG^;9DWAH$1dkD;_jAnkziy!Ui)DTw2} zj$h+$YcA-~|HJM39j`Kptko2#8ZsDY{{7BNi9)vAT21S^%~;~hh)gN-GYdwFZ@s0) z?Nvs4*YeW}9c8Ugyi{yLXt4<&9a)K~!fe5c7r8rjc(%QmY`>tf@qb-@45L{dcV|f+ zn%VamE>FZeq{I;QXr36DZ3ETz zhM)vD`an2^wRjE%#Mao)BtKNcx{z}SwmsodnIhxK61UG^WmFK(WG)rY_)E+YY9sY{%sRkz?SGuun7J=B)bGDDg!Hy-(Tx(+kkP07gUGW41X#Y#wK z;svkCDht`1Rx5j}24Pqy;2bs>|^rVQk@&oIN^$+=V+?Z3P*@cYkFVU7knzt65x~ zi7H(lS8029vHoI)j2sl0V4nLxXPQo)jc5v<`!+Jp$~<2wFKG(O5D|GyfFPn70(xI; zdL)am6RfF`WZ7*ng$h|>0@#jJ_?HCKP69JYX)4}%fs|m4g#f`x-e>?Rz8xCn?*#gt zg&%OPiD=)<&0i|kZPD`6)?#K1{itkeq-9s+sc~d0Zp5K5ilpBf#PWyc&URq)%rwj7 z;3pmB2}PrB*enIp;aGe#;NXA}r>B}NN?b*n-&2x^PBmH-KYu{9S!$F_iGPwsZk-wH zNE7QmySD_n_Gsmqu5(^w{HZm|y(FzQH>7Jgu97M<3D8kU3!iip*S&9vSfTJDk}361 zRPJyKCAwdSvWhM;5+x7^aW|+wQ2a!PqBPog#R(3UHtd871T-oQ2WZ&X(^G#56|tEc z2*yyPP*yiv%`xIBzsRlccowVv@=Ig6>oFa^+X&oEwe(N$vHp3mnkcWblZbw>|95#< ze|rGQGhF&3W;k7KlC-P^ikQL=Qv#|+N>$XQVsH_W81%sF7%-93qAm{Uw+s1WOwn{B z)=u>({e61W2>#j}?mX_iwGUUSV8I2+QMgG2Fd9m##xDaj>h(|isubv2b^#HRV#9C@ zvY5eQW&ZI1n#yI|yDfGh$xe)STFIsxdp8k3JMm1^WFK<0vjl!(#vccwc+FrCul=jq)2- z>``6_b*@qeN#UiWoP=_tl3)94Q3!QLGCYl@-6{Xu5mx?d9D05THR|!lya>jHsi1b; zpc%SXC(S*~@0Dlgsb1XH8h>taX@n9wQZjHoS%i`bgv@mV-Pk`D%8P$QY1fJ`MR@Vn ziuJ|_(w=<7#lAeUx%L}FkN(P+b9(}7$1fR6MNrz2nFH1~SXdZcQ&`f3ZA>aH{_*%k zPR*x;{wYfhJgIgS_nN*GJQ^bCk-!-vhtye2^?Vh_>K;)faS~)dW64_+V>^2@2M5A7 zvK7@i*uYA%#Dv5Jd>@?dq^hA!k6(qN{Dw(Lm6S>g8&~qVN=3DL!-2!NB!zlb9g3%) zHRVS#ee5De&v%l!i8_b+R#*LIW9O({`q;ezw}E^iI|{e)6c_LIpd;e*#uDK;O6mB+mohCg5xl z78x_p*Zh!E-oAVe`~D})A0rX{1#W=G{-&8XFGm?_CsjpAawF@U`E$t^?8VDIGw8IY zZg_f2o8jnI2YuUu`SqHI{_ZhEg+kLZ>lgdy5S(LmX$N!c+^MM`kO^)rj~ zE65e{qAr!n%?MP>V|@sdC4?Vm|H6v-k{ulof3or;0pKBNVL+QRGnA{URTF%*GMK^# zvDhf3Hi@am5n^;tCTX1`nGrGD9A5^AeuqhiAG9Dec|l;Ng<_Wq|Jul|kx|l*M9?gK z-R}6L&Z{vJyayS-NwiC#SG3?)f>pk*$KRo<7BNOnxT<0#vLr10G)pILBzX#6 z_g!YS9FKG6`am2kS0pJG$u*U9ho2fQ*XX{_$u6mvC(AA;iJR@pIRe+OXPYYoAt-pN zdEM@*NFrB3NZ<}Pj3)mi3Gh`k-+K~dBDHi@!pchOl6u<_6#hBJGf=!WMuPgfa*zMH-g4cl8DX!1|Xa zN3?BXA_{qbpjR^wcWgU|!e5EkAIJ-xISG`Ii|k@B3&CB`J51C& z`Ye(k$%pULc>QSgkgpxb#mzbF*RdvDMY%MVj!1J52>AN%Sg2RB(4(DsZGKT|ZrH`D z6TdJU!q$~FBnD|E`tz#g+O`f1n%s1wjwu;_Em-Xc6U8XLK8Pd?Q4c#L)Dsq44Y(Td zwvAczAKT;pNo1T&$hg~_9_e~XtI!ujR;AFyF4H>w0|_h^0!v%<6@;Nvu1NF2FwUW>>X!|_8LQ+AO-_%;t9cYAq(=K#>d!$X6Q*Jt zN;FT{V=3t=PKOsR+g^Wkp74$~y@V&HJBj9p;~2Ozkm4Fjnpz<>U2q$!?K;NagknjI z9x?IQ-r_y3AHBsfN?77B4%>(<*vS&QQHu_kWMUgK&e5>NHezX{%RZ8KHx9=o8!-8v zh#ltvDsh*^J41T=I&DmLRhLPChc`|(pq^slZVA`*jRsNp0&`nE-;56Dbs?dD zWgL*2E)lLR%o?fK6gGy#(z99bTBeGOe%b7Rwc87^SH#D8!lm7Rfu$Y%{0+({+wC6r z(fUR*`$UomP--%CC``vpjmZC)zgX=(JAMmCWNm)%b(aP~bm$TcQ5CkuQFTE$G%Ler zY^CO!7o1)-Uf@ad{9%RGq8%Qr;96VfcF%x@mUE`0doj{maq5>h7hf@eG=0A+cB*=x zERq~ZD^b7B>&Bg+EWG!MK^{k&upddY&DSQGpdc%J%P$sxUYE*nHibQOjp^B)NhtUj zVd_ZKzJZ5;!#jSfbuCayZ0jZXp8H*W=S^brpnndV;JtL9<4nmWJnbMIvjAHP^}B2%GQFN?<2NjUyY_on6UQh z9^Lkb*C>7z)q2&M7>sAq*D(IDq?(qeLOG3Oy+%Ly!2n<(I>S7SIOW-l?CrQwV-BrZ zXI&p$8Dw^?KwU^Sj@ck;?;mAb8H2bwYwS?NYhNvsSq#b%)*a^QY{1vDwmPnpsA@&3 zUH--QH8Q;j$J%rd_9nxWhKP4Pfef;Pq`&V7P@yZrm)+;?8CcYSTGi7q$U*PzZC(lE z{ska)8XPVb8dT3*TWNba?N1>yY$hfq6lb2bKT0EbpaIbYC$xE3Q6V} zFFU)yICU~7A!DFqcC`q13_g{&KHRQLtz6ATrDNbG8n4}lb!^4ltLrBaPY%IBEPwpb zkab0{m3eA2Y?3ux9i}#L=Gi+(rGHPOq{~|c^u~K#yPX!fZ^lV3Eo%S0CP+)V!*cED z(zQkNkf_RA`A+bA16MJYtVE%OG29bIKgTvdg9+JH+Q%lZSA*eN(s6%dE$q8fTQR_k zqGaI#+2|6{wq4n(NO^1!*PPXCoquVL=3q&6uAVTi^B~FTtPiNsQSB>FgWV7DyX_B8 z(O)9(l``vK<*}+WiISvL5x)bGGXL4$@5gn%SOqv07tzsYzxgn;`1H9wl#-2i5o@^r z?31rK`;S#^_TKa+kwG>aMN)><2^{Pt;A$UCsGsrPwb`oCp-no?=Ow}S{@#zfaBUZe zh3<0Lte3+Km^Q%J+^fATj2BGfi06a5+CU@xF-u@ramG z7bZ-sjQl&M{!lX7$H9Rw4z*kByVtoJ!s3Ee_mEK(D*9#AbSZB8_2qMPM%^+DMz(pg zv7?0cS@D9|VNNJ7MEKXo{|E9w4ZoMiYCCcYpS?5on$=&}qc*BdLNqWX;0E5Q5Y%qZ z@EL>u0x2yH^Cc@~&$>N!5#)~dJHAZVjL8=ztv+RJF4TqfC^XX8ZTK||LG6!*-&7ut z^$0aoF^tW2#=+W9%B&r%+bQ*qlgFLmFR@Aqaff3~T`V~sd(Mgx;uXhs>N$DfcLp(? zJ%-?ztq`KSGh=ku7w0;q7Fwl(BhjX0kIir}Y;DPrFCC3}W5(`v^4N1)#@1OFdhA1M zL}Sdxkg>6rWFeM27@p+hxzdX9*lsIE2zY&ctQCo7)D4|di>y+NRkQMV>{H8UG6s$< zR+kXftX8;v0b(6X!RIh$e=*kAlFV2mCr=lUqe5Ws_D+rvL#%PfW4Bov3h}U$rzmnf zb_RIScq`n|;bCiZfH|$TcfnX)XG~e?lzPV+Qvw{YcDzG0^2K8coG`{?P*rT!#MnwF zX1z1UZHMw!yR4@b@V;tmN7|DfNhyH(Fg5{~h+zm~+QU2{9#dR-UUk}qFI11$M~Jhnx*(6U({-@Ja9F}hR8=d4I1F#YfGq{j=HN5astaacPP-~O zJiN`4BLwd9VZfGPU1{=#{z06Y6)X+YRUXDJfF8u4Vm#K>ksRbO^Hhdrn57u2?97%o z!rrMW8T7LHhMz&q5Nk(Th-aOa;*l8)k%o_hhGvXRjxke+K9*#UT^_a+b7Sp7tZ*!4 zZ!9;`r-sw^H7T?mtw4nUje~Le6^0BRGSoHjD73$#V0U+ zVLp||W6fafRwaY}Qt2v>5O|0lzo{6H;kpt-6!RFQ2XU(3Rl3Rp|7*oz%d?hUFjgM? zm?|0cj@o^~*g(VeDYn9t6Ok~|N9`;{k}EorZ%>F%VUpFZQ6%}8Vv?-(h$6}M^W|iH zTA0piM<|l~gQIg|wiG5=?f*oQ?LB;WMph)8)oxEDd9b5%V#&w?#RMU`S+mnL`M-Y5 zJa7gED!~|4SH5^6j8Sqt_PDcBz}#^;_Gr#nj%7Qr(uC1Ul|;_Nh-S^}geU<6p()v8 z^&Je~3B66E;j56xEG5JYXVs=^R;3ub4eZn`#n>>=%8Y@h|IQ3#yyfdW_Ig-5))3gT zZ>{mu*dR-CG?P*Kq_O9%@he}zJBnle$75x!nK@&4wg+p*fVIQ=D{>2AOf3r^@S>JJ zL3y+7!Av+F1E9w6VQeB4jORf~W{k(|r<|~Z2al=DJd7P>wH;;!7B?81YRzpJ>jcbH zA!vJ7tG{41;gq`EDK#MU&nPR9bBlxF3szq+hNDBg6$e={fem1$ zQgy*dl!DH)tmnBN8*KS`A)auU@SC$LnPhodA@KBCfRaL9eZ$Y7FZMY5y~jKA)l_Eq zcROPo&_@TXO9(vkrlNw{)i?YMV%)uDSD#d7_=BDPI?>`YV=p-|xt0fDtgMr#v@=rQ zWi%CA;j!h`tbnnFP7kiNB^)yhcE&-}2ZKV@WA?ce#;$bw>xvZnD=t}9WYoo&yZZaK2pBT9 z+iEFeI~)xUu_S{c;XEAm3b=tIBYum}NW8v?=fQ6nR4I7o;z%yPZq`X zNo=R_JopVmq_aAkfw6(k9g9h4ceTEym~>WWJR+SBada*kH{5-IM;)Du#tn5=B+_}8 zBe`fgyE_c`I+By?tn^{*)o@&iV%%p6#*|jFCHOD7SONp`hcW#BrYZz6MU!)IWMZM@ zRG(kOYo7pfl6cH!(9`rtjNRbG9O~?OzURpKd-WL%Pt7nWTlQGKvy%GKSvz!cJoX39 z>=buk0@=zZxK9U)w%hZjQ`dB-uJgn39W@9%(lyqq)?*)stE4E;!B$JtoF~`84vg9F zY3y1MV8*z4JcdgLGY{4og@EDeybZ<&I-h6Fu{|~(_TJ-ifKXOU;X5#}uLTO3a@-SB zFnhPgT*km9#Oe|P{t0O;CitiBrewx`OZXgCwLWOOI_(p+eYDed`}{m(skS{{*ENpL zm~QIqG5gd|utTNtq0S!jto;NbIsrg&IXA&!J~Kv$-GlS3G56F$cf@EM?S z7L-zvK}@(1mytotbt;1{WjO3m&LBt597`X@GMqeHKw`Y5xL=3WVJz0}v6*K4@~0LWiS`q+EE~)h{ZK#{f7m14~P)fi)6TBR6U?38l%k&d@KEn_D;atHxR>eQv?8w%$%`LxTFlke~_+9N_N18z)*zA#I{5#x(O9Zln#Nvp z@VV70<*}=reG>bmN+Pywu;joAK#PZw^(%c+u?vs2aOPt(th!*2(LrN4AZGTC5YISy z-go3oa`HT5$$|ei9AXGrB@^-ma>J}Eja?s>it^Y`iqlwgCr?r2z^|e#4LycWLQQ?( z$RwOpiN`?a#PSHy&x&CTB4T+MHtF`|Vbu&;Mhm>XkmQy=^ug+R`jC?b?x z6-Im&f;?AS^GwEOInO5Ux6lycTni1xI)hkKACJA_l)4IH;R z_AjT>Se|7U`J#$7lVR*SXD*5o;~HX&3PJ4y!j&(^1~Jnd4c(I=&|R^136U4puH*=D zX;=|zfRfYL$>B5BD9;zr7h$%r_A}I1_zd*H-z`*)P7YD6RZMnK&#{Z^oR-c8eayBCag7zj zn4wOnp}Y6h7~u>T#Q8jL-nGQek$=UMRlDl&*^ufFO$MnqVuTez=|DM6{t);@p` zodJ2XT`*gA+V!3llg4f}DnrRaxL(2@@r8H~o*= zE~x8&f7qqMZ6sMTkTVr_SL9c?1TpFxenv5-KJZIzNHb&L_f4QfJjPyarLm5N=s?3x zRw-EPSu1gmEp^&{veWilr|qrbf0L-{qE=9h*{8*YhS~2PdkVywF+$XLd|h9w-#vB= z#F+ZP?vphi6XJcC+?aXbO%Y)ptS?~H2Fr38d%76MO0!*zjk4yMj2-6q=dsQVZI1IC zf2Xzo3@=%=+6BKZR}F{HprxzBz1*nn@Cn%Nf+V{$kawNg;8zx6LO>&8ehg0TSuyT@uMkIq zA|^M0@K#KqtCutq|f0OCOKD=E!kJ{F^Nv3Q^e^Wj=-WnixjE1dQ;(`JoDkkH?NzU*QvDg5S`o z$RH;1Jk!hrd!Fj6mgDZqUgMzJ#6p9y!!0yCHrvW0#Lw1~BgW3Q@<5Cu$DPyGfmx+e zJ80=T$Zdin>{cCps*=jqy#mI_*_whT( zmv+XBL!5S<>)>;=CD~&DPmC=>G;+ql-Of4%&!)!v>l-U3wYmCpmWJ?5p_u0CHNc6R z8p3a8omT9-6$hRgGHdtP)y~>sa=3VixN(BxS6kJ$<-9RB-mZMH8sx<5ss=N(I5Yp} zaCNQ90G|PSYgUTg;IBfg#Fo(o%7y>kA247_RF$PY;LyU=r`=(HsRa&((*37ZoU&2`& z$_nZ_$Z9EL?(h239mxPjOlObb3FJ`iuodbXeg<{no!N>+YtNZZUG_cNhW!uL5KvKRuKM>y8~AIrKKv)|ep$cVQB&ybrjh9QS_MQ=ZgYR5YjqJA%0 zj9EKlgB-4226uzTF}&=kL{L zt@F%fw6kw>s53HN;Edh)Mokq0RMoFhFgEZcs{!k{2Pm#RqNU-}uBfi};jIg%J}klS zVFy}kkfQC1z%Ra`BC}mZlOqIf5t(v=F~y7t+GNHU^oG&_@+~bn@C3m@9T>>NuU$YbdYzh^|H!w|GoonyqvAV#HQeHfFLU|(wiJnF-IDo>)fmZ@}= z$77WoE5Nr9W4g+dFYNP>MeV`!LhY1fc=wux2I?2DeP8!rP@}>bXBTD+V_)B{zAJlw zeWY#gsqCtCwsxO9_M~%05%~L{Z6ZQ z82hXD{o<|2bGUJ^)2t-9dUy_6DM0?F#vUKkg|7+J!Y>0y+r z3(nX>jEN6)gjHA3c2ff84TYF$X($Aqbu=Y=@_ljfm|B)C!!t5# zo&bAa&b~yR#VB~fYgtoKcko7A42M%Xjz0HWb-~$7%L+VJ-OBUV?*zti-s<#Mn$;OBOAgi@M`Nv{;qjJa_^osp zgC#>$EuG=4nk9#^O_r}?>}^M%*F)P;Oz_w`>+CnYP3zD&&K|pYYuv92(c6*yh0{`Z zc47Y}LWmk6qoS6+;ItHfF&FRmrA|wqa`f5ZwDd)%rD=y@y+H{4VOy)EjNPO%z-N#L z_drw#Vs3!1aSdOCR$!h8XZ1T56$AfS%xV|RvBOeWGLVe#s5d2h?8^}6NDj9=Eo0*y zZ+xAV2i~YqggkJT*s^6I4tKmU46qjG7sB2twh2SfF79)p9!v33bzKP_bpPoczXuMvom z*MQG!AWvK}8k1QHey?Z6$l|d)`!2>XNvH&MhL*xJAQggEsBie0Eaqqn*kcntn>As#aknih|-afZHj^4w?brKGVTFcO(^U_Sxes2Kyl z2RS^R2i{q2{i+52a|95`EG5J}aL6?t^R1(g{j?&DZFlr3<>)iR(dQW}53J4MtiB4^ z7x4ePfvjdsaBpe|X9lcM&;2b7Fa!$5OFiPWtCtfq-HEx@it*$=zbP5;bGT8$D#ci7 zCuXPoFY>!94K( zA7`%B)hV_60K0d(Ix~EHdzFbZ{P%FnF5vCK&bZ7`83>U+jhs>qLC?5kcg1>%qfZ&9 z)QL_@S6a^|;D7mrI7j;Y*Xd>VKkDM!4&xgB27{a#BZPf^m9aLCUA*Ybh!%yXIwO7X zT^1@}4+^2)b{OTc_k>}$$zhB8Cc2dlV)(mt43Xq5AwC!z)ZPSd!w|6U5JwJ9c1$$j z7ksJ+d{IQmrWJY7~F(sX~D2>kjhIgmZpXm&YnwGgx?Yg|!-G zEZh1e4!jv=L%bD2yly>tgms)_UwBqF-gdXtg`kg_hq3>`1p5Ej`x3a_rt1Iwyb(e| zX1d%fGl{4)xLiX~hRBo()vrXq=2Ap5H7FVCAF4}4C7G4+DkL&<%|kMW;zy?Rp8xuu zwbrxt+Uwl+KJRqzeeXTz^EuCX&Udf9_S$Q&Jr5^G=ydL)7VfX!T7=%0ejaL&;|d$2 zug@2`yOYicy|yJoEUqZ{bke_zGOEAAS=_nIed*4k^rJpw!Kc3}$Aqb^UZh|rtx}ZF z)ZPfW;C~94`>QV(G3`qm6?Pa$d+2){MY-BZXBRS4elL)!T2qaXo@|HbP}~7RBb-k! zDrjhLpp;rh^X0(#g~C_%N`E=5a;_vLe2WB^agHVA7EDtAG9N7=0RgEhs$jbp$71_J zKQ!wavM}~NoHL!2Rn!h#gRs#jO>3^Ou%G)s!rAv6vvj>V0mRdq;K*iB2G896q%X(%%{C4qgqPobE4WVFViZHsnUNLe@C%S~u-8%~!S_u|Ln@Fz9E|A}m_L73Aq>+OZ+#$dfS2?9ML7tr~l1x;1`K+07( za8BtZtX^n(l_yW-s@hRno+k4#g|*Nc>7rkd(t5?X4b?kEZ>y@%p3lQd>3f0mmqWkl zk3okscQpQwVjHHPHA5^WhM0~ct@^m{Rg6cmg?O5^0_U59evS(InbT(nybj+O((9N| z9xhEf^u8`H+BWoMghKOl=6OivUkA=RhMe+OX`vxJE)%5jRi`5m`d%d6lB`lDEJ=u$ z@PC5Lzf~{vj3g;RFQ@B7=Cvv(t?RbR2h3=bTeIs<3AsgUxCB(p*I> zs;XS!Z{vq1Pq_}H6V}NjRMbvCWC_JsQ-8HtF@o2ZmZX{DT*4G~6VSfwB6ri5EDFw@ zbX#a=zAwo0`=U(fuP!ZC4fUlZih3~a9%`Bg!TFGRENGoWF{eO%y&%sy#pqmrHFuZ? z*`cU+_)A`SSp8KwS4$`C72~wDeyj+qs?g@o`E=5cgMQ}pc^R*trKs(fBmGsm4x}#~ zQIrX(J`>gZDp!4U5>382&M|*g)ujbZN|VUOv=l&@AXNh(x_~s*O-m69d5i{ilU~ec z*PEsYE_{if$Bhn%OQ05csrVBJ6dV(e;t()M$GG~LSH9{v#lba7~ABlyA1>bft zXUviVQyQ9mGZG1FF^ZR`Ij7)URi!T)As5c@$ziQla8Bv_f>`L(N$Or4Q={WKds2o$eez=f1QKtEej>x2*D1 zwiswEdij3JoZPmd-b9gJed+RGEz1QvJi4Hv5B!S#Ad$Evp-R}*1t}d}ATgizSCfN0 z7!~oUyn6_J=Yl3B?Jt`=)Rd@g$82M#!dH_#{e`c-v~7XJmS+m*2$2^Gi+<~i^+OFA z7q65qDv&05ZVdVvU-+u3D=Cy*W3(2%ph@9tO-(0LZC3bVc_b22@0_1?BRO7cD*-(j z!G0?RjV70{lYUZ!)la<#%g^xDm+Um20y@^u*QKYa2#s1(qaSa$Ktr}Fd=#-S7Aewc*dhCU(kk3d!oNw#>Z_{G z54rMQ8P`%8YOl^;(p%a@DwnenS4q#v;YwS-iE*~jbo zvOrVrc}(f=fd(Urp3l^PlxMKhoC2A&_jOIqd&P{2>tfFC>P1FlL8TmjDrOi>o*(8jm&8VQ}7RbfOLLukg9DM0c0eEBy*DLsR zQsfgyfXlvlN}m%xhzU4HKD0V3$n%UwLF7s4G#Z)Ii{rIKRv9pIEp;P3&80HR2NX2% zd&iyhmJs^pMcnDMpP<9rf-gOZ&cfQT;L~Z~18eazUr7kGr5a1=BSD9yJXICvSRYfi z68Dsj4LbaI(BXkWhg(pCURkl8AJEq>=g)^&JRWF16OcIm^M#@Wpfa=IY`qe&<22Rp z0V&d3Ej%@F{${9!<9Xe&79L$b-V&lbQ)xV070ao!i;RM*HhOEN>>FAaTCy3RURo(L znvd5HS}7jcs;FhEYGaB}PSaQSsdJ>NsA=Q)H0?4jX_{t*(8SI;Lzg8&CSQjI7q(}b ztSEd@vm=_K0t;t)DRsX%DIUQy?E(lSLmflBfr(O@=kdfFh8 z5usVV;L{{?vpkRh7w0A|qYOb>)C66cY_YTWT1E-FH1`e3*S*0P(o`>&5NWCxBUFSJ z5~5)9n*T*W{zbk#4Y&>-SvdF_Db7QaXZpUf#vu`N9y~7Su$MJLk>;$xr(Cl{{Zoi2 z7pEX-yyo{WavbgNCPn8oom8GqnbN^w)!8{&WI3#@!|soriu~S5XNoU`$G(oIM9o95 zs`z!k-@dMt@FXg>ysytUN|@8oY)+8y*9D)HmZS`p`>Lw*f_{z zZsY>eY4{G^W<_r5guS;ZZAX6dG^KC;2BiEJHk~9!A?LpO(q+`Q%8~wRpJIgx^|C{} z?xRLP9*dL~(^q2Ssul~{_+M$ggN}XCr!wnH5!&-utfiqZ%ZIps zFCg(7mmeX%dEEQb20SdJgn!x8w z;R9Z5EnlT_1D7Jbjq=^Vp6XxbGgla)dTkh?8vmRx`BQpBXrW=ZvX^HC>XPMoIi)@C z@qB2G?DZwhh18YGebFwzqJ*T=utRB_MN4uDcJ=DTG1WIb8HxLR;pRiXTE>l4&sFu- zVtj+mGWW%~(j%7^v7pkAJmxfgX?`i$G%PwdBgAy!!V>699|b~Vrv=hUS5OU-ht*%< zl-(TZq)n)X^~l%A5`d`2`m2K|l|9W1R37F?3S$?v#;l;JswaxDXm3R!VM;d_6843f zh*lC7wF8~4TEv~!Fce5iC~0$<>HLi%^#1ByMe5MXt0ESibiepA{hU(7s}ovHG_D?O zY==Ugs){EI{kM=OrHzAr^gMjTjV&BAEO|`n%&Q`FT8myFDXme+(@CEw!s@TeJ-qRJ zKg$=UA04q*2}!FV^s_?f9|Hrq5U;Abj@n2$lF|S?t;``x;L= zR91{kp;xcf%O!M+*M|#vstTipo=;ZLiiGP)dV!8(`bYI5NGGiw_{15ga<(F+k(xcZ zGK5~vzV$YH66^ODi6^baVVT=}Rc%B=oH;^cGV6JS z&T^+FQBIT6Z^G!xI#d98n%D+-DU?5_7rnMl`i#_8;DuON`J)JZo13Os@~}E-gCg{* z+AWlsZ=_P)>+pXm79PRrf_kx5<4UkUh^2szX)abTUF7jl+Pp5%ygP*T!N3`{j9whp z*^QiYZP3Yh#rPPXLIXakL;8|VVH;KT{`<;YP^M{LT1;9^;01a5t8!N+ebt&;J8;Lv zGV}jD^zsBS_TggzUjrl2SG1N)$s!M}(5A9QWnu}RqPY054lgXTo&WOsdA`tCtDjsy zz0D8KOUCJay;zi5v?HtsnsU_;+jh=*5N*FjImbRvc)X3DZQw{=ro_g3HeRFfaU2mBWaq-jnfNxs%oF2CEPUE|A{b{eJV4r zqr>(S&%*6xuK%+|FNwaj8gkP!)G^3)*hxo*{Jv(8@RY#kO$8s?HDB!%c!>o z{roEUIyHnI*FwA{j3n<-NZ3i|iB=I?*6OK2KXEO@sYSdxsg$s)Mrtj@>wY~C9X~jZ_*I^{H2q}4|T`R)+;Jh;@uNA?GH{LeLSPCT8 z!WfMAIc1H)>N@F`!shA3fKZBFSSG zhlR{3?GnZ_UsC1JldWZypr35XfY2NeXfifJ6HoX0XDGw}C-cUkM|t9!oR0@S2L(RM z_8?(A@9WROS6q{`c%V5bB0(OU*rpf9^uFLrXB9!mG#^(lT?BKQxh2p}ZPA8W6dR$Z z0h=7L958raIUn$~i)F?;Xz&DLVJRZn1g~_NFMb~^;^nzPm{`0HB*fF)O&ZG)-i-o} z=Bpe{rE#0!Ykc5Lg-ubmP-D5!MoB|^))2H@tPRYYdnD4tk*;~kOCB%E?*!!tods9a zu(a=(N{O7NXx!;OK1^|-nyN|$$)Y_chVx# zqK3t=R+kJk)pA{*XQn?1WFZ~FD&}I5rD(L6kAuZyWMcW7u z7A0Mkcsv4f5CpvDC9}$OCj|5o#J-}`-$tCt}iUSFRdEv zr(M^?HjUKjOSAL3rab3XRe2sGjo26VOzZ1{{rrY39JZ|ag4V_tHlNa3h4ofdIUh&g z_%3X|lO8QX@2_aM#nld-^ud4-P}AVa`Rk{F^Ik$@GJikNd<_ib0-vh-PFNv;vk~+H zO-lEOHh?k`zX6K60GEbNv8eqr%3&Q>AhhP6o$Fub{Cq&-`muR}Ja>_=Jl*LlffAO< zb8QiNZ|lb<6~0n>Z$N$+WJViOFOJs&`btkmei-;Pudnm*+O)7kvr~;J88cnEuSmni zV@^|hnvSnXGY|qdPIvr^VFASj8Y!+B5dGjK`kEecPT{Mn{!}1+>6oCy?ok~V_|RwiYChy3`a#bn=ge<#$Hn@g>a=LDst*gDs_udhEfFew zZ3H5@Smr16m7a{CrKcbx=Lcj%CFuHEG|+q^&&H-rt4K_< zioSMZ(aZX=EGW^~Hv*sYf;P4cG~Ws|;H>G&5-vyWA9&PM=CD1Dx+{&vQjuPK#l8RF zYZv4(KEQ!kSc))>`r}yWo6_X(YIQkgzI;q)T;H?58czcG(5b2J?5C4vX5X`u4lE?B zDx5a27wb@0l>_DUT8;kY@#>@pC|)^IRp<-nNJ=zF>}cq#@kMURf|ju8L6_$P9eU*G zLaR-_NR-ce^9=w?TN-G3uA@Xc|Lz4dznpR!Gx5^Yo}((9HXr90}cT=RUQCdW4~ z0X$8Nd#S^wbU!?#`-;RU=iEs#?(vMWX!F=fk;_cq=_?R+&BL13>76;BCSU4~C+Fn@ zV48G+I}pxsc~}I}#Z>AGy?&3BJ&u&nIt3rlv9)YP%yZ67>$N^DmZ0*`NwXSImk)I> zigb@F2}>F}H@@igH?7zDHyT@Vv3~SB8UPtNO*Cf6Bx(}jO=WJ<;Yh7{`>TXwVf|Bd zY{;WQhpR(8xi~G#^=$OzP$i%z$F!uWs*eO6()4@xf-jnTbR^4XxboNju7DPV9kC2MoMGX4k=zyWBUY+y(h$CyDSW(vjK@KqK^nP`voM*yj!5zKOiyma%_iA z&I!K09+1c`$|DmRa;SGLP6G{zTwFTPRmr3k+M!n4Q;K{f+gG( z5CRI$8jgjI*%Q4u^dE*?5J$1}d`RPS9u;k#Pux*>7Bv|?%`+kHdTOF^z94XpCq3RB zIA0RtzH2~E3W!Q)q4$FHQXbM|R}uGKI7gcOLfNZl_nFLhhOiJi>{My0>cbgpqRZ+6naWWbL z9Kvl;=EOM;6lGeVrUnn?!#NIb@Cp6!mGkLz50g+|omAHNO(U*lEShq6q{*5sQf@$& zTxJeEM`*<_Sxb)4y4+AAok(?@r$Lzs1R-$Tx1;WHPD3l1XCR;bNtQfx>I+c0kt6Z6 zL+axfG*vaOh-oi;Mw4aB-2Af*>Y9I`!#!N(Q8=P7Lxqq6A$&W$&0yIS4VmDacc< zsi0N&K^qJ87`lqY@rv50vTBBD{y|=R3T`5yn>Nbb7IdoQJ!OtNJH+&=5Q}4iCA>5E zx;pr}n}!&CydKh5dU7nT2}q3lcnodJ#l9ZUS9&sXiy#*FM+=&&S~sLcvpim_9||$u zD#){8pxG;Eo+x5LXQ2c;e2Qqi%k~;X4}DRWXy6Yh!s?_0=ofE5 zf%I47iWKZ5jP~R-Rdp1|hcv2e(P-zLQS`Y_4kk=KRu**+aYAKEzN17Sq*S4B)y(z7uU zBx}Cc5l+NHebLhP<=>%VjJki?&Q2tjpC45_Zz*^qV6oT^oG; zv_MkYT@Z`K1x4y~lAZ~sG$~CcUwK$nHFwC}e-Ry;uMI;gzgXB_TnyW%iIaH%5p{JyrO&;tt(TmgK;zAzMVG&kUof70Z zgjxmAmTBw?ddoT6x66SdEIK<~d?-R+pinPD(@Eb9d@c^Yo+*%&wiQI>>7<>5ZRlEJ zrAeuro1m2sB!`dJ76oT&$rL(FX==!WcLdw`W1#s)!MT$bEz*Kcvngok%Y7j&FxTc~ z{!dsTaBorirSv9=DH4Efb-toJ?4(l)&a~5{&`(vpzVMaO7YdtCX%7S<7h6JyXgoqu zFG|rYqP2viAN4{{=JN*nhq%LmW3g_*hu5y;`st*_ixPlW5`qWlm~$y}RsBFX8x5(s z(0sNiMkHKOxPm)NxFr6{1;kd<0c09Y9Sy6Kjt#akfvEF%rSwwKR!<4D_MT?F(1+bK zq~J*qL@w6GS^7#(My7=FC(f4k(n@(E)M#gtPBb_UOV17k%E-{>VOI>Ldb#VF&MBS0 z)YycgKBe_qa_W@IQ&nZlVW2jW`l1yAL5KTgM$a)_kivowT#P(0p5F}VwH*aZP+wJ5 zoirhNJTp`ip7SKygrE{2tiJS6k#nmmwwBMOO|!YL zPQoroAh=j&Z5t~>E!fb8+%A;8%`M@Yt#GFA)JUt_IIFuMe3#WY3x8QQS4I46`y z@1SDcYi!)TK9%WfutRD;uM=`pyBdMhk?jX|ujvXT)vE}6dXdr9si)}$S^mV;Bm0Kl%?YF|Un2Fg3T5>892w#@{qu6^ z%&VehUWMJ|bW%h?)7!bb}zVES)JT`x_>Bers0-?W{to{_uhgR!s?{|N547e*>{y-JioU*m$Trd=X+a?xj*^z=|252BQ^`eH#l||8gvD2*|wwd6q)gi+$a% zuk>W(MnNDGkfv3F@tZHV2R_#m;^T!ct9oSFFdGJ z4BEgdI4>bm2bXDMmm(H)^6fpoL`v!6f~IM`=#s$_CIw%&OX!e*W3g$lgooiM7t{2z zUi8-??r|mIRl?Qc{;UQ>PlQ!fzYOv`QqZLIS3s2(pP$NOd*fA1gygK$gx45 ziGgPOkS}%)+PF1@enUX+3CLX`U;HD;jMTwp+W2T8VO8xF%7l2Tc3e5UN3aC!-1Pdn zH^lv^5O>T)>cyJBMqla4NX+lA37UU8__|sUi~9=&O;xQQETLH*AFtVUJ7y_kPpF?g}$>N7Hbsr+Gs91wc8bn9GJNxET3XA#+Nz>*~ky zOK>UuFKLW*q1qVQ>c`)N@r3@M)%%|*!`eH{-CQK;uBlU1htS_VO*`E$kZPKCx+jjR zul}$yq?0xZoTq5S>B;u|Wuj4#ZR2|JrD3IXYMANUG3an9vawudI!iG4IzUdGP?=NO zfkuG!;?Ua_q^w8QM!SME*T(3rApK^@m1lIFL}`}qRB`dm3F)DByO+F@&|o4l{MOKY)E!)nNQ za_GGxK3=7-Ocf)*Iggid1`3%O$>rg*;2@LIWQHN~SP2R`j{A91%hdL0X; z=}WZe!^NwrvPC))({W+7&v{~FCeNpe+|)_y7IjJ|A*KjfL#Hw7Q0MltR`xf+de0KB zCJ#mr^kU8HidLmb32B<^r>Zs~#~eusYshn%X-2+i*LCXCaluvNl~Vb20J2Y#C+9<7 zY6$uCz@X>2R<=A7qmxz*X)#Zb`3b7=edy(U0i6IJ(gGIleJvQQSNDyYR!3?bHTDkZ z#X0Ww&?Y$~$g@~zV^5Y?sDymJan5-xtfRgp%a*K-J1C||9gEkVWC=cAaUC_zrODH@ zlg=&jsjYSf9fvhi>!@EH(qeqEGOd%|9VGmj_)>Z3YsL;Zo!b+!K z2YJp{1=5qtjduq=cLiT(5_O&yDSb|8ROU`PI+Pm^NqQ+wJWG(ivnHI4=DdP4jVuvo zy*Ry47v%ELdTc5Ia+*$BBG8;saPFkH2HW^RK|^~zLR$Pb&^#6PKMdAZT9vCnN|56vd@`PJO9BFcd<;@Y}gMSta#XSO_ z78Ym}QF?xY#&iSB_{7kGC{6Tb8q=^0nYJ&u*kz$B);sc)dZ8!#LaWlt{DDj)&~(z) zS^U}8`+~3E6>XAEnkVE7YOdD0vADm3!or&(v@f72qpE6WlGW4P5#)I&AT()Kgr%9u z!pa(YwKl3M?jyq}mJc0a0f8!^jjE!dxcW_zPFe|n>C&(|i8?oqrfFOPH4*#M)S)d$ z-HSC}j&G!t4Hin8($?*QPnQY(VLr`>k5@^P8OC(@s80(kat31hQ6G2?4dSbl(J5VX!T1(o@+j(FRM!F$(oPjb*T09Vw&yf)$_Ss(i3!` zsVeM{#ha#bU-}AOCtgU#@h!5*l;jF$=dLh($5={MInU4;>BF)ecZ9{5I zd4Hwy;?Q@`%$1Q(Dgiwi8AlTOnBFG{NI_Fo2Z=8Yt1qFoqZiZY7aM^xvb+)q!X=Uq zi)CO!{vXGLv#zK`Z;p3nV3 zKaUG%(`vNU$-)Pu2%Tmb3VAw-4wERtqGcC_e#$ZGc&2Vjn+0E4P=m0{==a~M3Is2|j@dU04&L+Gm$fsgyp_B+J(>X)(u z0yy*uVMl5J9o)?L!_q9YvKxPayW?5je2S2+Qk7%R{B% zue0F|7xO9G;Z0{vugD&{zEb^lBn3HiloB4v23JJFaY{f>_H`xw^D!;YE$pPZ0_Uy6 zT9NNiH$a&)=M(gmo{XT^uNNa2VerVkVb*L$bM`5CFp1~&Gqjx@&}!t9$GwvfQy=<* z!J>w?6ELpSZI&kwy|*1JGoMec!>0b=&}}T&27k9AreIDp9F66%U`qf3?#L;fbb=Dl z6G&BENVkHVoAeFOX(6F$GyZl~CVI=8;B_X3$2~q@*B#(AP=m*6>(k59+JXr1VHY{u+=tDtq&5 zjfgp4kqN-aBz<*U8_oCigS!>?Vg-s7cTMqP60t8`R;#sd}OBW4gBDr7##(!4Fv)Bzc@cmgdpvCWM9UE z8(AkC+0Y>1l>te>G7s8+kTL)k6&hV9OcG!%7j>_NIGqchbigizZeci5JTkxuMhd%944Svqs^;xPG0d^Z?soOkubGgPjiT zcM+n_BT=J6-Ib$HN-dwP_%-N8V;RhZo>s-wt?N&JiEbSf2OSgf*iC$}`=iTU^2!h) z2)0HKcN!B8b@f&|YjAe{BMnx}i>{*gy7%_=W9Qm?)3`XpLN)I{@VCQc$flg&uFnhJ z$;2Hb;3(g--l}st+e?x zW2GXuvncU(!#2RWZtf0yyiDY4XEN~#p9z^_sm$qR?u!PDK55-Ze^wpy@Q!U7?W9k; zoa0Sugh2GHKke~x9{&d2&{lNF>-d$%8*RkE0SBffdaB%6%`}uq)QT6;_r_vFd!pQ_ z1U<}@!1Z<%UzfqA50-IGl;s~_d@H)`tQMfC8Qn_!OM(|K_tDaSN9A*r*^p`}T%5cw zI?k#K9bn3H%=b6`>|wf~Xrbfxo^THp_LuSX_Ji7AWjYC8U&6a&aG|Z5>0nIV{S)9h z*^m&2^>?wClOj>=w<06u)*uS{AD`uC1v{+S*YE!czL-BH03`oxsjD9&xwEs;1^ERF zbJ^zf6BE6OuOgfm4Dy*?Y}~OxdA;Ln66;S;V5SLr9pC8ph-d2mp%pqI$MLnM!8mntd4v#f(xo%u&48#sI_Gop!j+}p}G${|vu|_3-ux*oi zoimm7-**nIMGePOy()LfEWaL;`x-5lgwY>mTh97rj@xG^QbK~=+X*OHF$0{cQ>{&L zt~C3{Cxj>PT=a)W+2=_g)Ra9!-{@@lS?Sc{J<%*_;Vr8pJF=fJ6)2)l^|eb|Fc1++ zwKh5NQyiotJlDR;lYi~-d*YT>RmmEV&Aag7XTy*)VCCrZ=v+mTb!s!-bhC=gA?Bk{ zBR$y&&=u$F@ve)VkwU0s4Pksar!wvQIjM`?lt@ob25SR8W%ejdF1yA-# zI*cCkoYINrhm2|uI`c1WZH$pQt`n10Y&W<(aak<_>96l)uh-~9=DMJAeW zW#YcXwEq8NBZ~-iG8epso5%tY^7D3J-L_2>VuPu%3-9}eP8+BvZ}#t>6Q<@Q3+zHa z{S(nI+2^+^M`!LT|6?}$d%FuZwENR0Ps2~8BMS!Cb=sJLx)6<|H``7-+gNs+r&#{l z9o~-a4c{a7_p<-kGidBmK#8ch%A*(};mm}QYQoWIow zEXzB#?@++K6v~Lw*pPXmw?Y5pA6x1$qjR$Q&+D{zJ;-l!#>#A2WIpv#W@R*szqGQg zUs3Cpv-`ZE;iM2wmE2tvdPS#pnun#_(^ zuFp9hYtJ~4v8CgT-Sqlyhy>X}79d-`CclJFR`IV}za`da7w>6pNaC)YBuegs)eJX@ z<$5MoM37*l44oT!{iRvi)3UZGV2~h&Y+q$YyEcEtw6WGgji?Pk=!`M8)h830{HcZ} zvD57~MX}=OFM{V*EUJ@Svdfd`jhyn)l|P|Cf#MSr+X8jG@7vXGehtQl z6jvW7%pk#D6|D2bO!6;E`n8~lWvOaJNZ=6vVANyKiTvFAx#NKNz><0NRQGQ5I?hf_ zL;yCLu3YL>k$17Rdl9b`!h84Z_in3UVb)LX3YwytviNZ^w|T%pogU%d%f|(TYBZ?G zt*e?CEZX0gi3^1&-0A{(_t|;^^i)Dff{96x>i3S~9=$38kV7CU_p@qk9znM#W1BvlZK8!fQ0ozwds*S^ZU*P~x~m zkc^-}*1CQ(GNn^(~D&nwHVR zZz~2wVuPIy^W0Sa_e>*4T?9lM%i(^mrjE?~tn8OT3R6N1WFs&db4Y3;bo7PzQ?NL6o%q*3xsf&jpF81I|@p8z}zhnyGh{& zQg=K-4X&k6|KR37EhGzS07u2ZjiY&9=9G&A&D-RYOCM!_uA_d*PK;uD{O1fw2HW!_ ziMjuExF_GRYUrS{#Cer0X3>rtgAnP;6`1V+BjU0`{#!7@I`9MOdsbK!!S=N9%RIggJiXa4wX zZhlb8k|2BWIL?70`U#hnaN6M?;sF6{33&@7!JtCBrF+LeUiROLt&jFEBW4y^1w1mveRy6n@jqY5M6JS+tZOWk3eM_LtP3pwqhlQ0ymDYU6fHRnokuR zqj&C~N-#K}{CcCa?&g%t4v(U5M7(n+zmSmW71=TM{>iNLKgYXUnm>zcNf-h-&Gp=> zL9f30^)bJGI!3!gA(#AMa>4uVNnYegdf24g=9}J4KYfu`Ta8NEJwajB0Oj3}*K)Cz zrp)d)vQx~oSl&O_gAV#(q4?dYx71BBnJ8^F!M;$!aVJT#^+dn-zyAw1!j5J)EWtC} z<)r7k_2{B`aE~OH-VRZ>(IngiZyA}f_;U4e%jp3-jg{Z+2J+dL;dmC2SaK}vY!-QX zI90;H3|hil_o79)XKh?UR0fR(eQs#EyYv6#qU{6beq`(V#Oq1VOgoNt%)N3Q?f6Zq zS329qVwb+4Aq)^b>!3rkwyTP zm^098^NGX8s3b`hr8>wKg)4OO9FeR2a&gMWS#}SfZ@~rYwf@s+iS|{x1Cf6I;jRgE zmWqj)C*;GgTvPuW3($b@bq)|0l`e_lsP88c-`{lY@V{#bC%v&SPx zj8tMGw_U6bR$V0FfvQvq(M*!E0;ZxPq$QNulH&96nqr?z`X;qU{jC4!m3NzadPK;C-HNBXD~C;G_tKqbmS$qLWPmX9Y5BZTDvbUjaCz6K6FB|Tf}=Y9d+ys8A#-%+FLeG`UX!e#(XHa=F6?o3@8L(+a)9=Y2Z zy}V;~`iB|muSD~@xX|S4u&mJ>MuVS;Q3^07`ublX{zHo11gY+D*tVi(LbFS?aVwO!t zacMSw`xQk+?L~Wwvo4eKcHT)Qw;Zg!J^hvI#-q1FmJ`<1rLE=snN71ky>&*7gH!|Fj-0fqE3tDgS#R+$=MpF zMyiMMb}(JztKPur@R4^!lB~yVbA;5>h1&O$%Xz{o#d;~m?Hnr~yf=tsWtRPl`DT$} zCHv$UPIwZ^v?!b|844x&3YJa2|L=~yJlEd45>c~U8coATmipj`l?kM;NRCxfe=yrt znaTnT^{D`l@vHtL5bz2A=$1c_B>SIw7ZKkHyr0I`>BqThK%@7)VjjX*l0zp{Ge}pAgy6$lZfJ$m5dAz<>JmmF&>+?yvO~P#P-OJ~dce=B1L22MT z?{-esEd!iYRi(un#{QHLT964BVnisYvV;j67ppjZ4S99$M(OmI;%UuMH&=Wrg;I!6 zkgxmSI9QogCSPLjLBv0!VkXoDdfeO8lPAVASE^6S_5m zM201@e0~IS_iF?1oFTeZ5-QMqvbUNSh-VHf<1j+9J;2k*EhjKLSD8vEYT8}1{-YDHm407M;Vp#@|xlTZ`{2&aZGNJaAg%RoyBtcE1QJr1>2e(PC>_T2Bo z`w?|)t59z}`r6k*Ev!hvf##S^nb?uA6Q?B|R5n>ZK##Oe3pv6_o*75|r`VE9=NH%r z=F%p~7m|F>l#=wF#D!}i%2d&Q8b4+of64S6 z#k*;HZU7*$sruWxPBdh;gNy&q*i7%yUTu99h_UKSO2V0ntTqcMDJvxzck+e$hyM!@ z@W5UfRM9kJ;~0b$VvjG>)35l~|JBy1YCO##z834%HT^@tcZ&SEOBL5mfKCxS)G7EA zn0F@0dJml<$0;%PodforA7?X6zf78#NN(&Hqn03HllVB8J6dI8B5SajS;0&(-W`E9 z{$+i^bHkN;ko>>4;o;8<8gEZuOjc-$y-SCr=tSUnWANd}q2ll>cEspQFSo-YaJR5x z$%7u|lR0>AiflGzr&>KW<^wDzz+IHa)~~T4nNt4mGU~mRN_{10hQgyYGenT=j_>e( z6UR1Qw@qR;csYUEDX4(u89R{SgmUKJHxXwR^y3;-eGnQ;V-Ui>fFy}iO!9UT5iW(a z){IJ=U&8Sb`AdY?+7S`+-cKAqKy%I+uI$ahBGY8qJ4r#2bj82Hta1c-+$7{P%o`fO zD`K+@>10sk`9_KO5i9U7Qx2}nshpYe02Ef9F%>Q{zy6(6uGWF?brAn`w-@(dWw)C; zeDH})Vj^If-ztAi=sY{zb(TwL+U13XW4It0Y z9TnO=#adWJ4iTrKpGhyU-(stDp}RO(f+CCF{QTwfP+bO#rMbb;h1 z2x!(qCfokKiCRpsf!=g!g&eaBcwVxv6X8nB+6!UBoWIT^iNv$$93v?mj2{S)-Tc_% z7BO$M@zEc_O5~rS+6~UM66jV+*BuN_dP^k`7HHIMnDmjPbd+Hy7S7h6K0E`fA1PT? z(Akw&mLJAiMapt{VhUaQ&xEfj76NycEUDZKq56E54_eZ?_&c4NF(fo}qmFnqQsfxz zoMa- z!Xlb^w6vmTuwIJM()*sW82cH;Ck;MaVq&PZzVx*FL?gDfO-)W;whDx`5xaedJmRNi zs5j3^6zm=Zpr^;!fj$xl18o4lPW*S0YU9M`9AET#ONJOXZQev()M2ygUOmYP)IQm& zLPwwSAR>1LG7bv98g>~)?d3(7HnOQiGO^{{#LaA%zbqV-#h9up|A}1}RNe>-;yQpv z)J&B|OVkk$?V9LHZHuVq>e7G3&!QK#PLL53x?jU5Xe7>i0KT>nx{iYWChk6rMRj6N z!}I~X)6>xe4hk+;a@mOS%UOf@NCH~1C0g|+EdrZ*1^&k0uJoBq}D?=#tk z9^K%0sCSTd8-K+XH7ZJVW7(?WU9>WI*_)`ylo@>D%mm^V1#5 z6P44qI)U*~`wpfdTznI0Fa{2j2$2A-n4$|@Z&r}C2s(?r-|sn4c>__b87{I;a1-u} z*c1QB-nt;&ajtYJtaYJvqCU}|B*tWyjT%$rdm$it(|1r*)=CseBY% z5R&?uYna~S@3%|NufIBG^TkktZTE^WC*U|X&E{dqc3W_?xNn3 zS8k<>z=bC?rD0QQ8LRHP^!Ry6#NWmerYhl$@`5+Zb2TuwjtJRbJarb{yX zLTS^Mlo948DyK-Ul^AG$HfLYXpq8R(#I)|{8`W~QGccpy+n0-alQ`9IhBfCq$Zti{ zT>r6-3>>+$rC}abCeIx#@95$gUL|7kBAE=+^XNqvH4Ik*dXVg`$#|WJ&7!1hR_9W^ z&mc}R-)z{ozj`gGX?$R<;_s=+7DBA)$sAh_Tlss$UfL%zzhy)daXZJ|F|7VYyQ8*G zXK_E{Gj~O3LQh1FZl(1BG0R77Nrus=m!mTj1)VX=Un#-#K3n~Z6A>S?Ms(Je@bdqv za{#I#1YCcH`drMOFnM0Q?)@xS-wI!nC;rTpg-D;Z0sEcG)6o#>TwG7 zd(p9p61{ZzDf?d#Xj->m>5?-kR82a7h2cSBF`NV@alKJUxRdQY`I*uCGkx0tCai!L z^PW#<60hX;OvvIO&ccQoM*aAuT9TTgL-kD__n!#talWSyUqZr^HS%O!Rcv*sfFfL3 ztI>gMC+}?)or&OjtW194_VdhLQJ?DMeoNgKhcwc3RZ`tmfwgRl`<;Z{{VeGFbCWZc zUe%PjRQpCHSy+(3_K2Ohs8ps*a1SJtaRwY$iRm{I)}+9#WYMVb_nW^AweV?bVm`jb z(sE|av93$*$}k4+sH5$zu$I2l;C*%G0+LA&w3vbXm~XW%r@zKZvETEFcK?1hFev-U zJ=hY#<3vOFZxHP;5L0~)036!d!&r0V0uqORV(~K>A8|+zLQXkCPyho@&v^*@G#)%^_MsZ)KWK6aY)*ERY#E>AJg~TX zJbtU*EqC%!Vs;NLe}XX05n|Bu=Z(!jd!5zC#=^a5CG*PnT>hI_rAem?qn%=8_ZXXW zwh@3)S*Ex2SSS6YGm%NjX2}fg;^}9*Q#Wg$%c5k18SGx!`!J9-*D){T_?-2EZ$8--)Y(xRID^2XED!(m%-?LzFO~wmSkPbA|IBifr<$= zMhTQmkD&qy3!#-+;j!RJd5mPlxjgJqAv=R7msBLd~<6EOIXFll9+HHD(qv{unbyQ z^~noBT0A%y38^Jv*{yrzo@%FDMDL}p644(bkoPJG7EZJYE5Xv2%Ov4=Q?MP8GSt_U zqKx(Hw?f{7U(aMD!&i-Uv51#gRl?5RlJf>evP0SZ3cp_qT~u_7c;Q7~^Uz6dgH}yJ zLy=O|wTtScOr}$~+%qkrzX-~Q-bM4v zA0D?qGMDCtNlNUWT6S%)dl&iePXEFX)PTe8N!6M0D>6A{32O2)MPP9cgyS;c_Wzu& zC|LBVycdLpfV0c?+uo`Q+mKk!CVZ#Uu$r>c@@X;b*_M>BFng-&?$hoX<6|j(%W}E5 znrV;TBt~sfK@7vI`LiBjy^FXY+|_JQdWt^$2}`}g!Qc}m;UKit0^^F8ULq1$;orgK z@)9*4@m5%)&li$?c~vL9_|C5Y4b*VHWp7P(nFWzLQTgBCkW82j9gs$P5r+!o1R-oO5IetOvT z#G991S^c=wdq!bISIa(__eg!1&|6_iBd`$cEJt%^fIw7pR>w%u z1p9#l+9wZ9up>ox?VWm2i|PFlF5TDT zSvxuU*ZRLX7~eaB1nui(D`i?M?VxL)oGPODpilE%4Pa?mnyPck^L%nEfMFMMb1A}H z5x3BSXxE`2o0{e%hZNdgeXzDeF9oCAp*23zhMRS%q|jri$<-N&%yn%MAEU_;@^F4! znsh8l0j&6|XW;pd*l>9sWy2d+!Tk>$kMk&E)#>+Uai^5EiGQkhQa!o1IpTVLPB;Sj zkK*#S4$Lb{&Q6VSu%el+)p0wDgvV~+z*qtAtzfo-=Y;_V6# zpMH_rhm9U%a|V&N4#v0F;V41|TaW{3B$gee6Z`cpTCi#@DZ0&QR+#PaXKk-*Q-#l1 zb~y9AYY!GXs8AK74eWlc7)XUhxa&Vdj0-0;xfgsdK`u=Qr63E|bpLg4Ry-$m#^Y&6 zBf>sXH6?0B<%>;U4I&;m?rNXDf!7;f^IKnek>W-n8~VeOq;FR_${xdundFgLc7kd-;^H0N}v~{YHX(k~~qL z&!?8)8ouw#pJhgt7#E*06tq|PFG^vh43OLBuQ>BV(&jrSn0S4%+^7@ht7lP9km@z1 z;EF=40$Tghw++^tb0O7}r_4Hucl##;5!b%6W8dxAollZ8rPfWIW{O@}<(zP%f?u4B zmy#GJ#^dy}Q0k;SVuia*(^0~O?Y_Cg+FL6Bh&_Sz5#H`F0oOcB?1hI|flhYUwXBu@ z9>Trm4*hHi-dmM*JCD=m@lp~`?2d#?fui=Zc`W;8^udlBL`$T?id}otpH?^(DLiXd zo?CXT-1WVCv#*+Nhuj&qaaq93p2ld1D0Wnf3ls!QPkt<6%uNZ7_{@;=pHnecq-xrm zU)_@qEsfjNnco!ylP%+#0l8`ld&G)ka(m3Fa;6*MhjXhx9PSi!4t>ujxIO0H#c5LU zWV;?U*0=6ztE5cbz^ptXlG|LlLyTtEJHuSP=DZ?i&x(+=OfOq=?L+=_hCMMI{PZXY zxpCoi>_xBGePCbIaOolvGJD1rAkE**XUXnSLrt@459GAi`c2KnRN!nZcejcT@uH=FoLT;O{y8T02Xo zgOFw&MkMz(_Ixk`eWc~Tz&<%_bETAdC%DQ2uMVF_0U^%Epb%Sl!9$Qy z;_GjKGu;{kIn0^b4Gdtzi}oV7QbEwO%GH8vWxRz|J^BbNWHA4|(M;U-L&dEG-^WQb2k=3;ZrlYGYtU7J zA#$yaVrdM^ZG|JmFNF3zYb49k0t6no!%VJdD#Kti4J8bi zbxiVV*dJXY$f)n7JrH6}2v-IV5!J;$IyhwkN@9~uiPOk=P|=Z>3Tv{?aWIjl#S025 zyio$;t%`$ZQ4Td*z$ZT|J47_Zo+>0invI0C)5cxq(xgn5&VIst4%!e-nxE+!NyaW< zm$b(G?g2gdj$L{>_=~$$|1Tz8eim`A3Xezg9s3Tdnq>aYVLs~3$z{ly5YY2rk)ktK zriKVq2>ZZt2@m?omn;#wOh#S8cFd5Dp()pAKym2GLT%tm%7JGX*`c0W>~R};aN&^^ zy}r*6QsiD~j990N&*Yk1@;N?4aq@U69j$t9uNhd3F#r3@WWyJ-0hasu3vx)++3btM zvOe}!xHctP=Ujg90;lJTB=2DdXKlGl0lUT_cEKdKa-3jw)boFq=)`5S!kSga9sJ>;R;+L3!JkwYq- z>KoQM3E3ur#{&*&W((tdtH1(s$Ur=+B}fYq{0BgU${P>#2)1NEO5ErM^5B<g#?}o@JVX7A*)4$SfK@-^P})DR(315r7&ybP3h4v z57v_QInuhIS<*+GOTagf5GD+0`7_ZQQG-SV;y%9c4PI~~pq`gJ$f%Qf#ThRc1gNF) zwgp)~fAk+4VYFDQ3DdoXU+GkoHxV|(*B+qzw}=jwYcAEURRlXBQy?25bWX%Mxa2G_ z`K!YL;mlC-3!^pTg>ZwGYv@_nfJuIe6{|dkN?}gqI+D*KxXSOH>UPYVFP}GPiJ5<~ zzMW>Mbg}!|8q;Ycb2(RxM4m(V&fZ)2G&XYO<551EOLcl20L+c*5NXHpBOc_Rh9QK&t2qq$Iaa| zJO1e0A5?z|sb|F`j8!`PCB@x8XCP*tMAbLnIeRR;WURU5XNx&1AJmAq__u zYN(0{6d%Pj+4x!S0-H1n*AYok=boTl;F3SNi*S+>AIs-Nq7Qo&IU-3)f-qj$&dPlI zyX*Q_7@Nni)MQbk6Z&{cO*eX>WlKV05=-&@P*|p=eTJN{!-fO+&uicvzdJK=fCm>r ztu~agOa?g~-Md4+WQ|ynf4i{Ua=qp4d?xR^PwxU!AIcegUFAYQ9Nm!@ztlY0ifi@O zd%i|zwO#w!N^|D$v$7U7T%YRZ$)JN;E;0&VNg{K_l{H~djo|#YrUODGihc46nQCyY zE1!$(3TW0iRkKNG;jhx^fwoK2)pAj?#P1-E^%5xYipFd`*elQ1IF;cBkrUYRJkss( z6%Bdkq`Wpl*Aj?KJ~`QCm|;vd-auKXjw`OEXaT$2SptMYA>w)oDBrgtcmFlrE&W9GYNO_=Z$N4nHZ&l0?yFRs9>dO6bn84 zn0a=rXSrMbQ_Z^KV48$h*?XgP+CI62boiFBpsZrDmoQ;|*KlAt+oTQD9OYA9??^(A zZF3wDwe0DdW|XmRIvucEm`UVqCVog+^@l@mwOpzt1`wu^a z?Ot`edGR>~BRXdw6^-E^Uk%BC?{I0Lf`BPhA`8u#*lOYjM3E1bZ1o0WFPd(fni=YO zRIegH8RX(a_%U&gLuE=sjQpDeR{Wx2brH_TQr=~2U1?)G)1SC}YTaHTkExMm_q8j* zV`&-)#9L_ra*(4qZ)j2GX%--5Mp#`U^rj7ycQ(I&_U0bC;A{B2{(!S1^=G&+cHP9C z$^$=Ij6B#BLCYGD0%n+#CjX}WpA7~=>ay_5L)h_bG~hDLbeKLb^Hv2B%o-32t{5LH zGQY9YyMGI7%%0J&vY96zP%&hJG)paB<%1dYL}|RLz;~?xQ0+?74@bPt5Fdh!UlLl9-%(hmCx5`%~%9?J)z8X33QiIZ6`7105rE{dLd6!F9;iGzrZ?|Qi6kgYV&oQ3G=c>wjrc)k6VY|@W%7)Ti_vH7)3#83ofgq=?S~Vnt zg~8I7^BBiBwb`?P$~BBj$&}Drvxa}+HQGcZ-jx6odW z4G}AGNS0Vc{=Y2w1!9FKnsLl^(zUVS8Gm5Exoo; zp_#M$z^sFo=3fJQv2UNm_3=y2urZP4h4P4el8cNeK}O)fOwu-#d=B}$_H4?dEs*7a zIXY7LgN6y+(he}QcDt^@9c}mZ9pE%wvUVNe<5Es;{iP}!keE#O(zN$sZploO1 zlTPKGqPnw=9WtcsD8zyU+Rp$xq=H?^KW!ExhbP)LEb*ds{-}9r~BTk1xYc+z#_)63lEL5~Ue5A6a^wVjkO_fXGCW!>CyTYQYuIq~4{+ZXp(N)fayOr`WJod{_hW!M-^J z9}{O3RDOmR_cO2>%4guhWHNBk+?s)L;EH#8F$uWp9iRDjKf9}Zci|iH0-M@=M!Vsu zU)l1FJVUHkzW^9K!Pt`DeFqo1qmLs(kPu}$Z#NJd7kZmDAPQ{bjlRIU%K=bOdn<$1 zbF*UAor9}E^Vg;n;se(cS@55%ckA;y^4F458eN9wMQqn5&RtDx$SI3vP`y|{)%gwh z_p#**6r3zfnbnDUb!RZbo2AVEQ(tZCOd1P}HtA4jRZn{2qxf4*PiszkfDM<}B<@8QjHBkUnXld9Uf|W(ln*vyZ_d{WZP4lnLBYY zcXw)b><;*raf&zc<6Q~2UuUjGSTbe|&u?9$i`m~B0|4-i+uowhde3596OYgBRS!MU zBN5hz2p`-tTa+{L?&xk4Za;s%aaj?2l;M<#G|inKxB%egm|}$USmV{=@eOo|l`l9uVMzIg*U zl~p-g6?$huTkt65G=2c;9%J$snDS3U$?aK|uUy1U-0mlP)|p|W-#O^!KqoMr#5VQ!SO&bF>r-Sb;WQOF-{cn6YkvT`MH>=u6v5h%Jh zUWvi~=8cd0g_mA)UgoA7I|`r-s4K3V1ME!>|?5X(c)$@B~R)Tn+N= z=iB>W4`m3Z&HOW})0TAqU$(i*lz<8ao7ZMcQ9e113pb#a0awU;b2aR|$>Wy{yP-ud z5hEhl(g&c&P^sjHT7AM5eW=DzDyO^h%fdWKwGga%^f z65m1}hpzy2q40Kwhnpvnza2bSWTmPWuLj%YtH~q3I4!rm_hJRl`N#Nb;x3x~Yo8QFYHx{fm9C*siiQuJASh9JK98V262hf4his-2VmV#K_0ndPQSWdPNGL5YYxZ zz5&bdk9}i^|F!e!fA+ywnS5{UCf%YFtR5>Gv0$kVtBd@2hQx-soSkAo$0 zHeoa7HqOB>2sgQ5L@%WA1nRB%3^8iq=95o=Kk3ta&TXeAmP@E-Hbo;smLa_>34X-K zk>`uFN7V#fSvn@ezb|pTOT7r#=0aMMoWqQ#X87*}C4Hht>dEIa(_PGAj#!IRX2@)G z*B||#x*%vVz@NYz-oM3>e|RpwNF7HGd&3Hy_(oyx z9`B)hsnKb?h7~VLw@tEC;j}6Mw(o3BB4az+$uI269M>LFYIeFSnpB^%SlI)ael{q5$<|cqO#Nl7OOZLnoOl z%}Zr(gN06gDX1+9$ESGG7Tpj+7MRlHPh)p^C%EFZ zAexIZdald~_6get2S4QIO(5^wK9A=nD8BMQIxc6Ih$nY^{h_mWvGh+EqUQ^7G>6gk zJFcIBH#9<)9RX7%D{VLWs)HlRI!)<}&PE4XB8z{HGy^R3&dap-%f5p~+S|~7Y_fw@ z+-(&ziYf{zp8o258E_eTM<3TkeDcpEdekkXr?#!q=A+T1Jv;8XRo4o)C}j;iq}CS? zN2}CkKREdoNgqf5l>QQn*fWUz&!@Zaq3ifoB`q4dW#XuwS~%N!$&_1S<=&UPy-v<5 z`1K*dUUuBW3`0#wzLwRW+JQG+d+t5<_;{l z!EosXy#98WVZf5wyJVH1_FI6+<&@uQ+>7~(EV*2b&kuml=71N1imt21(!@kTwc*>d z?582AkMTZ8n$;f@tf9!iuf_{F{Wkrq4=+!DcbYSMUkH-FW0<+m@<{GOW%Rc1K?#KI3S)J( zSa(IDZL7s3K=ULvckvv)aXAxpku*A=m5fjdnpKU<_X083JnFULNzrEPAFFNR6c&!> zuKXrVx{`qo_z}J2oV5p8|5cXrN|t+^X44#em39AZbSdRrE-Pu`HUH(O=}N8TUx}`K z6oNtrIGzQs+z136HKm0NRc$j zaED!I4w110WV#=%xU>ThsKbw)OCFaAi0ei=%uVLFM_}%Tdy~8AFeJbn;PrQT7g$S0 z?&e();?N-LI$nMx;dPWVdl;$j4%x|mo@+aGn>2_Lah4jbt06fEh&v`G@31j^Ak(`; zZT}w;d}cFxn+qQIa8??w{N6ht4CC)vaMpY?`Lood%&() zC%?$P?c&*${1IR}GPO&uk?XZ4qghq{*^fLWuKnJ*Ih|_gsqUXchzkt9N{Y=QuvHGhEZ6n8f+iIeIy`-*-UfyhiNmo2HQZ;<+h9W&NLPVUR1h`oV|z z4S8)D%XLHO%IE(V4GHr0v;&guCbZ2K<*jdXq3xx7K-&=fZR`qfiuO{{YN^p$Uu%7^ zo!0u;4knT=ppUlfV?E4{W#3yRjR(^T^6ed+MeJq1P+MZxy81%v!sa%YpD)xi9Ik8R z&|JR_rshTO&T8HzC=}!XUuWs8oUgN*TVh&6HcQrA&o|fI-ugBssUTT%ORTv*ws{8l z+(kI}xM>T|$4yH_-viXyDBn`h{$}&nUT0>@yd$aUEp;u?gKw{6RY)xRmJ<$MJIyJt ztsvhj9=HweHQC%p*Or*geL+sO$!2@-GH4s|M2d~s`tB0r$qv=Fa&z0Lxg_xUO~*jI z)>oI%2Ga&_=HSbRc0B>x?Z9o?Hr<*^Pt8Nkb%5_@>AZAv3GT}WEj_2WoTcT1^^#~Z zp|ksMal3P6E|(vRwzlR^6@xVLkXY80|U`&RJS=wd+6zTI13@-|g23 zwCg}PpXpkOb{z;?RJ#s@_0X;ZVLc3O9SBwnVB8)p9Q#57P$0OeIVt@&pbHB6qC*!D z^tmnypD)oHdX3GiVQnNGv^ppJd&fcNeA?B?1V zC?wQq&Fh%_$`_rjZeCC8S9UH)u>fB`=dLqXeipG^vCnDH>WuZ!+8OI%Vw*SXvPoCr zA4g@1m6Ppm8nzPEj$ePKXsa{UQZK9J2ct7x8|qgJ%`LUwU~1D|_P1!wFvaBnooDd1 z=_~zQ^Uivb{YNBgUN6_~WMNBfce1b^e0ipOR&4$atxH9FDdh%U_19WoYkjaNtpi%? z<65NM#mD)gdDnogPlfI5MmL^=*)`BhyF^R5w(Mh@^dk-J9#Xct*7{fvx+`NayC<64 z>uuTBmVGGCbv&wh?@qgao~>jsyZCI60`$8j-FW)m?Ib~G=bG2|(RH_Ivz;~X@M=NODa3hm zW~R{EVo^RVhCkM}k?{59&Ws5StCDdqOtwB3J!xpHwt4#OO%eK!Cu z@I#KZADr%Q-yYF#DyZxCVdOP8_|vbMs4IV61TMJ0NMe99)-%A{0T86?_xmEvE8=>v zeYp8oO~4dd;E{ev)oP)4Eqt5QXSSeUn$gteZw_c`YnrGlfA>aR zzceUY@ZWU!miX1w_TRtJF9)gX5zdbG62J85=7_=C!r0Y<=KSAN^zk3N8|(`VKyZ_4 zhOoNdmAF@b!CLwJl(ybf8t#cK-w2 znr?8gQ5m4x=3Em_-CZMkj`?8D8*knxa&9+;5SpGG;dkL`Xf_4}S{;Er-Eq)U$eUwq zBSYZue!3AYe>S7KXW*bGG1$Itpn9f-PYd05>`yGeP13D-zwKaR+?<+z z|Eivk?qjSnSbGn#*nw_nr#Z&Wskw@?65TE9W31Ssg`ez(9vWSFo`--B3;avxCNw`ra~A3p7?CA3~f6_*!U zKTe`&IrMUZ#>Nyc#Ldb+yzMNgm8n2=Ri0m~_a33Ws9Q#XR?qXX+6k!EPR)F+Gy<)b zMz&`XsMaErU1)D77r2gOs{;H6?mIT8P}^GJ+%lNDyKSwo{kE+Yww<=M!fm7G8oh0; zuy*F;)(SOWlAeC$`&rt%Z7x%-<^_kg&&&Ohw$ICYX#2dZ2W|hhecpjeTHjOCo_pKp zWu3KsUT(|H%)azs`shRH>)9CePTf;G=g#{1QcO!cTR`f-PvsRlo)WpPOuTN&?r$5Y%DBOSV>}~LB-BS3j+}>?FCyq zB_O2m{by&-`_P5iU3Px&|K9t*VZY^xuVG%(yb>AcSZGi9&azHoA#|ubasTt&_I_Y= z%G`+-`iRQ>{tsRxzFbOH8oxg6Gw`|%$?^X_^^xoZ_ioqt#ooJn-#=9}JzBza^KWiY{ra@5`6fv;kmmk#}edO*vD|VKLG0E>^ zyxLmSGKl;n_x4R6!Kx=L0$V`*XGZU@zpUmB3@|%0y#qO!-}^dXh6WBMLDXG#eH98R zweJew*RBd`#>usz&3D5FUtn~q2baoV+u!#d9xw(!VgwC!s-~8|cs9S-Z)(Iu;b+V0 zy04r>!|-!g)^1E0sKP*p(K1Yt(uujk1nu%{Fov0e=S~Hfy%O0@&)$3bV%hUkF z3w#%=nu(bWz(W*T5C&$T47&wqT3pryk~7yXHciTkjkJlOrt_=2m2q0+uL&<4O{5B> z8>?0+Bea6=yl^zI&)o|?{VigWN|u1%AW{<(r{<^s2eec)RzfGs)44xJckFS@TIT98`gy0S#xVvj`cXxM!Y=UpxJ-7sS_u%gC?!n!ieaL;k&s*oz_Y1x{ zPwlF;cK1y8^jzINRWm&c6$}il0uda{{^KY3@n7A{$=S-mo`jo$i9`foWot^p!pcs< z#KFkS$;iUQ#7&}N=HzN+Vn(89WMV_YLZTu~qG4uCB4%pk@*!5>h47&R4D4j)pBLBz z)W2`j`G4PT;HP?s*=oJdS%5FMU3aCQB;t7L7X>Ogfzr}PVNO9a-$GTJ;{O~IxZf&< z$0vwvh)NRi2NqLpCrOBGNC5zzl5?q`hw<`Mb{q3E=LPP2rRL4jx`!3kO|P4A2cJ#O zn1=h64Ciyq?$H=b9D*Q=olU>*!B)%fya>1N#II-lPJLytRYb47i(-nK+R@@}NCwSZ zlV}HGI~iflPc&vu{V04sM{jG`-J?UnxNT{`TmNPUoxq-4W-oGSrOY*_vQ`<^b zERd4gw~^bIDp!xxD~#of!GvB6<2Dwf?T0frbkApWsnM+1BhyyVu>RGD7u!v`!{aLE zq${)Ch97T3FNQtGieEWtg4P8tB6IjtbGm9*3_!{yyf_NhNuQK+VOL{s?k})-Pyb7g zJP3&02r56%3#k@KSb{<3(FkI|K_r21zx^HH=KYG_y1H@K_X=S~7DBd?w|D_KQ&LX) zE-C@?eDEN@jb+(d+(a#YP~l5ztSG+Q3XM7rFacW$XT^Q^F3MS6svQq5J=*!AuT>?i zv#c?zn(MZxt!7;@C{UUFeNFfKPMEu#YV%NQ9$+E+{H_oaHA(sM{95MZEKfjmgRo*~ z_QFs+O!JG(Fe(Z+DGfSq&#w3m0C>-KM>XTlfLvH+d?s zQJ_-&hjFf(hovT=0)*)_LI=#)Y@yiYr_ zpiLjL9|c{VD<{&feUgelP|#t|PYrPDH*)XvFUF6ow_N2ISBaurl+jBC>JZ7J0w*K8 zVkvr+&jtWefD%47RBYycoXfG>WR+F@l!UYulSvbM>quJa2I-$?oVFGF4U+USdj&98 zM(zibef{JN6A3sSHae?SwrOckk4h!-58i4Qbw%6~%mhX~-y%x$Q)95^JugU`8N#9~ zqb)NrJISN8G&*nP`1{o6KX>qID`6~-=7jRh<|35WT`&BpfQnhh{*9T5YCSEm8j|mH zah$?aHV%7dtvmcgG1bbRs}oTgUGegg-3HVrp^dsv!BQg}Whlw~!7VA?UtNr)rXAx6 z{JtS+sgCikIZbh3kg-VQobk=(jLlW=NwaqBep}E5zQ1IG}l{qJxT{IP|kfo~VVE{6PfJ{?Cx-o5^3v4`WCZO}^kbksp9u zN7KIU+7ldWSh3JDt~imGi)}j8_5i&{6+zLt8rRaH4ALipoj|gxz{A=Xi?UbmPF(Ud zz+H1ZByQT>ZI9f$Z^-#W=Z2f!h6kPwSxF8R)%aUH(PlyQOU_KH`-~Yyyajro9YGie>=r zYSvz8+;+H9-K!a>g0Am7&3)Yz1^%5uG-T8#oz+M7&e6!;Kpg9YkRb$d97lanBlr6s zm3$lyBL{N$i%$(kjb-U^lRsn>*53Vrcl+r#6Tmo3d*5(}wf8oX`A%+~@re3EHI0cY zgHp%lP4f|QcZD9DtB6(OazfADz#9Cwg1n2X7rFAxAH?;PJTJmF^$YNWofk2DWnC9c z35zehx7<4^Ig<}rwac9q*X{4W-WW$b&!8tzA^4|>X0$tTuPZ=n^`s&vso9uUW7&5y z4xKI=o)K^S;=g`AKu+a3nO6wPYF{+H-VuQo7Ndu4U~gl)Oq}O(kW;)~B;MOOF%Wz@ zRz)V%SaD*3n>?LjS%a_3$T0ifNIoD=#iSf9)_ukYh30Lunv%;A*?|S&I_t8Q{#K6c z7gWU8^+o}DzIyaN{nKd$GX6p_n=xQ7@zRwesdf+BYYmpnE2OwAcCLp1z}uI^UD%2{ z^!GU6ZEmX1B;~`X4Z?Vj(U)&^0(#YJ4)ZT+)8!ZDtc^6zb!t zaS_^yDqa3|yBSWAU2h^rAqbR7EBducEE6E&+WBCA!^{cl21#Fa3g4egS_6Ip-PoD_ zH^Kp*>sBIAIY>WI=Vs%wt%uzZ2s&egqtqVu^AZc2lTCRJ>hvBh^SRg~yN+E|>d3ak zTMJpNxXa?cRq8w(BR+nEEvGd{s=S(Pc*p-CcXx4{G}lXwf6eP*S)noj-0S2&5ddzt z@ZM}dX)M8=4NaM`YtY|fFL&^Kv1RSobdf6@Y{+c#tSf3%=|G4pHSNc@6 zL7&bBkrv1*pC|QeI-mL`=_LX||Fhh4`s&w|4a5^0{fi<_el;;9PZbF)Ohc6xr~oP^ zVs_&hVZztjKk4~6xysBq=**~TFwTOc_sYnEdY$fJ#t{qoGX}rNLQIh$Jn>eXa05cW z)C1BIr5{#h!okI>-98U%QdV*pdVdiRRAx;is&0$7gpj}7EBHzp)BzbUQhwIu;&G&- z*06N`1s9v!xJ}I%(IS7Qf+sL>WK_{<9j2#rrUUSH`x~7W!R|NM6GCpv?4c1_|(x4|PhDfM!VSVL8PrJRyQO${60RJD=pBWUwy zpFr`({aXt!S1umrKd9A_>?7;9z%P`SRm*;{93lQ*K~b?PSO zO6&lqkGJno6+piac}atpcKehGr<_bFMsiNdH=e5nT~4Ubsw{-4p3y|r*feR1v^1}^ z)H%H`XV^tdAmQwnuB?|nH%R9eotGsOIX*jxAjMkXsLea_nN(j;ou0H!%K){kI_!h< z<1nCh6?1AGcBdJi8_qKhiM_AmJ*on{ZGya=@VEr=XeJNYdO9s;zvlov9Hfd`N?orQ zXo%Yk*g?PEOffV$%8S8{=J=3oF(I-lnlDK}9l85o-ou>Gt@6Mk>H75=)S0cIn! z(K_CW9xLavu|#^3(S%fV=9?p&`jtwn25uvyxyo(oAs*@uKYN(QL%>z*@6)hjZXJ$D z`?HI^eYK$o9EBWjPfxZ98N-SvxhQ?V#>KQ++eI4&IZrXw3>pwRGqhOwZW^1@6LW4A zaeg!6g8JEq^{{N>Bg20tNb5Rv&6v|Q^lR)iVK$A2C{ZWmUM7B4s%vEvsG73!vag<|)G zBPiXt(}A8&uNQK`JZPi(g7~(mLYs^tMdBWr6?aT1JDA^-|6C)DuBenY(W;@rssY$R zJAXCW-BZ7tX!W~lhxWxIpy1Kepb}-!0SBc1SYSazjO-KVYEhi8{Wyq zrGZV0X!&!eTGF>h(%V5qN#0zdG#|qO7=it=&`BoyQ5^ z8^)7EE20z<_9U{cit?;7QOeR7UQLOOp3q0G6E)9tEW1v5VxC|t^*=T6sh-=pQL%S1 zVk`p^_C8@D;#TwY5zre{9!?=gd`o6h(29P^$HY{*=xZ^WR_#(CxJ?252}_3}q@m_< z5zfmQM9^wL&${Hq&~$M_*a{TJh>qFt=9_nG$p1!fwn8!Y!aL*^j^d_ek;sCq+x%%^ z;rrYh```u3yIc+93&L@q2~HXegI-t1%e_|TTfu7ozz93SoJx%gxfgfUcEEe5&MOHW zNM9@3T5QUKa>8w9vU#J{3p@?RG2geH?|OT#b~1l&kY;oeqFs{*3bQIyFaPj6Ov>Pc z1Q|Bn()gy^)~XUu7nbd(&5f5klq^o|FZcNqDk%|Vs5q8w3nAyJ8w)m!!Xd1fgj>=+ z;H|J{=}&KD@6!hp6QUsz4jxnu*O5A+uIy&qc;u)-+$~$&BTk8_-O#x+bA25u3z$|8FQZS8-Hy*xLv5D-DBM&)RHD(@BAr=)tjf@ z1ld{A8a+*pd=q05z%{$5;^Js{Rl&WFzBn7MhV|?4Z4YB)oNJ*4=$`LVw;kRbbA#)M z5+>()X9~J8vghwl8uR-2vLN?(=QLqSIc}}azU2*KguR8M8}5@PS-OG$Wc)Uw>T}`( z+}NaqpQX6FMCf0+*PM&ai>btCL>^ZL03*3G75fEIaWkyn$Gvw-yM@#qKC?ZmdkyRS z=rnLxm905T_HWr^Axty~yq9Y^gaZZRIrUs&&e5BbHyKW#E2fpX?#?&fRg?MdEomrn zc4C??QCATiO&+?&$1GwMj-%8TiBwX;aURzGdWRQWqvwE~R95Y~iUa!XUx21nK?9}f z{Uh&RndEHO^nBSvtGx_vLf#7T_gn}iG#tlz{f+ZIaxZ${-342gp-*vbf4r?1Jx;nN z+d!l+t_)IV$z2`TB^L-6*e(H<3LBRm7X;<64BjbpX+n3vXhQTzxh6V%!Z)8A+xwXO z(Jh#t(AC3m#Xu2-kGsSP%Tx?7fKb^r^tw2)@ej_gxaEMVD}*AW6Ra<5)%2g7kWct5 zbPZSF>Jc>cijRDgRpix+x6kNxBTb4fmdAk(<7jEJB2PbyvEhqkcGb3{@yDfTIU@Np zd8yc6FRylLC*-V+{r8sK>K#@_c3;=6b!qR-bc#_hmv{E^w=e=d3R!Mg zA-srbc8O#%wi^>^viPp729gzL&q8YzL^sxTKOW$ODw6{lcMZFIGDF zmM=EF8<+I#i`KFskAt?0V*w!^oRKf0wjcuV!iHrS8lr(2^z9(}GxwrS(Dir^+bOc& zvmqVVX9JGL_F0gvp?$Q|>qcCOhoW^L^~Y1@$xutfb+udTYwIg6h_cQB zyplhp!X~mB4mXI~$Fk@*2cler>F(Wco;Z}VP@4Pnjg?K{Bx{gWQ-BlN@2zKL($f)P zUfDhR1Se(}V&oNoZTF}X4-0>2s8BYwp`75+#pFAa0TnUC$exE(N)Th=wYl`uxO}f7 zcd#zneV;CxCbImmxdf1it1r zZ&;vrGG%`ws3JTZ+oXZotNAgO@-?J#f3#o`L{uNd@2T3S!G?w zTLdnl!M3c2mRVroC1j0+7VFNYKLh1GXo|S)9k9Lm+doMsYU$9gc;|rg{hZT#i(%S? zk!CdAxIrT=X&2|Y?%DxO!~jy}7AIUoz&mI~(RLO=b;G-_`Q0nUeXAgH_6q=Uin!$u z8_s&I;iF{p3#B7A(leh1GsndP3l)a`o1=I!ZaG!p{J(|;(peuzWPQ#6$WVHKG( z7sGP)a>bEy4wv6UHSMtTy02`<_>+D_O*AJh+e3^|6XL8j^{j{C&&l58Ib4m$Re3kP z+a*=A70q&2ypEJY?;P1yNJI!aQfoK0=CINi9=b=q4llPAFyL)t*fon%{$Q|>l>=xN z@A-+0_!B@7^QZl%q?Z;9JxP`2g-O3xXqp(&T`Pi@4+&a)!NpF87V$;C4 zLWET7$&6#v3}&UdH@$rOxQdmiA4|51=fpIEhAK875RLxHF8TH3d^zRV`&)a?dpaj0 z#$wxj6jxmAQ?Z!@@a9&@c(*O}IKKM!r{Me@qM!qv?28aUDy2fUm)Ap^4InZZ@Qt#t zrOHc9H$J3BNt_}@8mrdmv9|Wa4LCG(w}Xcth?t7(JO$y(-$C#of)C`=&FPPN#wz`m z108fq;gve?QU?4s4=^T1G%3aTW?|T^b6GHx*zkq|B&MJfgu+sC^XujMj;{ZPJAABa zw%sbtxh2@s($IR8U&aIX4?4^q7Lpg8?k4%@c_`!p24xwWFFf-d>aXOXkW!p_d@%MW z!cY`Z*fiRi_~BcK@Nf)FIf1Deza$hG;=lY{NaT1n$^VRCNiIbzHH%0^qpZsIBqRf? z&4c6hcjQnMHpv+hD>v|ehRII+TJcqmF}qk&Y5ET&J)z~1;pN}qNqDA6qyqA{q5m~q zJ-$Akt=%{GitnA7u{738h%##3%I!&Xlb}wk2vIDyu$s3k=#_rAPU_K{7gXJZ z7~;MwR@IR{nSi3?gA0CRrFRP1?mU(bf=EV%wI)6wNIv2kwGY+pHPGBEhEemlTnB3p zGP`zeb1E|LN-S|ks|P6-a!Yud8>E?l>N}zghGDUKS>V`x{fe(6wJS!^rQFrgmpsTz zKPqTH{Q62?n!pBQppe=|2eg@hnEu10mf`IcL052saPtG`rS-0ph#l%0)^hyC>r1B+ zx)wqdHg%Ri^o+Xd(o^S6FGUCf?fP%J&Uc5KUe_S4#SL_GOery%n;}TSA&2|plicJQ z-eqvOO(V`Yx0uRrWylN*!q>-tA$-Ply(39SxLI970=}gl-u)gGCrDh z`6lyZh_gu`_8xM;>-_r7v^=0zRtOGRB!ExM*>_F&8#rYkOpb83@IQobcXy!w6bTy@ zR|)|M8ys>Pw>GIa9&khR>;<`z27&hbV6hxsq@(I_Hp`3Vn$Ko;e%>^K1%><}Rv#&?l_nEw(V zjZ}Zl^$!>Z|0|msun*Q85DX#zFY_P5-#-8zI!D-2@jDZ@(1$FW^ArKek1542_7xtAv-npFE0ND>AxWT4X^)3 z(%;AVcOgxEHtFHH*d{_H;Dr;1RW=qJ5bkuwd_Al zm%Jhdlut6T!wQ!Fry?qr;y(y8fw**W*>iKDbI^2nlhXOaIj(zYv!)XLvfw`@lOGA^2$!I+r!t1!!VQ(UC?PEjlGJt` ztBaGcoxGJVZQKC3>bLbd#C1XJFQYRpIa~|SSd{t zY_LNFxtYOWZ1JJ47K~ZC0<*shdV%me^3RFVSL$s=XrhQOi~SjWu^!w8G2b0IQSLg` zupru?ZK>0gOy2R|yP{)W(4Vu}2^ZJ1Y>p z*|v1~K7ILj#kzv`70k^LtY8G#3ytwki%u*bLNg;ZRk$%kX5Gq`T6`=>x6~rK@?snS z*v_L4Wi<^caHg2l?(grMP+ka z5xq{64hZW+mR*mzJ4DkfR?bKn?{yF5V@xgydRv^d8fb%G`oD*XFQ?w#*%69WYE(ZC zWp`%P-dA5bj(}Bk7!zMp_{}z)fJ)smIYI48i{@VcsW-gWjP%qACBqhoWHTu1xx=LN zJV*rGzdLyyzcQl+>OHZg(Hwhp4kLi>=)b+)U<4282RFLKG-&P+Ga0u43y(+cEW7zH zdl)_T&^v8p0&F!S_&7E-#)0-G&Yfwsie^l5jm`-{MoGIUMoCT~(==9G9JpZgP$8fp zL62!!P=1oIK9KF4ob4vw!r)<0?PbWISVUTn4opC4XrkFDzw@#F*Zbs%il`zluA1)6 zPTV^^Kgw0i$N6h43zW)aFE*gfLw;&h!*slSy7YR^8g6p*n{Y#1=`OIn;xle z=^3s2Nh4(m`e#<^yOZ@Q;!ovSb672vX?vs5an^fj#@0tQ5S>{1G09|SS8hm6)@$XU z;7Jygc}9r2u5XK|TbX-%*2b-BBAYfzw7aIz5qJ!@{y0Hnml$19awlBfa*zdT-BrNTKY>F+$cOE zlYVU@o_TU^fVA zD7;!Z;CXllUnUrvJt_r{YkFrT!E!FYCSbLfhXdBAPEE>D)dL3V?rGBt%>{f^k15z6 z5tgqkM;|gbE>Z1)VVfd=aOK{m-jjvrNrLBMV9SnC3g8l4B7ak^;^sn?&o*Y@fisFY z&+RuDJx+9^>Wy}OQo*%yX2O?jIlt3@|6Fu%D56>c#}rv&Fhwu)*>>2xE(JoROv5x^ zF(sviBD^fz&F;#VQ~f4YB#49--;qfqQ#oquHA^}y-7^e+fLN*3$#e1ewiiyyX`RO} zQtT1n;-PcGFJg~0(4s%sf~6r@bOUOT7($+h8}JbPB|mvels@l~^?G~SgcM{{@^4{s zlgu0O`!4sN0i605WjD0KY(w7uD4S`2i770#4*7f)9^(>P@$u{Aw1|`E9U;kS1OSxVrxS=l216VC&ix;$j+!2DR2XPmM5|{I@VXoVR4=KQ+rztAk=c>EVDS#8wy39xEUSee#?i4>cAOS~9OxHt!d&q4^D~-? z&Ti3Lk?p-hnOD`Oaw@5|4sP8(lGgbo?p1D<`kF5_mPwY$^qlwI44FJgE)YYQLrK~+ z2Z<<#idEdGbz=~ly&muxA}$$y!2UKlx?@mKB^7OdLAPPbK=Z`0Gl?Y+wh=4%ROFtn zEM36u2oG4AYe`6lb=1a~fXjrxZ`%?kj;^){uw1)&ydIBrcsnRWzM70AL3IukxmXI9 zD7>(1839U@3WC#r%FC?6!93`na;(~H(5hFz5$F&78Bb7;;#wN2m84}^ znNBq6n2-#7QtCGxo=*4$eize0-{k*20)6G!<_C5k7QJDWs&vhWxT1I1@;!@nE@Yzj zW5`5OvsQP}KRT@)2h7a6S{zXuk_MYG=bB_j@~?yZyk1u;kK>2U)qgTcWg?HbQxSs- z%@`VD;*mGi^ftS7Me{jeT7zUPao?=4mgs|2e@!UEZ+kLpovrbiwWXW~OJB-r!TI1+ z@QwM)fxjpg^hGkImDKiKlL?&ETeA2lWA&_1|HL&eBh^-(2lQX&`inD_P$AS=?K1TF zH!{o7ee7*^pC*7ua_g3`5egWna*BU9hJVA!YNbB7u7fV+8_D}e~ESg&g8Klf^bKK&RPPzT-a*RnSiAYa=XB+ zS3J4Fb}_dCudMP6;NC z4L4+lygkW_rLWzyv{E4vGr`|_*HYC@rQX}Q>TsU2$*xL(pVwdm z5wY|MdZOR4ZP1Zm@N{;oj@88&LOVo~H`UxARgQ~F9>=e@F)th9GL1`kt`uq!3OW|L zsUO~iOm$w<(vpI-uApcD-sq${t&aId*Uif1+agiBhpWv3-NR{;oYPCMOMz$AucCb- zcb}&B^*yVz*EKTd$s?FB%EFmu%IBxSr@?|*%Kd!~e-Uh#&ilEwSJij;BV9!-ZuwvZ zJlT1|&=_m@Yizsj1uJ2deo!i|G$cZXsrf4u4U-bPodYX6>!o6ea8&k(u zzn2{-lBi5S+`%rHgwhEYCUli)1n6-=MeJAZ!LZn!`M_kXC`OyPmOZWJt>2jrLuZT4 zh_Xa?2`ZSLh!lf`E1K8o5H%UXc5xGZfz8=?o3oeLH5g2En#hcTJ)1O-FW~Qr)h`dduyM<%x#y^9>4B&uK&6O7uiHGFN(+pO6*FS<9OhvB-kM8Aob9g~`Vr zuzf~^3nzl7lx{xK#SS$~v%$3%#kta(pMe(OWj}=ulLp&FBe2Cv3(ZRO*QAuw=34NZ z#+cjSn4p_|3+abnA~?zrwS@gtVwD>9RXPbfF3{=A=U8(Kk{O9lA~NBU!=bm1pCZRY z69Of-B9U#s^7J=jOo;6>fu^MKI1I<^|ITELiq(sRGLGg zg)3Mx*A)JnPAXZv;xALn)`U>ichTcmU&-O%#%N~I74G0hdC~l9${BbqvY9Ym`Z#%t zFNuP2rRGtRo)`6^E6IQRdo&dPQPZjAo{^n`x=->TE_pqWtm|gcZb-Z_^^}MBLY_|6 zj`b}+(&Zts;ufNDaT6&Vt9~eP$zU z$h(@yRP-$GPHvYJJls!P_3utdMy}DBKUIEyrZ|HB($exLn()OmO7i9W!;+X#sJv*x z##%2WL(t#3weyh#OY#ohUXy%LenPhzzmLam8xF0(aGyPRx zQEi0HRzll{f(`TDe=jGpS0Ps_qzis6Wi?;ws%dXzCKBGPVe4lHap%$ti5h^*bSo<0 zcW(eE>cEa4AT+wv?)YN8%yZJ`?xmYB$?db|a;tM^*=;?724{)u$1@}mR6f8+#qBu2it zts_VGG|yBy+5lGZp`Au1PDr274SW=@!7};>fTDR23L$hav!oKSvtNXt> znk^z6*y@!GvedA)TM1L9DCY%!l!I$Z1}5op!5>@B%C8AxAydj_*&sB^4#SXT_M!Q`Wd|dzdP$O7{0!*{NnV6VJL_(px$cj*gx1V zy}>?_)^^x#uHL9lT~nTYq>{>{*BI9RRF;hu%Q7)l$!tgJ+c(><+EF331d}ochMFR5 zyS7|U5(fhO+q}y~`;Cd4!r+I zbtTTkk0Nqe_WaeN2Lha;!R+;nCJH5Ek&2-u5Gx@e%RQP)N>mZJ{@;qxV?+}NlN6)I zBw+$6vB1BQigRNONmA+*XRwoE4R#yQU0jbNC8!Q=Zi^->hCTXt=?1UoYyPn%wQlk& zLzZ7g6D^2)=dd=?I(9IHx#nAtPQ+d9w3PiSZU|ATT;OV-Xju_@&&AS1ATJB{Q!aS? zO3&MYX-2`kEBy9nD%zC{E7=0$v~K&e;y#78x1khTDryM28cVMip;;pJ-c(evzT&4+UyaNy zUy>rM{K(ZBu9IUM&4MvqqFIyLU4L+xG3#`S6AbA>@n>NwosyMo>{Wbj46t5Ob`x_t zG1cK(+&bqn(a^C=TC9gw`A7Pv(j?r*4@&x+U|S}46mb>?Gruf7*-$k9l`fSc#$k&Ui5Kr4JcnOXCbf- z;hL>MmNP^}4O{9&+>;EmNl}vw?yY1gVa-&iK+Omf;l~v)Quh*vNM&9=xb2^Mst%^Z zcjl%BdotOdJq@uDMd~2xK*z#x#I^}kaO3b`!^)r15RXUX_0?DwoFm3XX?TPW@A667 z;y$$HRqI<&uuXpGqxK3mKM1rF3w<*N&Zvx+45o$Ge0#FBk$IX zS>wXxKeez^!!?nElP*w|e<1Y@=~H|%o^`^?w(y~GZ_3Pr2^~$csR6mbGu+8azrW%^ z*J-E6r(=jSS&YCI7~hYH03ZQ0+6lkfN=?fTu+qa+UHqporG&fsVXdjK{?0!EJ@9*? zVs3+cNmX-hdx26Zx-NJXl|h6JQNnq}d90w)~U9 zKVF*o$4ex0Y}9b$@xGsI9O>Z3kv~keHu}d@i%03o_1gmM_CWOl1c^lh6fg3Kyr&;Ov~%8Hmo^G&%w$8_x1}T&`wGyl$~Z_J^b(N{T*T~ z4?jTlCw8Cz>nZnTjZ{dQ8d%pFl6r~%RY+OSs`P1v7VN8xa4}1<%5?7SA2o6l{-&j| zB@$I~YB;r@A6BZ`ep(s)$M;}`)+R>*Qw)a@Zj>DZRdY?F^_<48N2yq&$;jC(akI8i z!gI0YMa#O%D#jJ-X>-~cI0=?^PQP|SE8NoB5}q0&K=7`;Zx;}EwNY$)UFV5XPuFUn zJNjA(YGv!O73Qv@x|1UdGSp5{r7H9i`&Rz&>Dg(fw_$kEioA#(EmyQrV-Y5%uBF6@ zqu1tNPke+4=Its2haUlciXKPP20*>$L5LSUDrgr%sFn>Y8Ws?KT|ikygL^{PZ*E-g zb_5x2VK@zVa_y4@JiEVP zQXF9Dx$#3U{?wRkwvKg4ZVi{BlK9Q;eI~~A@}=# zvSChg>VdEP9BwCVTOuG{LP!)i!SRpHja82KY8>GI+MEV!4w?^8MEr}w91B>!CMcr7 zh;CLpfM*X_Q=n>IE|biU9_8q-n4V9Pk^Jw{^SkTs($n~l&SRW`gbrd8C2pPJ{Vt>z5V1fNX`RjZ$%+D~MUn(l&oRB|F+y zP(tyDJxgWJ4FaJ*2y*Zwk%P^ExX}9JVGiS(E-)6!N{Z>NL0~>W+;kmNwqdmr7BljW z{OiX%uP)gjY-ulg4!-Fh76EX4(1Ng^yrN<+EmJV|Edu#1IUImN%90!|@$p2}z;9~X zF>T6i$kGsx_{8Kf!^Ui}noDjeo&YY;ZkMGdt=Tt5OD+tjJq0RH;-}%Q=I2NvkTa79 zY-w0O97#N+GDAjJ9=8dR)rEj2I$~;q79{@^{0xCU`LSS|NvqqcGyp#x0oPJ?AWqI~ zpcVz8C7mE8RAS&0l|Q0@s=6S$;IxVMkC4w?UMT@rc#i}!s%%1m-7Dt&!$eu3Wh{l^OXXpeu-ZeNtu|3ihoJ5)2<(~o4NFFOx~6n zyLos)7*)h3;xZp*eG31o)`f*w3I>rSk6$wBC2o8OjYfBh$6_2?Q}ShZD%<+$1mY6x z@tohe)#W02wMnPb=hE`AV~HRLl?RgprpO*w>kD2W8Xi8Bd=%=I!vca&Rh|v;qdVr^ z2{i5<)<==D=Hn)hXcthOBT`J8R2sIowGbyGB5z4HVQ7ad%WdTgL})$ZsqO3QAiJrp zyzxBlQ93ixIZ`S5sxO#T5|zZaQ?gkHSw)GXBtlIZGG(o?P*LsjTa*+KSE32T@8F^D zBt4P8n>+J7+@4B^)O|{?NYVD7hNAY0wE5{ML=sP3$OKjjGr+oGuM&P9+l(l%`vi1m zl%MPSlvdFQ&c{_Hkgyzwjx*&Ip|cvxWVb9uIw>bQveCVnL!UDr3um28WoE6~il;Lb0=cQsu`n@jm84mv;%)`tliT90?YF z4N(XX7ilcBMyLUy=E4ZyDoLohQ-yF`<@hO)XwF!s4+aj3T?SXHye&iZ?AWM`B$|9F z0JnDm`HxX3DnS9N&f_Z&-4Rp{KD=rlbo5L}g{ETN;khMdU)^K$=phBK#X#24IdI@l zuj5ztG+x{qy6#H))|0a@$bmL&$kjP0Uva6WZGTjc4ACamM8x_nox9M#FNhz#lI885 zPDKhjL$^5Bv=gWYv`Ru&F#>Eib1=q@_t<_qLg4nh!hTL0=87$bml=r6q`jSPTR$p} zR7zMnHx#qo6kBV)EmKWvx;VBia&Mgtz%_X>AP?9-lh2xMTla%9XW?^Ipy0?`8uxh} zuhdi<$#We7bC)O#YJJ|TCN!QOiZ2g$QLU*Sbj7&;HV}D64p7Z^QWeunzp6xnEt>(* z=cd;LIuR5_4^@feAplJ2YMjld`@_CT1@3MM!9U3>}5OHeP%& zCHZ))(YH%XKldJKYXiArU)79xYu1mnjawn%Yu5d~?m{V>o$9jUwn*>a8V;0z*Q|F- z$MKlJ)acoorSR$(fC^>BT{0sh&vkdyYWob3rSH!O>bmpsxMKB$CZM7|75xz|#T|tk z%0lxkMiCbM8B+5yI<$mpbaTSyws@LIkOa?lJ0MUFQP7ms{I!%`C)12k3-`EOPnS2u zV&t`P?CjM**b*c-msN0qnQv)Y%%1v-nao6zX7Gw>H-NwP(d;m@R1e4F&d7C?7?ztX zX|I^aBoo&{uT?WFa{t{SDkrV>`)4iG6xC_p5 z;6FAcR4D923;$GibOjeju3r!Z5bs4C8kJ+ee)iW2uMt_=;!p|a(gj8j{i*ho*MkKg z#EAZ!MNF7pr3P^s%|g^VcvK~!?s!i9-HnjKyj{dcP!k97OYTU;0y?bnVkSi`B0bA!jp*8@VnlfN76=W9b$Z;6wk%O26%`4-Q7BJhxldrvb<`hBV|OnPpG7F2FOR6< zH!AN_<;&;qt#D;t7^(UGSu)*&YtttkTk1-)2tWMG*-o4G6u+jj`0o6|()bfm^q9H? zjGY+aW3)#PRxw7*9lU8zOLVQWBF75Cz$K-@*oPW|{n+DJ0H!Z$+K0ot<8Y0KyBr3z z^1h**Y|j-OcmL2@+?Kzv4OmEPU~L>vt72)4$#XAbC%ay{Ss;kNQJ`12(&U#xJ;2tY zCR$yz)@F`U-3!Rbau^?sAEQZ4QS+C|o%~cY<*54cL2D!KsXF33!F}ScTr01) z-vgYOrv-wGCfgn#ZO~0J;U9&j+wUI-zR(`aBEN3J;9~{xUPtRgZf*<%*;V4lvW)DY z-=HZlBieZJWmz;fSHo?IJZF1SZ|d1;Lr)&O$_m6@-wZP&D#rV;JOvE;KyikzrAKpj zcK$7=xISo|6vBF#beq&WtLO=}2d`plnKvfD9kd;jx`NPFeyY)wf;Arl!#j;NzP4b@ zIKyBA;bZlCHzXjz!XIliU=ObIrg9cf!7rzk zpqp=X1w)XdXMG2!xHS&5u{nkLpQ0)GL-;M`t7IbdB-I~6%B!Hpj zO$eKf(Iw^y+e=51s!>P+oW!Z<4WXYwx8AWN=>b+$&s$ z*j0&PqR4V_Lm@=;so+=ZNp=P$$7w`3_1JAODuK=)G|358)= z^#Oep7b<=cD=7DgbZAS%Uw?uV;;U+1J=I2+GU26{Y2{)v5vB9qq6K%X3o)+N%LUAA zIpzv%X`)pCpp2S@djJAzbk`4N0| z>VZpa)p3Dwquufv_yG(Vn4Quf7!yPS+#hPKQ#Acg$npdy_D5GsyXpuBm!7L}J`YPE zZkDqOj2Ke-@g%2>S&?3o^R$*6m196z!mD;l$+F38h2$tsU~lMn#$vtp_OV|nA*ko% z-drzI&%(<5)K;RKXymFsguJT7rMlRO`)etF;^x^u* zOyUwYa#3q4)X#k{OXlYooz`7k(O}#$@h8NYqxAf4R1KM@%oj`$v2Yi8f(`$KK5sI} z44+Kh`*ftPN3wgWqygYV;jjuEFU8Ebh$!kN^@h!uv0ij3V@UbNb5^?l*ngKqG!cVv z+?`~o$Gvl~HNL_PBo;`cH#;Rb6y{TZzF&}cWuAFBz)T5dmY&jox zXV8UZmML+5Vo3cS;%xVZd$Q6p_#HY>LUYmEuufLxzSxVrSCiFdbJ8RR+D<~NJoDy& zaPw%pFR2E1H>PydWIhvfDh7Tg@eYpPE|opf$H0h(&mnnWFd`OAbvRF<>a6cDb&}WHK1)9)s(iAdcKq!1Z)k#&B{x ziIdrts}C6<=`}Ji{}jz%7D?(kPkL68MBbO6-p{;LQhmDZ zg*P^+C_mh#W|b0A8efZftU_f{#8h}+!z_`MmP|fwy~s0XyGguPlVnz_b*2=OMm!!r zZVlp|^Abv8D{J3VyfM<6DR+(kHjYRzZq}=Hh98n9I2Lc-AVXkHv}4JDpEdvMd+~C| zh?cs-aMJi7&y|IizZ=(Z7zgGEfgKZ3aZ1-y!@@ILh*34O*;eHdiC7MT#u+_sjGRkl zPqvF{3_sAkoFw$)W4}{HXh(f5I9{TKk?0!)raLxv&r>AIcsjUGh<9Vv>L(e32dCV> zISf$0rvR8azH~9pd8M)*WZB*&59-5PYtRRk%`9vfqO^|B5L6PX>T#b+*+i@M6=~vl zl*c!4SRh>t4y0Yh=3(k1Du&3Gm~8hhaVGIA3xvZ|2IWzMl_s)4BZ!+R{!HCreu*Yo zdJ4gJmct#e*0i%gve;KjwJ4v5GFkUcJ&vVsUpZ!6Qur>d_a^}lsUX+m{mAe!VIDhj zTbJM32$NQfhS{vAhEQeNJnst=xQcK4ck?9wGVWMBIb6evMng<6$KHhPwu%PNqnhyU zV}*H?AHTsh#v7eCR!YiUlBvs1Wnmf51Xh2EMsqm14~9 zLC)Al!N7aCN4oxrp04W*&Pz(5!kVj;l{v{SNoe zL8)jfKDO%eMEpVJp=NGHQO@xW|GCt*x^C3ur9?_l_CYA zHfP=$2urnaxSC`)qc4p3(Yj_^qm?I~>4a9Px?t86;H*;OY<|h%>JW>oT--%z*6y(_ z!HPB1{D?Ix;2ghuB5PF0t55hY`(nFuP4g(6AO;7@aGqqx<0fZ52G1Rf)DG8k6WFsl zfjvofJi0sfyrLQf@3LJh6U5bbp?C05IKzF^4n9eCJbDB>9-*E`9ejQcq94{LEwu0b z_E?QzC8cB-LvO+()V$QOuxxuE$oZ(|kwFitx){5{sjF7tUrMH|D>8RiWU8}@ywUMx zX|aihox+&9ClGTa&L?KcW_P~@=Nnj0h(_6LR`(W$Hn(j4MLG=aPEf4ICru^bqxVNPlT4U{1a*iW)6tS|k%iW>+ zo1-~NyYO3DX1hFgfiqs%cTF?)i{s08JGGasUGDzaA&%zwc12OePk4kqsP50iRO!p7 zIXzf5zi@Zn-g0a%n_sB=JVTosXUS%FPj5+N!?~8NinMrm^hgh{UmU3#h z*F=mN%ap*vb%veN!o!rox)o*9Qx(=t>X4d~-mwrZ^&sqTL{okW#;!2>UFoFk*(3B_6&jhcJNTG@K}+vI{w^Q4|WSY7fT|loG*4}r?>-*`FKbf_kMt~?KaPI>YC=% z)i~H^3v2IS?W%d~gJ9(w%2c&ln&aGmD0hI((L9HB1O;Y_E8{U-4w^DpXLv|vs{4d7 zT_ScCwA3u+vB|;pQ%semfKZVg55}fId9#$PCz>(>3^G&PJH25i(3-cvUDH-woZn%k zFa}*=*|R0~PB3Yeic#t=WlWWAzuRfM{ashalD0isR|m&J%#WgB-|Z}S$dw#g=rPaQ zBjUUp02I}84SbQqOyPXnkRst1m@4%gU!oqyT3h=+aCeOnMkN!TcXrzIot^e1`}6MI zyr(*Kz2nrCbXVU*1NOQdL)nHF+>jOB5ReeSSszEvvjdcjea7cf@e(SvuDo3$surVuSM7!zEqlUi0SFvo2={@&pIM4AyLOK?vT85ly--1LpdOE{fLBaV_IPYT zkbjcA>?MFwUu# z=CGF?eEM6Za@d&`4>|u3YByWzu}iJmE;@t+MpMcRj3l7bK|>0zvWfFX#A9xUP*qs+Q;*yTZ~P{zKSC5N?gWXhrko||D==rO!o!?Xv!*a3G0 zMN^=2A~Kv0v{D#@goq4dKCE+1J=vW_IT_?r_?2oqD+#tr-4!GkSRcUp$Ahjg>(Dc z?m29Nqz83=^=s0(F0@tq?~!9&_S zA*ek}xlrVWrE*yP;69L0W(D*`AQseqlKKem(w?2I2k&+)`~fggiPC1gqXW^xREbYD zIE(hh=T@J>j{-R@hkyK($Vm{Nn!{zv$w$bY_5t;t%H? ztQ5u!a{>$9{jnwpXK+ZIS6XN=Hckn^yOenhBEL$MDV@|uEn_4ErLGOGUto?b^@SBz zIq&Z5R4jJdWzXw!SXZMm)C_BCs}!t&th=f__CCz4R6%J^edh{qp|P}+n(zKS8pAoi zBDm(O`T}NFR_%SWhg}=Kxiak!Ua~8@K`I$<2XOViSyT49_O*c zEcU|goHZBad{Hniqg~iL@YK6NMtL{qtDGIqhqE|OzA7eibvNwYiqddHawe_SVWM=Q6zd|%RSrNe9S7&Td(5I>tV-Gp3tGr*-9*;d~ z%@`S5V#Oc$UO(7lwu1BamOUPO+0o<99C}zhR;rs%F(h}7qdh9#u8GFasz3gB`e$Fd7Egfmi zMLqU}g%9lQIC`o&dcJn_G_cU%yowtEtX_saYHRdl>`54j6pj*~>A}Yk)yJ&5+-La1 z*ksktSW5@_O~F{8G&6CZCw>Z3+-HyEI+`)onKpY2pDbkRfp3mGYqaT(9{XDVpSw=^ z%<5Cl4{)N^V#nsPt*wSQs}g)xjENz9YYZ5Uhw2NDonpmI#_ZogkUFBs<6YBc3J^Zf zsx-qBs+}?A3a6!)IAg(oE#HBs4_TTS%XMVVbM#EM{EM+#md%V^?&zuH$W)U}6YZ0} za}dNs@ey8R3hsI0q1x^-`q_Ui_OyP zF@PsxGv{YJv&wCbH{rJ%%wA^fYbzz$3i@%&LbxjAaJ6hJ=vt0{)pS}>e)|A;pQnir zT-$Oy?g_`^j@L*QRIvGXV%!{v6`^nLDu0RdHAiv)s-^akf~}hfiYJG zwtp3(Qo?W$gz#exdI9M>#2T9ekH6T8efmBpwnUg$=&%EGZ_#8N1EmJj^q# zm;kF|us&J}9+zVEyZT;#`Lr}zdv2?!J+MzZC+c4w>+g&OUpVVib*Fdv*o<27*jf5r zT0{0I9OV1X&^vR2F$FD>_N+9Flqmz8)fQfNK)+C>GF^{Ts!$K?fT1_x^x3gcjkF%CJ2gX4&ws_($II~^hZ*5?z?2FF;N3&G+_6FY%uJDF+ zEpkU#$c)y0i#1y=+in78+<@wD$7uL0O~18D~3Y3S(al zP@k2*zcR}9_awWiS6I7_9(%yKcNh0gRc+YIjBPR;L8dHo){IGNS9`gr9reI3Ehenp zWCGk*X~osJo!cSK9>}@Qny7=tF}O#_nR%-3`G#yM+YWH9V^2fJp1F=aa~*r!cHvI4 zi4XkFBYYXgOp(1AO*sY<%@ohsA?N&frlepQJ3FnYV?|MU1v6S#fz`{N)vXYxe#40UAQbM#<4qNPB@iqE(=Xv(-~xGCj_ z59hrtkMjg$qZYnz`KiZX5n#3AC@|721;54S$k_M2!!-p*#{Nd3C%%_$YKDGy?0L!2 zv%%^MkKxXLR1bce$xQJW{-O#L6o>S$bbt>gVM?YuxMUgS?^EC-Y7R^N0{w2vz>{xf zw~9nr*LtT^{M}-vp749Mm?|xdugh#HJaOIWFT7#Ol;QjlXn~m$cMrMx=0aFIp5cKd z(Vn=~`VY=(-Tr-tI4@{z`3^ii&tfm!ac5m4`*U|8raSGje}N*dUHF7y6KBSLf_AAx z_9=eb!c2)cR$|z=zhcDi!G|9Tr#ukiBY2a|<8EgNL^WU6t`3g`QZN({; z9>xYbo;%#)nES0d#%^@>gxfkhfpx6fJ=PR7V@nke8EXtJjaqn{4os{pb6!_K;q^Lvo&N}CsLK2*(I(}i_JpwBQrxo_MAmMq!j$gRnX8Op% z`FaQE(M~IJt=i+_VP9)sg0U6g-6lTpi%yP(_P1j=uNB~oB_*m)SvJG0z|zCm`&O)j zdnO%wehGX>F~MU~VK_G}gmGrysCUD2@YY4+D(BZZnxA)Cy3(@HV@E+nX54_MB?KvG zLBJoUrS6k&S350z+p*^rr={OHEgkIq9>-%=OBuTcO$!dR5O`>Dp0O(--)t8=tyf6O zR4fI*%@pWR$TRkUg%3PGJSc^tvM&7fC{we?J`ZpX_4rn#Wo)t&jk{Pf@T8G4$iRBp ziN^ao(HI8U2&3RzHn4XZG(TvUdY)d`(zhKw^&LHxtht}kDc>bxc(=SdWQzKP?=l7Z z3lD{%$KG{D;j4nWl+2DE^;TNQ%cr%-cBpnOaB#Mt<<6LKJAZ(NJ67K6L_ZvfqC7ko zWXu%K8wZGnh|Pr_G-a6c+k)<@M7GE*Rm9zakcG`%5qr zj;5fFXbOH~C7Ln?nifs5afZHjWNx?iQgYZZYs`iH1PG&1&ByO=*Og~|n+bkH4G3hG zf~V`i?U>P&ZybB<9nKuK-m#~mW6yJrJ&#&4ur`P9Xs84Gg7f}BRx?GOn-;=71J0{`P<7Wb@GCGDH{|aHMC-z*e48np*EhOQ_Tcnjor`jq0x`jo zfp0to+z4f^b2M+UN*N#FRMuth1Tu!dfP+V$LP!r!OW25g^3pO~;q*=LEv{ zZXBDpSdo@<`+HlAwRO0mo@0-o$Y}FM;P0V5_@p&;NMBaZnhs^`E8wtC;*6*6Gi*O~ z5W}b0;1OzeBV;ovB3`AuegJBpa4JoasZ2#Mc1RLZ42(=5$# zdppMlbzw<~>YN}&*$m&bbr_z_y`5-FuXZA2l3gr(Mp;ykYL{w7S$DDUNeJqYsJ`NO z)1yv=tnNg}flh?X-`noxMysN&;M|Re*Es%#-)@Mu-7V!t(Qlo*J}SaO*VMzA)mv>;72%A3d}$(W`-p~nTa(^!iTZBRiZL*x0u6(i>+A4 z`Rmqf8J-SjtLqB`aT-t9z491AcX z4=E$hr7lb{LQNn8y9ZVzf#*Z2Vp*8alm^@IUO!@6dV?;s=@QAXvxRe?~UcQ8-qD(l(nxS#N8k!cB zxx`vY<*>J$-Q5$com+U4kF&eG+>(Ji!JOUZr=8vAB)i}La_qUustb029ea}OeqZg_ z^Miv=I|rXUr(KKTGE5ZJ&m4O$v~2d+t+4xTmV&#g9D9=N#((SJv%ggr+`;A8lVmrZ zeQ7h(evUL_w>#`jBJ+X6-VcKmL`23+m4X?V!ug#+TvaJ>r&CZWOetS`%>H8RDr=Xf zh&QqJk#bmd>q;nd?%0IyzFDIKJek~DDR}H-$Cq0GBBnjCUbc37iuh~RmDwCt&Dr~! zVCjk5jmKxNMeCa7tOwoQ`03UPsEF_G?8aYc?ISTZ!?DNS?eW-fYb^}d=&iaqw`Y1D z8|UEjzkYQf+Nb!7mS##3x39J3uu;}J7xv=7`J$!lD{CHuOF$9Lo^!3A^VcjH#u@>~ z(6dpyj0yNj9Wo|-40&b>{C0Vu2UDfY6-ppTfjgp|IRA*#E-YlW3s!Bx#2~ciCMczp z%er1wAK@KS;CVZaJ;s7n$au`X?|nc}O4Vhk)tYgi4bznj?AIA$(w+kY3qzUdmS)cF zQgThCRLNH4sgLk3Q$_|Up=KC}^dW2C&9Sg-*OKh}96W}5uvoLIJw!sKNK;H1*?ne; zE90gl)7%gWnwmMcf3=yhw=A1Ic81j#jMcUD#M!gjDdk>ko9gThjz|v z;ykR0tnmV#ChF9FhokvAE7CIdhHQwz)g5~scX+fv`2okRVaZM8EM-(r7%BQ>UFSLrD7?# zqaJLQX=)s_e|I|^n?l4O09cxjS4!Yr+Os&;9wjDaJ^~p`QJTYFc)?VZ;e5Zq!cb-s zq~a%)0%s^598&WV$d9JL;zl1*W_|Ek>G8x4l@gM*?-A$;WiEGWPjVFxTWZ=2-=whE z5+b4Ml3X!MxiYdtQ3mZso88}33T2dL*+x?{JeS7eGv_!1F=cWXj?`uf=cBBY@alhP z4_vy5lyc{F3>T3R8L-ERhw2J~YK6QnC29|BmxO)hMd>5aAx9apvfrs@$i5@ic#<@ zEsG zj*Q#yXp5-_ousM$nO)&(Y8_)H2=RqZm%NDJXPrNItfC}ZDg z$(a3nQgpou`yoUOKdA%z0)A7#L3ORgaQIc4%~2jQv6F*(Le2Qg&iF~CgguOMvftfr zJSonHGVW7|J7Z>WNIge5?6r4A{`Qy z6n?@3Wq_-J9+eXQ0)Unb(8B*`?>oTls*1MPi6|hwcLSkUDT0cH(4|Nb zL_t(Q{1n08jwlFH1S?JS6A%N^6ht}-1cC(-q=$qSh)7jH;1g+L_CN2QnKNtF?A)At zb8nKH?EO6Z?6coBYu2n;vwGQom5|j}Rb3TwfQ4byBDe0`vY z(&l90Y!b%O<{qNSwQ6xfkR11eLuvEfP}*E5e9Tw*4eL&NTZrklXcoxDF`YM*Byr5) zZy~JB3O=0_`5>k~tQ&=o>L;a-2_HowpQ?)UaZf2*dU7mIrCBq*7}-Co3>Z0*hB!UV zLox#e6f{+Js}cx8Cr=WpAoJOwhH$yEP&kv8a@X+$fOOlkLJQHZ_J&y%_&5Bxj@TJ=5 zt(9_UXr*Y$W_)^SrOaqPUO#B1cx0!dmZ_>OC_*{Sh`LXmBUMFB8^@<VguwP(_Ix{umUTOM=nSO-N$E>Po!d#v73~D- zlMaXmBd*iZ29XR3&DsT@CYhV%fdsg?tkW{e5Tr#-(51;1JBzR7l%PxV@PK?h6nr5~ z^TIS$=0h<=GNT3-k&b@>_5SpxUNZvW`oECIAuysV2 z20rDQCF-9-Xt_8ALF4s&c#-31e>W*Qr|G2fq}h~?39HU7&mzlV?HqQ0e7MN(opg!# zLU`=!bV}4b^s0(qb^O)BrG#fwvE_Yzp;5w|hE^H`34dAeNoi@yV7afVx-#hJ^x$i~ z5W2<`$-yzbD1=^q^RAPw3i^3#(9hL{R_R+&LBfj)32BE~!H3rA1wLOc^qkUV1s`fd z7Wzr){9t281>}Z+U>+^kGp)K0$Tb0py;00%dp^$v1o^_#MC80c^Yeh<==7Wq_00>U zs=i$G-QjVVNV+ zd}N2XK*zahgJO*$oz*~YIiIR3=fCLW;u6 znIO-U>gXa~o%C{1(x%Yy`jqNDMe5MXq9PWZgfGH-{hU|CtCMys!lHhq#6V@H)5{B*s)~DW z|69nD(iTBKdOo&tP6?wlcryg8uN0dfgD4PUXpE%f7#mvA&JT1$+-a1+8my7PJA=$m5rQ;_=$u{y8wtE#_^AER+0J1Ut4!0T zkwCPB_2Sg|JB^*{#mHMSvtvZRwE;Sg#X8g_%PqWXPLMt$_*U&qXqB13JjuNuQP4$`HgS=R<24XqYBPI%(4) z^s3q?l$onisSaMaSYzwISR$z7m_lhzr`ka;_VrUqWuUC*OEGvM7sn!g5p^3Wkt|;2 z&hZp#nX1~Lu&AnfM^VS6bRvbEYhy&Zp=hN{sScr%Hm9Kx;1I8egMOCKNYRtyuDkr; zzzEi6fU_c1wM5Xy|0_~CCHe$n2t!B25pQB+Q7FL z_2PJ)LgkM~o{(4qWtv6Fp7mm6B)xiVoKw(r(v3wdQfLu%(%8@0IxT2MB+P*4`k7LlRfI*~11e;uH90i!=VKbzvT z87QiViWGc-5TE0EnSr_`@VP|zX#Ps+tD)}hWd`bwBBr#OZa#xps|$v@dlc0~d9I{c zk1zs*^P0d(C8Vz-74>^c2TbyOXbsHbKEF5B%g+@hBz?Iil*SeZ&D}V)Lobe(zTwG8 zSyMAb9@9>`S>}Z`URCw>V*Vm4B7`%Y;TCEuoGz#rhaOjg{WhfgSoK0r_VxEr{wy45 z-W$UDVBm}%qF&7TvPRCiHc~pH7$4(vy1+;E6Vtt_-v4l!3(EG`h_r-g6}%wNl&akM z(n&~nxZ`4(`F|dIdA^NVrAo+te7u0=Nc6=j&1o_vlW)jFyN9T3Vg4%NvxMfp=sfeH zz0uBpdHuXpXsp#wuAkoK2j?N<^uAu9+?^w|Te%0Ca@7#qcFt$;5bD@XQ|4xQe2%-7 z?8hTi7#B!ZIY>#;&JVJEaJfnyXlE^hm&)KY{r1yT_MR#I0&qtxm?jU4zVoPtK~Ik9 zgTXe+UWu)-hi5M5OFQJf(r~xX@0I#g5&kYGXmBwfU7=(&OR{s9hQ>FFw5Y0&7d1#q zm;=&_?zNNLefZX6cMjbjExvunYJ<4CEPUE|H&|y zeLgds~a5l;F(W5`V}P{$zGYA2l(^830$!t(;3w-$V8Ct$&c&fR_3 z*B8`F3i|m)@O6F&J+6g#Tj*)-S4h}NSBh2L*+uWk6>K6r{l%gG8U+6id zpB8*ly7{5fehv+x#}yR22K~gfA}0s^e5!~k?OF}?^R6OZRTbBYtT0Upr)RB5T|{(K zdF14>1q=5)EmHb%F*i|F<>~jdXQa@4(|UlP6ywh+VV`dv7SA*0NNPAMW^^$ZFr|9D z2%Y8`i}C6=V-MXu*gT2xXQ>5md%O0{&LDbF{i^An1AHLaKUeZi-y&L~narE-2}MEVf~ zp$k%SiL^}6&wGP@;#nxW2oL2$-zY3XpHj^qV)3UbrGDNmX$qNGKmQl(=i)-1l)fB7 zkKdSkcd(zhp5*qz!bhYvgZ;GYNjS}rIwR8Tyq+Y_xm8u3^G3a`!k%eeQ?Q>4$iiXE znlDnq*-$xWI;p0x-l{6cQc@~U#p$Fci_oW3R8_h%0Ln=GeiP~fT&gjOMeUbS4(qf6N$G#sx&CF& zF9js7+nGDa^8oqsao=6SGI?$-Lho(e4!-Z8BC&+q1>{5}peNJBSZ;VP7(Hx_-X zlvWqcnwzTXl!6b0R2fA==eO(PmsgO4x5>ZJD+GSg1mF#rClDuO(u@RbIWe{`zXDGvCt1`+H*O=`e_#7R=TD}Jf-xhrRDfo(O%$5u^M@J-ZM$17j z*2crZm#$z39nrP1fyRsvU?3KjBDr=ny}C@qSN`5NjhA`G<>e{W zwDWQ&&FH+GYon8nBsJzpRpCTmz1YHaM;K5}ueIo3?yHj?p;XL~szTY4BPmg%+tJWh zHlM;Bze@9J{G&4DPu$I;qrQQ@VdYr28s?PmFs!qb%C|rG?D&oxTF$ z809jj6vuY9>A@Fs;$OCwBc%1N_QN?Y4~y6a&X_q;Ud*Q)wN42moZtgG&{Wk<#60KR zv|j5oVhJh_t&P`!x_oG0ph)+)lCY$qZ<>TLhqzwr-x#oyi{qtdl>=ntLeZEZThg!^ z-c;r$9S+u-x4%d@7S=yW8$R?WgAUgQfn1yx<$5+6LsJ5Ja!gB_s`^;aAx*z`FZiNy zzaH1TsW%Sm8QKZx5q-mxX>i7-qKsq%OG1M(!PERIASmlR4FLtB?s#%oTZdNe_edK` z$24d4z(;);=ZNeR_Hyd^TITCUHnZ9@haUM1md0)!P`skX4hb52Ux>wSSu{9ay9XrF zly=y(D;%>_dNJn%^_8BCj0rJ4GSGY?AUH`wFXpq2zS5Hs%x>$&$bR}tPew4FsTU)0 zMf3?uKu@MQEFe+leFDwl0g0i1Ezlejkgo?M@`=0i_71+j5)hsLva;^rf`;l8YD_4| z+R!&V8Nq3piZW8xDSUdlr@=Xs9=R(Z1Qayt15XYMD{%B;PcNJ! z&0(SJ)wBCd=KDif2px8+G*$J{j5SiQlYSd~X-n9A9Y=q`$87%V@}>y)9pFQePFlS1 z)wEunjIL-kfd?+*lQnH>tD`Tli_AttKpjg(xGl<@*j7PNrUhzh_%L7Kj5qj%e)!7y zbh?{O)mJB#HGb2qeHn|U+#PAMW{c!F$db#8E*j zL$2ppZ)HAOR$f9_tw(5~uCo?Ulr)2dM0o})^r7QCkhoJ;qAS;PRoxl%j8*qJO-i@0 z$J#fy9NxE3N%M~2>kq-#+eoc76Z&C3kLzm}xiuiq1mp$^T`%S{SzqbN$en^9CO|s% z>3K>9q^iye^5_Z*&@rE~cT6V~g_zzIte6&YRq%I$ul)j2jttOR>p=6Rfb1WTm|klIniB#tCy&6K7Df+l zl70cygjBAo56)vM1JX$ck*~b0>x8ef(YnDfa`JIPa$mGpD2%0S5>_9Lp%CHAZU>)o%Az8z}0BZD>!%3u|i{^??^uIX2?%NT6`za+(cb^l@alx zZ$;@VJsDBXCJ)Y@)r)mFwvdN(ScFwo=LLDbMRlc@`H!^>%$q~kuc8BE1gq}hOA$Ih zoITXPEYCLspRvJLdB#*qy9$l!u#@%-wy~vfR+^N`ktLe9B1wF_u$IOn@wBK3ArIac zY~y!<<{Jg)PFk!;3p$ISprMnTLt0>@)JyoEFu(Iqw&;!1;;j->s2&Kd8K8Wj7pKK} z1?NtBHxcAvRn_8!uav%6*nCR+DO-AS=pCX_kP(V{VH;UQYhR=v_0r|@7WxNy;J~ri zsNlmVMdUJf(vn39!0SN319U7;DRWgFFPx2r)Ldvjo6?k+t|DB)oh4ivf8_#VYaswK zji!!<)k&uY+gO>X^LVB7sVOTqu9yD0(kr z-A{)a?Gn<72FGFPsjWa68QA#zDxp*_*8tNAvGbK$UAd@FX|`R?3{iQiDxRCVZRqdp z8De@&(BWa3(Q`}}ps>6)9vP+Ys*P(OEolx4oX-vAPkGARh=ddEyhR~i2uL2#;yrz`g|B+crfI+TS81X zA-1_Zodg<$WjZ{f@HM3xS)^bmU02Xl)yXO_Js~rnHm@MZ0==y~^prLT+BiOFW0j!8 z-vwXGg_($*gEqcTzVu>wRt>%`5B9twd4bIE313x(Z-C~p=p@kKG9BI^G(h2NO7)>4 zO(`#n52dN9JBSi*xZsQGTz=^yDPb*59(qd42W>1O<&W}7>D!?m{3yxe`7BHmgc=;{ z=QH|BPeukdGy6y=!+%l4)Xph2nR}@RG3Sd|s4rT_IMT(#npDKS zlcru1!6&EA?NlVGihxwrirCg77i+B7TE_d@OO}gSSYxD?0UbC`sm~Y1o6?L(WocYh zyA@WKQd#GwbROky@K8P}y+73Wx6xuXxNGQqdU*^z=TlWUV-qyESR0Ru<}IwzR2O*8 zrxmB5PfrSZ&Rf!g0LY@Dk4TRdC4W;2UO}4>a$lWbTuPozk2- zHCy3K->DHxFwW|3NIXF|whEA@h_}W)rOQK`_FdF5@ERNW5c^PH^flNX(^RG8bl)(v z$J*5htRc1^N*HHRsa{3k(~FF*$SI|-Sz$GTPj|@dkv83D&vegimA+vlwyNbuRbBq( zviymwM-HXZIOmhnnWQWr&>82HURI$D9iNjzyrz9#E}bDDy-3qWc|sBGyenvWJ9oF- zoi|WE16zd>^*mVmX;-1-H8rf?=ZjvDMS?HtGS)SS+99~iTAT+WPu7GZ?_5gYj6}V- z1+u=r(vy)45JXEFxG)W6%A)?F22p_^FYCp=ZVN3vj2?KJ<@J@G>}x`xS)1H^x_=~a zM%UcatP}X?`7NMBSe^90^qc#deOC#_^Lxv4iF;cmIBh;nX9bsG4V2~(>8-YTG)H10~!6TG1&POsktONhB3t{44d$ORLE=5Gtgg8|ti&ac0+ax%B2EkJ7DU{E0;#G`7jt%M8U+=`^;G7QZj}q_hB~DPoF? zW2$=)3>mCdotPWdi^IA%%&~qe$g@P4~Z$idqt5?Q`#}4`(Ul=d{an^QN@}H`u=;6@Qgwp`f^{e)$(N5lzu?Ia&4rv zRG2mUWsv78^+HcBH{KigJP>?cLe$VIJhQESUT8FTchV0-x$&5!m(rwkZ4nlIvqLx= z4NmvMn;{d3vtAtf4&n|J2(1pKNuZpDznTgjMsqQ}<(xa|?ZGxaP|(m^c1Vj~1)68W z8jpR0J)bJROrC+Q_MMmdQo;^C_%L557c!@W-Fa|F09>d1x}fPK zocHBv4k|cz(hZ?bi7OKCDN?ZM%XE*0l{5Q>6uc0^%EhS@SA+j5q~P1s3q9Fa+}E}c z#X`xL25&y@>W(L9vPcQS6wwzD+~zAs*cX1MMU(qtd2_`0;Ge}pagX@0K%}ElL|>*c4a<sy#_oPlHw58WEP~v4GG3Oc9o5CJQTT=+)Y& zs<^9V@en$~0uM-l(5njTQgV4ZX*GG(usW%ntF5Z6!6<1lzTlG*ZGh-r98+3i@4`wc zTgoSVuqs9`_H|-tQO^~|9M(`T^kiSRQdmBw|0Fjc7O!`SJcht;?rTc*mQ2xbwS8>} z5=d1|2v+xcD8~*WI&jvoXrEot2TADxQZ%^Y;#mBUdJ5jx(}k_lzNAq49aYGj((Jn9 zp#0K3)t*J)islP~4tv>gaDisIZdyzUTAlntnO+BF2F)pmUL;ab)3mC-MSt@&?WE(y zU2UV&{VJdOniBR-cGBj7^Ei;p#kPvpMUJF&yZF+uQaYdFmCKXThk_253FYB(!PgN~ zl=!gr2zj~PwUL(q?XHbn8$-8iuNfWC6`zvA&p6 z{VdcMsJr04yRG=9d_&h8KNLfEei!akEMyT{+8vOzeP)1f%0ztSJ>1AfAn2`U+GBfM^ z)tpm(=8Q7WIploQ9naor%8|)|+A3|2D^dscgSe|a^aX8sGp$Bjy*c=bwu&h`uT`}0 z5e_bnJ9b;}yCV8#H0Y-&+PlWohw+IBQqW5{FkAgC#lrKM9Q5;d3Es3CZFP+BQJJY0 z&V)wXJBf}XD#Ch#5G7;%lcwGA2%)b{1U~Kq+wTy^7{5&Wj`iZuR}MQ;v*iF% zl0e=EIL-CkQt?fk}*xzWfWf{m7vS#M*8PtTAo`-U$+UIcMfAI-w8d|GecO|@uU|^ zh*=?zJQP+s&1lX(1&<-|ynY6@vjbXI7iGchiAaN%B?gfpA`TQ}oY40==Nw3EOat%#gE69Ad!V(<07`Y?NnoSO& z|3kgdlYPbg1=j=#$Ar-Lz!bP#%;zS3r6(ih>D(zze6WngBf;0>0l6h0PX}a~upcCz z{C`jI^<+Ty4>7$k=y|W8=MRO@qa{2S!nz^odD#&96G6|qvjgl{&z}u^c9zqJjAp^m zYx^!WvQ;GJ^Agb@m#D9*`gt+G(@Ec^?w0p;W!5xjK8FRGt?4Kh@9X3sv$|{ODa92X z7lhv5yXP{09Qr;|J}VzO0o6!qjdjxQq0PTqSZDQyP$uXYzH(0K4~2eGieHBMNzlf< zA@p~I9-^L=XJP$0#B{?T;Wq;k&zU^G&_=4iv8y2KaQR>fql%bz(jj3k`=VfDw}kY1 zk*Xpe*4d$#r0#gKJns(i`cgpR>88gJjko8+GuGft`*-Fyh{L+=U!{achOq7q$o(O8 z{x8J+6G3B_h0yN{`Z*%z24I!j$6btaS@to%GIZiiP>y6iTg3@en64OT;{55+LGzg>iPt^ZpdM>u6Y6{_9V3T_ zohFmB54$qW8)z8I`#Le$#!XTaSqp744P)iL=nM+-+_+Gi>Kqplksq-E@GR4=B9XXZDbj_ZB> zT3_kOd=3sW$CX!G246bI0SD$2`%RQ}3T^BgkTHStt-%uFdaa{E?XX+mym#Q7DOF^? zF8IPH#?>RoOW*KhWPGsaB{CwWSt}rGhOpxK67LCdkEi3}!-~qB!^#@nA|W-63!1F* z6q=Qk2|bz5zk4X_?hoxToZ6}v^Fbcei;-9!o>1f_`g)yup(pz~Fyya`f_~!ZonH+S zZWqe2SVk?>L)>2ot*;G3TEw$MKO1sxS(4D|*$}Vsp(Q+Ydj43bPvbf5QS*z1Tre=L z;_O+yINc+kq0{rKp?{hsm)Idyr$ugR=bJdiIK47M5RHx01f?g_Xq^lPMp`|yl2{vq zHLo{@n5wS9xvI|OVCr8Wo%BLzRqhwc%sBhDZNZ1yS^&5}N526X*qqDIa`x>yoFC=& z)62YGJW=z^Mn0+#*7MNK>-8#69`~ksy;+e@uEUhdzGtd;6ndIo=8j=wxi(Uo(Ut(2 zApzS)Y4c4xr!JWMil-t!8`ky=Y-Q2U!R>2YC1aqY0;<*6+4 z>d9h&6KM$eDHD-SeGRKv2kA?Z}H)a!QY#ZYP zpLkC4DG!$R{7CTictCCmNQ}kf!Jgwu#gWfTf%7+mo`>T~xzB|!^R7VKqI_TGzO0Lg z6zrt4!yH*JtKc>a>q+7qbf#u0Nj{N5Y(E=FPZSP}oIx_{#Rz7BeJu11bR3HX=Tkq* zXM9+5vPTGO=vKi^2)Xis5c)#d5*&`{hXPF;vA;K@*MSyw(II^bvU@S-Ue-hI5au*L zUswz6@(VN%1WTB?>mg4Lv!zc33112`EZB{!Dr1cuFt@&|MePtY|CxY{3Ukn}g|%uk zay{fuAzs+?sQCvmt*RdvsoY76h8g)gLpven+#k~NEY%t7@U@^rb;pxwR?Z+s&ImI9 zIg|;Y^s&IYIAsObPC6~jTz@^RO^x$oxE~VgCaf*=vADkALnl~<^6<~0ov?2RD_e*mn*UM3Ii)WIUomy=4RL=Yv{yeC z`1~Zql$x1Eo$`_3>z_gM8HvPV<|976N_nz~lM~xKXriwi$+@tvsqs-t<2)G1aanN8 zdApEa+iO7dWSRv7O_l|PCYO`{-x~NFPJD80RMq5yhR%=-VT}*IaH?(2CnahgI;-m> z$~q27Y2PBOl&%gm@e~ma2c9`zvE=`E(OymI=D_(20eLmZgRibA$YGru+L`qS#f6Az zE(|Tb=5t&<=QyVj_f4!9THQM;6MAy!3k8e1GsI%^fLs;$#5L{T&y0-2S}t1x$jHoH z(++#qh_F1d^(mkHziZAl`(7zJ+#JV=*xb4;DfL&=|c5?|+r z+A7ZLQ88agxNktlWUN`9c0E6-^3lyzCA6x+ax4!t-Qu|$fe51pxA=*hm~ zd8g-x5-FR|5MMZ7R4?Z9^I2W|(a&JTE2}O?$dd&nG}O2#EFsc7pFvYA0pff?FRQQ544h+owHMB7hMs23%UQ=);@%7AxZh#0 zWNtZg;QBOjJY<{a`Bv6*)qG*3|-Cm=}cu)(`ql}Sj@R+ z2F@`Sy>M>(4y;H{ouSk7aOAvk=sTQ|b^E7g&zWHkc}Ws&zL(J3zC&*Fy=ZLc^!$I> zPz765oL$-=6On1Y5qcAGu0Qi6c9>gi{y*17`HkhlRI+D;c{yas!JUrh<0DprBZ%;ryq<7Zt zZ-oXU*{oT((1c6vlT#MHaQ~TZ^Z8z(p$W+>O>hpDrY-wI3Qg?##M`yxL(|Q|{9>K9 zDe;)P#$grugRbfS)jd{~1ZS$TB;d0l`P48up3@3b`D{XxFdrZr(vP~BzK$jr)&|^u zM)XES0A1<_-;*($y_jG~{>fUyO7jdM7UDmIX04hAaYj5aFoE7Kfx-_c?ua6VfKt~y zqXi0X+#kk2+@QnFrH-Nt69VaI>2VgbeF!l*FBF=u)lZGfB#N(ThMedES4eshxu{&6 z#z2R&TpH_7P&@`d)-89o9oP?Y4Qz)?0@VyQDosuApy}1xTJBTJhplE>&7hQ?b#fE( zG;Xf3v?S=O1pc|yJE%LY-oZ)~lq`H{&4)KDXB3@Q+XoujSVF-nDhma?z{ToqyrGhW z3#7L)j=%x5u=3R5Rg?$;sD{nkIW;Z_F~$%pY#Ci6(c}zryuiq1tFl>i)dKKprN2D zpqtZeKG8lgpNnTSCYRAbUmqqKXa*}vECtsi1Tx-FsHGJFwHC3q)lf(QiLAW}pQ>9% z5fW}#1VTgVz|RMW)Z+9fas@ZEaap6FIi6@NPT$OEEKWv)P;Mg~BNX}wLY5xq5Mo^E zg)Z>N%^T444K8L6D~T)<>nGv{y0zp9)Mz6Lc@`oX$m83l?;-@;CUiOA4HsyA+Z5V_ z>ppZ_Xjq{NS=dtHj?mU^3~gvzoEr9bMDsqTLmxJ@@H2`xo;P;&iMLJZ#`89ZZqy?R zeXh``&o=d%?6m)VC|C~C2lW-6zw4$GbiZ1o?t8T-aDYTH@3kDbteBnkfSLp;Z}n1t z?V;tsbviF68@fFTJ?ukz0P5$> z-b7AjMVKE2OA#oLD zO(U&F(+$mFWW~_+2tn+oHL?N@pdA<~ z{9&1`qDG7FG6JG< z-?#$!o<0P8p&iV6<2kLkf#$73JFrm~aOib}X6m$9Y0-M7Cl%W30lE73Gwvk zhXw5`jWrK1Dt3n22b>Hyp6~7JxM@vX zm%B5bb~y?hp$@FhMvQUx+4ONh`vcnHS{l5-7c1#JiuEEli0eV*%8~>9nV|dCDAF7E zS#)#ik6p$3Te4=hU&QevqWLEXp=%7Vk@+GgROwC zmHj{wn#Pzp07d@~C`ufQKdjf%@`LooK0x_Py75E`TV6em`~y9dZsT(t)LY|ln|ApF ziJ*gDkT1jlI^ZyXwmO&|9Uuj8LyNOQn#{@aUA!CShl-m2j=s<~J@m22z&vzg;xF zCFxmI(xJq&eGa)T`!PN=gHU$zxi0tzS}jrGYH#S@K(_w(OOTJO>|ICX!)UX$q0S)+ zNWFJAOh}^Am?S_z2N^9ccth=^E+#cO)bw~73VzcY7lgTq@R?R03sPe~27b`aF>NS{ z*0srp|B%o33}fF7G|SNso_-7uZCaqerBL}F-sYtrYhytgb7LKY3OBpq7x(t$2=wAQ zzKZH76k5eh2bxXDxuzvQhVDiX&>bA@2M9tBW;IGYqkWn<09~R+;S2ZE^kcGrgAns^ z1aXBAp1FX0@bOFGvxnnOq&4lDL}4866?DHE)iA$DZ=7mC(5pcx@M%4aY57FjFGoIM zo1B7>59lj&1D%IlSrVW~b5QVkO!$Wz7i2*1m=UD`YQ1BiI!`byT7-r*qL9IIHPBBG zQ=n(KG+dgPBmRB$x)DAknnFLl&?2<$B{a-nm>w`52N`&$A9&(En{KlL9(_hngXsjPXaK#n zD=*$~fiFr_b_d$+l?D{9pP<`l^*=@w7)6YirXM`VIW*>a#BEKYnR?v%UkgG;+%s}? z0O27nN0aVnhqxf^{+H4PPmE1l%I-=CP?YC}s@*@}(9MMQ4-Q>L(7~jI?w6oYrmlP6 zGn;ku2+nASe$VOZtj!~{-e@55J5uR%N+mq8ufcLd%p*v{QGMFyz{)xB?Ypnp^5q(C zcR(R}T%OEirs8D%ZpG z*T=(G57TZFv~g=ojn+!I)`cG07RbO`AhTAx8g;-{bmNJYSJo%)042&~xa}wVXyg;+MJf}qq`VW!}@M9x|K>Zjn+;P8=oXmD@ zMk^2VVj5eu@%Mqx3PB3!=q+^P|2A~cPcO%%RN6IzsGldz*uG0ma&DPCvxq9{RB~^s{V-lMl@4EaTEp&xBi&P~-E= zbn=0QArCR_&bhJ1f!-_Ve)QkM9E{mIa39z_-}L#shP*?!AFb;$jXl0+4RlX8gL$@~ zpf#ODT3D;5J!T%67U(N?L}mC;Op1FVkR!4;0+Y@o5>q#VI8CB2Txz_PT#yD`02dOaN|3! zt24yH*C|K~pqtBcTC_-qzULr)e|cul9YJ1Pu$|#HAMEYSuQbtg>$Q!6G)5hc=U~ny zg5N5`jPJT4uebF${)f12-Xm?BFSt~OUE)H1v-UsG!CNQc^Tlq&8!2_AE9KS}v_G_i zX%D&W^hbuiXklZx=ckxzU5Ie-h3HQ00@uT{S{1G*;HT^QWpPD4s(#J2e}0d3oR28N z{ho6@qzJbczpxs_=u6}m|FL?K{{aPpn;f$i0op)HYwd&?ON+EYh%>9N1nvAz^e{7J zIG<#IF8b;ZK~IqP*&b=p;=5k_96)|J?*koAH`_Gcz}MUmLiq*^l$Q|~Jaz9STDi9O zavO1lG2F zEoM7`Hm^U#o<*Yt4QOp#0DMsv1ND0swMUJYKK#RdGu@2i4e84G)ZP#( z?zam!mP=7xJ85k)xacZ0j3AgikQt#6C@8f02fC4lbDkCOUOm<1qND?8$E*Nvx<~T? z*LPiwJRz^U2K!X+uH1`vy&rgd!Pjl$0f_|zI#WwjejjM4?Zh zn+lz+3V_c=1bvSDFt4f0&~o6Ksnx^G*8{8+H}){W`eF0P(_bZ{wm#D-RCdCZ_j2)B z2J8=hj~7?8Rn)KLfgg)2MlrbzgdYOye#lbv-)Q45B+!B<@)-Q{KfLKauo>BoLw(Ki zKqh?m#$-QDO5cx=lw7JqcHEHG_J{!iAE16@7wt))R-=G+K0%>-b_We^q=?svrrxZe z6G%7@2?Qk#X4@7zL0b;|Z7u+BxHL4=)bzEa!EXWj!PAcmzD+`#*i9GEj24vlyFn_T zJInL(E-hR>OmA?##G&z|CD86694>IQ9~TsREjh;Ehx1_Y+{K}n3hmAgy{u34{f_qi z#1!qe^(pgOXcH+kpesA{aY08p^a(*Xu2IN{`%m=4n!uaSV=EHERB$_?ej_HezwJpn zgxuFWpwgZvA^RDu3k1ct5-di@mEenBrJ?9?0^QJ|w+p(1LowC{+Rr-lheN;@@q_(9 zJLoC1yg>WzDNn191P%+(J(zrvb8(}ujj~H~=6)2Im6#*M^`|Jz>Rb*PbkE;l_16$T z@O_DXkWz!m7jfKz_#%!^Q5-lVgmxC)X6cZ@+~8|!Z`|Jp2i(*4r?Fd#LWd8OT-MWA zU-;Zv%cis#2?Oml4&6miU!FD3l+2oZq1VMIPDl;w?E(E9MHlEvWNEBbpr|{c6Sx?< zmi)KU9<=KTic-L6Q8R$U_%4dKedq?y6S^q0{TBMc)9-5Ak`SQRIoen=fM#Ez9n+G5Z`%ucm7~S!Q*gb| zp*k0Tk3)54Z-PU$CF9Ej4ebg?yM}}|9QYz1tU%KHd;oN~<^1L~3HwS~jOnJ(%*y$& zKio5G6eusnhNf(raWO=@*FhU<2bL2!Zuc+x0oBI zfBbCvIrL`)u0OQx7!$X-=PW%S2dqdxc>0#r)`S4Pwwo{Twx^(&k+nRuub^YPXmj}r zbjIly@-9z5c=l30Y$36@z8eep+)L12%7+;jH)yiCENNw!6Z7$`Z4c2>(GJmZm;Q{# zH21vz)y=WsJCMWje~!3N(^bmKXgd?EdZDHh<1kxh;p&^Z19w3m@_@WMSed9X3X^#t9e4h_6O zGwY3$Lo!$$Y#aYk7g~zn)QJ8?Q1tDM7ON-0;T>HRZ#o`(H@TX&F@gXaw~-0ZZX~FU zcmYMv1hls}4j65KD_o2(hIN7BHWZo%g0{V(kPIkX4Mh(RNNXLMUa*e8kyfDTMd@Z; z0o{`n1(a(eXbm^!QCNp~>;H_)vXqE6p8O;+!5BK`VK`)P{kxz`)hH0$Ptnhe4O#7n zrg(rx}?4>N}bsh_P=ua8dE8n(^vYtVIRw*=> zQO)fVosViRqb_x2)GCc-)b#V^lhMn1bNa^qr*4ze&lml1@b_i)^y^_L^jp`aQqQAL z#jRYRsQGbYoC2|VjBZ;)jFZpdiq&;+{SJQW7Bc*PgL;W@eN9}yU%P5+`K#iJ@OTf+ ztRLRDT>OqK;>X`U)cEP%(CLX^f5Q73NrSMl`kMbipD&Safxek;D<=>QpwPUbe;3+; zt=~pyvjPWL9O5=7kEkInbT{mrt_R(b+t(Nx>;*czP;zu%w8%RcT^ozcQvG>Hgj8=)bvQYyhr1)f{O}3mogXBmP)(iSV!v znM)m@&?Qie@ER>vUIWGGlA*dc7xQ9{OGdoW`RbGR%evUIXP46aR4EO}E8q6QRLu9*>#Ar_Saf7VHZW&r9B!Bi=w~ZgaMsU_^m+3Js4W z)xa7iQUb7^fUYIz!wwxK=o1cIR?vSsbWuTHa_E}{o#N0Dg1(6?*<^T)1c77=)+nSx zN=~A?O;=JvZPU;SeUiD(IURbjbUl__;7Y2MU;L{`??C+yDCAF|$gk`Jw8+bm16+XG zxD*hK2?50{lX1`;yd+?TA{9aVyh9fb9O|&?2hXq3Z882f`Hbjsg4#}A(0ohKuR0F8 zL--qA6tO_d5`J3kupUf1C^5f4+JQ}QY68KnmI4&IJAwe~seGU84SuwKz+Oo92fyWB zNcc5Z^-C;j&X2*d4ikP?Bov&rmcloo%~khNY7O)#9BWzOcV+R59unsmp!(l`rAzll z4woA9wmK$A3Ea>S|HIpQ_^EOHsTJ1M$;+f>AY8xWA9SL1C(^+52dqjfM7WDUyb_+qTcj)^B^`q?{5cD@)TFCqX zdV_?<0qB-Od!3`*O3<+m-A2%h92)n0fbWNd_Lq)U$40MoD9+%3WM_6!yy?zSwAnc= zfLf8a3+nfXUM}daj`orP(C+9sTp=91WIK>}$V+QcRyWD+BV_>H-l10u%6m_t zFU0M3LDzJ&4+^@TLzVB+4t+*wmviV-f-X{{2nn}8jh09RhZ{-_ro!97?u|=C(8KLe z(hTC)|5X^u;T(}}ZzuD)g8KRQUkLhPN2{pkfbtTOS>FlrqGSX*if&7#hXq~Nq3C~t z)=o?Y2dr@diacT*kW+wS^|qnt!vj6Qq5muBmmT_jL5G99lneTKtO4#nBx2>Z_t9WAJzsnPkHYaH!PLi=lnt}3Xnk6U?J+ta6C*w9j>ZP>=A=;o9M zdb*&WbSTP5(3(Af7WM%2zHYh!T}RNn9E$lS&{~TLwEL3b06m@Bbo>vNv=IG7i+V4) z0PUq6rERWjyE4G%uEN2$uC%pctsv0uEwtx24xbS8j4lf4*Q7W2L0!bL0NRcrurAs$ z1h(5a!UCH)L2SpDsjHC-T+xoPe4*v<%?`!93TQ2F;%#j~eQw!7P;0M)wmAoUL}<@- zq3OJFv%G#q(OSa6$6|Fst$ctC8wu)TfwBayem#(u1lF4Yjn*Q*##uo)wDLAt$@J+L zCHO*Q9VqSQ^jc&uu`}EA2%G$0)Yc+wi|t4>>tXuF>0xIll6~Rn%ip((_1VrD z&@|Tesj_W{K&VB_!}LI2=T zjK71^!gL$0mi7xd6eG-_#mWhz#h40EglH(_1q!ViN`pu>`eug`Sff71FB6KA##$BN zwb$T&J6XT;**sgk z2jvgT0ea4I^Bi(7GMqWgG&Ve(?#A-I#m0TRuX`AsOIz+q=ZIV`#dy~5TG+=1ZrCe?OM`@wI3AqBgwPE z2$V|JA9;NVt|fsVw_Z#1fWCw2VRb?MSadrt%NE+sBeNb_dl;VffDuWQv@@oT9lRO0 z;UI6@Ca|`~K-T&B)jb%=ifw{{lsmpnt81Swb+w+Z3G}P~bROC7V9>Qs!%beFhc*-a z`q|~?e!$F`Wgl+x4mW!k4)UT*U6yhx=6x{o&2v3KF)9ueb3iC39;4g#S7H?kTwfGd zzrXTF&%L$ zp7gT>rIXFaK20_ZO#roaL4Q!>6manK+3oB(Yh`B7o+DSH?a-zW&$>bnv(EYkqz=}2 z{E1QsPd)4BNqV=lceWH)U6pt;QF2`bKU;{ao&@w1y-(d0C+L{#=HeFxBJQi{Hdj3- zNL{b3U7VQ;h(-Yxx3{vG58sWE-tjsJ9J?|Uv%jFg1+L=c?5mk zp>qj}BR<%|p$C{cVmy~aUneJ^_&U1Lz9Q)S4t-J3g=uoIvJW~kC~ZHbU@qg^z08T^V&SZ$O+cPF5} zGRaWqfEKlap^FI0;{_@MucFJ({ew@O4Tk3-bTbFMeTVqr`Bi{*407~PhwAR7Z#eWA z;b43r$FXf%)Y_bxX#u;%eK4g3S`qZ9(;DYw@p%YvJ%)@Ou4r%BSPWc%A}7Ei9;Ms- ztVAeWk<-i%S|5tS&k=Pv;Dq}J5{|ZD9wUrh;Hn(8-GuPWwH!@iSGD&gNCt$*F;KK^ zF>-!ZeWSFolEb$cp);hyPlRG`(x}m@4YVzCwlmncX{T5H!a^6JA3PuI>KAXT(T!(+ z)-2rXIOq(Lt!x3m<~44*+i9HRu!YFrXCib(()EsZE1@0h&}{|1t`YTfP`e22wT^Zh zL7Qp)j!fPAerlHkZ1^C0L(H#m=#Ij7BRJKiGH7p?8p`+r)m4)lI@&vg_DaWh2cier z29EYlp|!Yyug2{f$3b;=wL{-0d~H1^IK-K$TwWgwmF(A!?+1kMT29`FL|#w3o6!1M zLi8@+`4aNOn#9{K^n>Tl-O%uMDcyMP>QFuBY&VDgT4*s&V0d9_zcj#Y)b}@(kQ_y7``gcJW za;UD6_p9L*{ivhW)7N@g_pYn#o4=IS%ZmJF88EJcl((7zmXDeNsEz9Y#ds0W<>)rs zd0fy{96CwRwH-P^&AygD6{jX;-5x1uaTZE6?;?isp9pU5&ITosGk)!uLLhYG|GVN@K`? zSw-WZZA5F!fo2Dx^}8506Lf4N2WvTkgSH&UIofT7gKy#OE+|TUlT6o!xBBIDYxO&P z>=)x2i;^{?ta!a^)j-jH0*aOs{{xD3mq2f8MDOXM$XRpK51v@5VYvmT?E=Mq6GKM} zdV@nXZ~FYUm(ccdw$^?^`!mNEEdi3OcSC_f?^E$N+@$~H|9m{OigV(x_hWgMHZEpAVqIH$QY6i%;8Qpk}b1_yu_?Ci> zE?(hiRlk=ybXVc)(Uk@DacecnY0zqt^)TaX5-p}>$ZpZP7%r_VjAS9l`ce+xJpg*X z%Y_)<0*a9>E2G*r7wcj%(N4Q6fvtp$M63k)pwjXo$GeEUIXJ$-4^ zeCBeqcAER9+V1mXOru2x-(%fU(Cb_*bnMEv5w#6-v7^;m+K)Unm$V;~(0=YX^jiKR z6*b)kZf6)L04F~;-nX4Khvw}}`T7%xH7&gL>8!mUF;weo9x?32&i0VFUEuWFoEFi} zQ2YOee4@15yUPLSIdppz8eD;X@NCx3#4ZQWtVK7Tz9i6omT&)R+x~vXLEGy-G}Yud zN4tfD=F?cy!sq#|gm$dspyR1NUnuHZ@w*6zYaIv8L(Q~4jkP2=)p6KF`1%;HCTOc) zwy1&W7uMXaFX3FRa}w5qhIX_jYuA^so%wYumr5>d*O%}d;LKWIg4$^$-LP1cm;4W? zo*(=UhoVLR?YkX{IvXf@+QtFxC!lC$8H#bf$U#vr1I`!*Em{|m!-fvMU1)J?ozW@> z9}BdR!Pi!YAjVi70u*f|!v#~NzOR4z*|Bb$Jgv}G!np0nPXy(yHB=cW`wX~4FN^g`|ix+uUp z_XGW>pvyV*aY0vc=p;e0lEOG(BmjKThcdKX1IM|f)i3MeM`9&kbXIb_pocp2TY|Rs zJ2YzyTm7<4V(bnj*Z$7>ek$mJ4(*Tqu20P-@b#;s&u&DQp+Xqta4+KoD0e}N64y#v zotJJNhqN5BI`^?4E-#DuFM$cbk^#Z zb+&_8l%MO^Owh4TXRUr&XYUg2ST7$nf@;T)Ot<=Foy`gLo1b}Yo?9F(w&Q0JwT$xR z`d&ginCo6)sX7NZ9OT8g+`3c>VHE83ZfOJ*eO;gfTdxcbv~h0G`9if6e?uA+Iw(67_g zHOObV9I&sn@Wwb)>#XVTp|qOh(z%!NOxLISvCPquLVm<$OF{kmR2{?fR-$ctZx7mf z^&|AnYlR1I-FB-7wud%nu^zllwq+D+WyX~DsN-=jLS;YJNnp(nS}*wKjD3;j6dGoP z>%YYn=Z)G~o_Y=_#vuzR zP>_F{e(WSu{c_2FoFDAmf*+vpgRh-f{Enb#=dR#rGUgoNaFTOX8DA65{<|jSH&}k) z{OZ?w)bEMT^)n(>o+c($nq~kbaNqfaZC>-1a_l>w97R%C zJ~_I`Ctk)Q3)jAF9h}XYj}gC`6MQM5oYmFm&o7E!dUVS!<2;!8#K%`P*ro}RlG8-3 z>qJTn{Kr~&{)h6sU60RpiF^v};O1XwXDHU=gYTatG`}yQO^X?s7DxxQLB1r(9OklBK?Qoztj8FUGcRtbKR`)`>b=_Nn9Tg&M!DuSS!NU z?*)ye|HgS4`k%XA?_8HETyLmdVfXN}ggiH;5I9Ewy;sn!3FF*R)6*MbJrCW6;zT2$ zSSM+y))Q}XsJ8tOhS4fIpF_2!yGV^{`dm$K@U7|7rXI90t<*#M;Ph>z(RivXS@%HK zrGy1K!J%sldapy*6!dGhha%e};4z&m@<&kNT@^5hHe+7M`L;oY_+zx$J(0LvDvY_)h^xuLm;Lv{w zx{yO(5Ok3m)tr9<$=c?8O3Hqh+e=9a{gNxqvCEJYonCP$%3z=`I&^+PJ%=|7it^h^ zb4s+jKJc`I9U80xH)@}}7y4K&le&%)SFMfUYLYH4lA=Dd*5Hh(&ybF|pUGq}$!44k zNbC9O2hZm9C6h@)mJBqb=*IINhiYwnpF?#{c#=a`6%Nhk%iZs2m9OWpx^U=aHdRCO zlC9myK~Hz_deE~i{H)Pt!r?|IFZMG-JAQoqJ%WyPv|9`6`HmLU&n4+dWG}N(+X{zq zjiFuX&>e;L8iy(eKPRCx759@Lvj=UFp5{1wTKN8?i^A6Rq=!o#y0g$)j6wT8L7Tq= zV&m1I)tQyc9A7=nqnYpeNTxamg2P=@XaTT!X`njK+br*ITxh!X_N|WZ-NM&23BIaH zTgL=c)1p~2ThR#G_tTAl)^(xXBcV0xVLeAXUTB-+*39=37h^qdWgW+Ng79rlp|u_D zM4`2FauByYByP>2t>HLK77k}R$@UQrcIq7X>MDbk9fya7gRPDRt*)6~u}h0TyU-6> zO#Yi86UYP5_q#UN6@qTy&^rZP)1mhYx`RXY8z*md=-oo=zZs%mKH1LE{#Iz$b*O$1 z#dCN-X#H1GCJDNY<8ZB@YdKV7G0LG23++}8)i0_vf4{{`rr$$Z!Ew;!&I&X1Y(YQa&@%-6 zq(e^^^fM0qv7iSz^b|qA;LsBU{gOj}An4Z|dYqt#ITR-rA{MRnu^w9MV?E3m>${9H zGpw&2{bD_|qhG9tS$*^ir6ua2=UV%%_0W#qvONr!(Ob3Ozlk0Du@&77HksN6*0LET zwEY=ldf0J5>k_%fk0$A;k6#Uob|h$<$Cx~=azM+}WItrj_+2&_X>yZD5xJdQVur$0KPg7x zT{&x`65yaC4QO9mjQghbp{Wd~Iu4kP2mjV4Sr4sEvL0HSWIgz@uPrB8D_Y8VE18|k z$q!38Y2&FVHGAEofEK`-RPMshOWpDp=wQzYf+y}NGb}X3NoUXQ?8XhK&LvHD=t|@Q z*XFM?O?0#v^#ZMLmtcep={~%P@6z;_*LR!GQ3%2@TsXMBsI5my=2-N^zA;3#6n)*PF33G65OYd za`33mzg_9{tLQ}z)zZ_qJ+&3zrUj=^n-;8xIXPdT?p{Mmg4wyH;A?5E=kIW(#s*Sy z`C4yvK?h#@uO}RCa-m@^0_EgT)#%N2fFBvr-Oj$=)ZMtgX3*NHnZr02w_PM|zLwTH zz?bV<+WT5W$10lZV?S1*Z315(Yc1_*^&C!Hy?``OJ!^~PS z`UWbqmzQ!I{Q)kufTCA`zBa;$zSA`ZWLgO%>{C|yH4P8Lc=N*cEAwsj-6mPE( z4R~6=5NNw2f_0^Bjdn)_xBD*XS`@5A$2Hm=5nN9CT?6fo2)3DaM+EDk-4VfhKwUUD zl^#~Vq5f%iM6h;#EZRAUbi#EIv~!A}UvOxtmg@ z`*Bzg?QSsEL%SP{^?;RIC^hD#+scxc1x3%w(0>WKm_wfxbQy;}DQLSFk@bs}DyGRf z&-%`#*rPu3^FxC-Pxdg`o9TCD3C+)l>CDvxM~hk%lKB~s)dlsm%E7O#TTN)2=UAI( z`}`^c)dOm4i-pdfc@Dacsd%q?qwmW24E6t^x zmdb58$y&mgywwc49>zwoQG@CDWV>sNb=KD1tcNR{?d&M66~7`uYyWm9A?vKIyIBuy z-OYMv>u%OV+s9!&43~0J_rjd->VRH%5~5YHr_>h%=b$~-q3Zklp1ZDEZPzZcrOwQ? zix~BS4K=q@eVMAXXE{sVS2&DusIFITEsFK)^`Jc}pYofDCi_#Pk9Att^{JlkXyNNe zlXTsyPh&-W+jCptFs?B)TfYNKQY#s3i_-P$?e0LfDBs`Iz4py%>`_gj=5)KrrLopd zr#e*Yi#9FVv_PKM^6XXmZ(|_s4raEF4&@za$+vg3JZmnir+;LmO$*k3TSm2IR9i+_ zsoeLRTJtF^_qp1CAUhv@`&Y}p<`YF`Y}q%?#cgvcr(rFAC#;U<`*xJ}V}0CornuE) ztI2_uJFQK!?KF>N_NQetFim2I%-mEi%tg;Bb@>1UH+C5)iqt)V0Q(Z@_y6m^Nc>fh zcfTrJe)AK4%~kypi@LRVG}w3jev`yNxa#`7+7%2Sm431JMe=K3B%YsK;0Gwk@qJ{I z^>~r>b#Z-^C@j2{2!&sD^Uzcy0Tx5r+!iP zHS%lmd=tqDS%D&`$LO|rDo1r?&1rh7tm?{NdREu3N=($1zvrvc93Zar$fSWQqyY+P zS_^>|S_?6IopBc8zhdIf1F7u_9 z629!x9Ivk5uQ`|TM4q-Z>0cS*W1`=m)>6X9M9WHb<(#0>d|@V+A+3eLIA&!FIe{z$ z*78oe`5$t@O=P=3+x^DeCPVJxf6&eXbVEDS+HVY6oUNt$H4d$Qxh*(-`?-;~?w}v+ zUs#mvnDqDqtrx!f6~kXS{q9b3K#9#K%GgXGNaL}(rUg2cWb&Z~{ejU+H5(I}mLTtnXg2zF)YwX@0xVp&yjcnk{ur$M-(rYpZx5@1DY;ITp>KHK+0FF0}h4 zG%tgG`O)Wjd}9rsn`KzZ@%^jt9pm({uW*d9K0s=`=Bek(DYn}=2$d;2i)%zvKBHhw8UPy(U!;%Q#y7!f10Y9O-EFyQ7}NQzEbb z8tFd-ZO#`R=QM!cVt6xv`R-#&8#L+${GBkfZ z)#m`U--R8A=Y>Oa+?vy^nS1 zIMK>b)Wbm0YB3Zo8lY$!7>b%4C{9H-6sO(--PfUG1pSIbzbEL|9eS*w-*D*Bf+9v1 z8i93aZMv}@X1#Q~loAZ~;QP}5Y(yU+I;3xN4|@`&wWYkyWcw9L+QV+{ci-hWXpgl& z{qEODI+FqOZIHKlcJF0Jt1HXAWV#A%oRe&G@(I4kxfYuCgl}-D(pql@KDEy<*3oLu z-H$13)@Nwee*<6bXN_}ww-gT7IW+dh!C@bvwf-AW?PoRj@~zJRTJ51&p8+V!F+8oG zm7}LQ$v!Q#KXIt8GHI=^wLaL+wqhl%^|3v)WgqKdoGUeEkFrl!$NMqebtDHgucd1q z@$O}8Ti4Pxua#*I?Lnt?UC-Biwn=mS)}NZUdA!=!Svt1u>nvS&cfFHWt)yA9=6b%l z?)KKVg`|)lb=UFg=K9#i#8ArZB^-R*`mT?C&8s7)zNMh!%Kob;m=Q#%&1H$VK5ff+ zO=_#cx2_f-ZG*4ZgQk#A&9?}xmsewK5 zYCm>nrdvn!>udk@L|&ho&2_*E!oh2D@KUIk5?s^G=Dv`|T8j)-x;5u4pD)_=1e~ii zEqsl>l-Q0R1JU`-=GK+BGwth*BThErq9wuE4%Kq9O*c*xUk7Mup)l!eho&u4uo@-);R4tkiOQqG|zYYH3IEA5YA`1 zR-#=8!WPx817SV1>p)l!16v3325Q-0E)*@CdFkeVK!M<<2EX(JfG!~DD-NAs&=PP(NmL>iX*sfY7J+!Nh z*)q_BfR(hX#90sRDsk4sN@NpOYD^X@X;=5NcG_JTtOu+?Fe|~T5R@e|W>>~J6cTE* z=DAS6;-g)$&$`-NbmnKPb;U>Xs=xlM8}ut3bWOuJvJ0~kU60tjLeS55?<4XyuV!hU zNAG2AQoCZG?Ll{j_!Ucv_Gi^<^Gb(yzX9@?6h^Mzl(t}VQ_-N#lkd-OVnYTb788hXFper@Tg`Mq+h z3EI5d&ad9p9UKq1-r}0Vp?SBR=kRu+ZC;`6`;giOZ>~+7dzQW*tF1Ph8^>sbwpN-) zCE66?{5CUFsCf^Fo~Pg~s@(_3=`~t%R=anS^>LajqngijXm!TA(tT>J&R8G4j8C>Y zV_oSg#a3sm58unz9WuVPGE_R#)|GF=ypc*mw0rtfGxV}+R(I9=HkYnX_3ek(<+RH= zM>3tq@!DBmYU#n&gAWa>l5sCWDL)rIN%^$}@b^-%wivF*{FhzdME~u(0dRpIa;*K} zbbtHyh<;N+U4H<>s=2|Re$4?|1*Q5#UHR)GaKZg05(AvEo&nyDfFNDJKM-kN7uRDP zXZ>1?y83YSt0wB|!_B{H0;bRc&viknRtvpr;oGD>vjzRqjHWh!b3jvD(?nhQyEp3k z<$l?M|E9xH;#X7KfB#0m9Hg!%I6FE}{L-VFBl>F#!&eKM^M6Cp$A9c@urDwG!A+_e z!0ra5>m`I=Uzk1vw%HoEa_uECne&HQM@M#8~H(;(FbqzCZzdtG(h!Nw&)tElb% z2f8!e;9w&cKz9&yT{v}jjp#Y%{W)*Ed7sD?-4sG-dNz&Ug{z@iy9~72YPItufa)pa z%`tA?mEzAP*E7$3jP-q4*G6K2epJxreHX?T0qjXXSbgMAMb@*O{kgw-a`5_8fpFZw;Vudi z9NywkJy+SU#MP67-|A@Z?vq3F&bj3shsT6Nb1eKB?Rvs`^QqI#v1mS7d|4;M6Cy+N zdD#Apc0E1bpE|AQRyW7ZpV5A=gtoMkLC>vjj+;NDT~Cj1UP;~@H-AR^{USp%2d_yz z7rgm|>gHH9?+frJRKFlH_}u~vkzv9%eVcv>%6&k4=?6_e?VBXD=DN^oJxH{o(Du?( z-InO#XB_#lT)2gxR`!8rPeH9r1*+#s_!B?gC$!f(zIvXI)lT4`wNo=+D~&*_rIGEK z1gf>j7#CXKqs+~koK~v>q4nM09vELTytcJMI)EP39@^Fl=gqdY!X<6nTH&@)bB*4% zR#-c8a%%;pr_PD{ewOxbo6A(IdBLIW^Kw1Z_IX(kZJ(F*pzYtb&)Zi?>w9Y2b8q{+ zth2Vy%Wb)t*_Upft8DJ052UZ>d*cJ=@c#t>00960cmZ^jJ!lj`6vtmKIZe#RnOr_E zY7UE}5tKv0LWI5DIUR1lT;~jl;D8_)v=K>Tlqd)`7M3ZjBoPY@Dt0zD1|o=+y z5rh=J|Lp8}chQ4)v+Vrdf8P7QVZY^xfBhU297+Z{5!w^Jv!GQXgbpK5-1{`UwI3Lr zF#kkfcr9`KgBQw|7uDtZ&yV{Iyk=8!yw2H_x?uH4$I-k2*Td?Tzs z+WzhKrI{bP%!>S@?fGe$ovF*EXY0Lb>iskoEuuqPEhiLdQy((SKuQuAJc9|G&^afP z&8s`74x8;B3!w&71*oBHH6)ML+4&Xc=$ zw#x<^HUC6woHf#kX|`^o_f~$|K(^=Dmi+=<$6|Zk+;YAd6=W6MJm37hMLZ<{3?&o4SuUQ3U)V;ZW1+1 z8Kh;r=fKrKDcrr_+utO{sAviJ4I(vBIaNRXKA@$7u@XAjIqmzyG;gnC)-r!*WEit6 sag_YEX24tm=wu^du3hS26Tx^<5F^85&F$&==(U0L`j-FED_GciJU1iEeEHl58d}1y z|1HpRG5)V~%>KXf8~PC#r`=2Y>Pbw$FySIvpfx;+_ftyJrb33LomTt%wLcsJAgvGV z%w7FvC|zKF5|B7gCWLM%g+VS;C-ZS^diCiFJZCzw=m&3=A9@`uWJi5&J0I@zhn!k-FZc4T{|8 zLOiIPN|CyREIfVgL4T`!+~q11Ntt&I^lvkId}@m@C+cm!v}8&BPlx+j%1!|kdyg%i z&Vjy3ony@K^tgJ7*8cH|r-s58XH9u>``-I;-tkFmZ2)YQv$4=NwRu|2)`5de+Zeb* zoc7$dN(xZzcibIxruU#zYN`c7&DCePL% zKZv^@3K|0j##qv*RRg&h*=F63qMKzx~}D7#BY{n~&+TIO!P}mqPtKH;2d5 zxz8{}w12zb_fewpykXdGJISp;*&0Y{V#jn0haC@!~xR^(h|ng}@)_G1(+Qh15lT{J{r zc+&?Bwro=?bQtJ9c`bX5qqiNm9Rf@TsCl3MMo?Fs1;~nPxSTcT&r$G#1$bwk6qoZAAVhCh7dvmcNoNv z1v(#`#N)cOer5Pv#;>^fXLk_z>`I86-2K=-jFGw|!gT69XW9NGwfW;0;On%S(4xP; ze;o0#M38a1KH(xnS8(DU$IzezVz$J4pOa6IYiPPZA%3{|hbx@6h&o<%k z3u)nFnO(IN>5E^lS^y(!G!JqXa&LBev5RDHHt1i54e`5}V?310rDmRgO?=I@A~=E0 z^Pst8%rI7P2Rvj9&54MA zCn{-fVf%R4yL{GuYGCo7%UO1k3P3VMjEgJ!MJ;`oxY@s@y6WA0SEC>s8*0uYoEM}` zfN*_5zB{f`I`BrqHFvF?k9JVGBgRw-l;uN_?{_U$T0tT5Csw32B?OuIUPAhNTo6+h zMtv@0Rd!Fe#wk#u=AAUD%er-fp(TUw9ewCKCigaaHFx^ekA*he-{@C=j!Xt)C81n? z?f<~Q_L2QsfC*D8TXdjTpaZ$ngT0nsAly|P=(B~Xlnt@0MG{&C9PeUR8m>NAm)Rcj zLL8T{`UaDh8a#0eWz>w)r72r%52=-}QAPN%fUAJ!tfrFIAg|@2@akSPhkot}h6FX^k_m#%^En zI+Aq!-Y`K-rF=JrmDD6wyOg<5cb>3A?*>+F=Q!@F=aOt%^5_ zL=)6Ao1}f0pIfo6FJqKu9nmZtrM<%NTP#7hDa6>w^?5v4RJnauamPej%uZ^Qm3e)j zwh+BDyxDFIW4e-uI3NGs-T^fS#m|^GrR5;$>_I5{zQ1`@y~!9c#b?Mbxl-L->6-TG z_wkuhr-SCgVtRD78}IFXW>(Az52b9;O)}DdD<16bX8OYBJqPb+`nze14kmvdG%G#E zbSASBk)He*>ew*t-+r3P(io<+Q=HiTHvGf-KHDGP`R~l7;D4W5RQD{4jWj`C)2+Dp z>-<+G=5R-(&y~<`+JC*U(6#(mfeWd0*RZJ9AG;*@pA41us7Ocs3evugp6hftH05!< zDDla9EVXj?TE$E*F|p!E&7IF+U!-}d_gj+%Eu4(1OH?4DS@!^-Lg-#YhZ=pN2>DQ> zOqPfn(m}mVy|iun=tTb~DxIcj)yZG@9^d_I3vDliIk=YzSnIo{a^~G)gTZK)SJSBw zZgt4Uv{f5IB!k0^5e-?VJ{U9g`CgPcwS3&ReUtO+M6?s^{Ixr$(|1zv53io;hh#vx zfCf6zRau&=NXzMZfsN%qU6k1@Nik^`dS3>VRKs%!JQellU=#m@xZm zO1p*baHW)i9kmXei0{A1Yf(CEO(`H0rGD!kAD}i{ zA=8y7x+@&fnm?}_6rca+0Nxs)tc@f6x8e=K@LFX;O8dN7DE44?_ple!RHr<| zaQ;JW=c&0(5LIP59`c7otXQPD2>a?^A!UeNW_0BU)n_$TUy|X`8WJpaK`4o0fuYgu zpEdRt40XNz$zK?9eQa$U?#HqUVlzdPznxG9rq!Gb_C<%P*XshJ*LxLS6AIT`z6qHDK=GxRJaHHyREbkviP-s)x>f=7QK|U_M$=mam+Nv_{E8It5vH> zTJ;MpR|P3&|EmtL#?Jqsmm`+Caw6K{f-waxCeEaZv_o4>$IAb}qq6v|;y{h_tZ=f+ z<8S{TU4j`mDbU@!Y%KK&eR&c8Of2;~&}{Q}PT0Ths-^Mce8+2ciH>D&`-U1P zbxn#wvs1%lbmEj1l@)!f_Yoz}#1S!%++D8#<63ECdY;bP)i%#ruWRllulQ+6T*o$T z#5m={uuV^a-)0%F+fCbRODwUKUC-vz8HDw%lV!_WvcsowyLi2d^c;enyP0uy$IZKX zxuR08``o(A8{@ML&*AThlK(e{bO#5agx;=yq@ncbz%!-StRBT;1-XVtsgs&ve7HIB z7#$ZE2y35)y3h(}h4Xn?#lMaYV&BH4#ZqElHLpPdUD;}FFH@uce__6TZAvCtoBWG| zks54V7P_V*k|#dviupX1p#d$E=JFTAtJ4z~`oqMcH7UBXT_ew9#o>~~9SNxR@?sAR z93;pn0`5PtiC1&|k9ZVUso%JhvwBpkKN|i|N9(W4{+i-|t2L~8E?vLO-akB#4_asZ znj_D?Zt40Ta6iJ%`dMt2{#R_O^u1J6nLXt6SyvYR;;fb@|N5U_Y;DOkJOkQLaK(I! zL=irGJ@NQ5_9hXFojg$yKGP9^R#a?P+lk>y{rhv*3>imb@eG-=_ELvSR<6UWC`PyT z6|q{2X+d3EJMW}Z&9Y(O2Zs(Ft;wHg??TEj*suCWX09(E?O&rwKLoH_%054wM|0C~ zCny(ZK+w79CH3}r)SF85N9Z;~dt*hli4(s-+Ic2hz^Ps^MrsY+OQ+YsFs2TJ#69&e zk9J127Er&@TiaNftlajsg(97RFBH8ag;n$2l3rhjSanAMy?qiqkr^-TqRNav{Ly*MztQ0uV2>>i6`#{R!Df%yD${;+ z6oNY8BG2Yjm{Ta0>TburYZiyz?~_Uw=IMT^cI%r;+&TrzUpo*#78lc>Ci>By8!&IO

cAEpZ!rOx)}Kr(B$*-g*}RYwvfUMoE$Xc84{Q9o@UaFiPi^(}ufl z$1Uvh?3I{|DYCXee;B?x&fq+Pa509EJ$-C)=aqko3D|Vvk>M;jn9*Ky& zJr65M0sn}(2$dIy<6=6i&OAhC;MoVPZtd9zFZwvSwskp4!C2w4OtPh{{8)~-R6Mi> z8>CZ0w2QgMI^;7%!o6Z0@O%roSDb&g4)=dp$}pEaTbx76zsji|OOTta$FPqY{w_RB zyc+wWot(KmPY@YQ$f=2bHJx8~Z!TIs)V4I^gyFa!9&l!JhJU=S8W2*(c}AyW=~+Ij zLay8B>tk+H+1WUJa?JybD(mNRPGJ3r7mhO<`-u$zhL4joWG9aj~fE#VQ!AkSnmAn3`JU?sG9?(TY_#QBdaBPpwH-wFjF5 zgTErft=M>7dJ4ccP^q(i0U=KQzSk79AZQGjb|qsQF+jR!nj!>at2&;RP~N;!zLW46u2{v6BAX6s5v;(^c+j{>LvMx zd-ASM9IumF!Ct_u3xBVq1Y^d)@CGm-1FFzqu0t{<|!){w&8a|KRKY#8} zrv1K}9^BTJU+i*UpMQ5JT8d9mX&e!80ZTaL7l^vt@;MzGIx%SlAKUOL6&uS~RyndD z0u#TyULbgI2@7C$mUlemprw@5mu}f;30^60#j~^oAp7z33gs*bOb#StC&1|++BB~I zX=iZZt`iYl?c&wZvb)<<+p`tCD?0&~;~z&<JHiIDNayXV)Dj1 zN9f#Ywt|Z+MwifeygBIVZ+T0r!@Zc(>Zo)ns0{(bRJz%p*Gjg^+G{^pa9TP+6g|nq zyvf62Y(0hq>JF@Y3=H&k3)(eP<1hLvVqjDqmrkARLuCLtgS+RB*M6o>U78`1mr-H4JQjpk(+5f?uoctvWM_yyl1~WnprZjPTIvTbv=+W? z`3LD=Y>Cb)Cbpy2;49pzy7o*OC)v4ep~1g6e<6YgSHL{}m=zq0*V}UMJQbDgahkmp zm5$ia$Fben`{D;AGzDz6yJk=(!NTMlf1ONgy3r=P3rGQ#fm4^US@M)?g>A_*I={m1 zfe3OL)KC8m3zK}E6T!;`b{Rb&wrDWz_vyi>gD#BNgV_lAI7{q;16{^boaq&9{DE`#eZyUOtEo@8O zmPD5E>*aW?!=m1g7P5!Jq#4*ep{EH@YD%pgn5C#U+E@!O9pZ9DHu_hh+ku^?A_4@* zA7n>L8r3FQq08zv>}84s{$uh`5b)A%bqghM@u*Q=+#t1?^+{xsT*4)k&O>V zT9iB(&kdyF-?sM&UJQSkmlsPYslr(!@@bz_ZY1S=SnacJ4?(6A&-!1yyPm9KExRTn z9q3R0aeyiRx9)_cOqhst1VyWvEv<9ZYbh)0xT7uqSM-KI=}IR5KZ7pTvgpVtOOpZ} zvZ=M`WghvI6MlKFZT(l)1e^Y8F0B`A_Bf7`7!a9yB14(*G{YaVxOGlJG5tzA6dbcz z3UfFez(n$h$Fjl05YiCemNt%5*2CoXG~bYT=U=NUbazq7`)_m@uR|XdnJ#}E#73E* zM4@UJskL0Ll$t3UaO{XoE~vO%bid^1#3$Gwr)YG0Na2J@ZT}|((SaxEWwlpwr)~`+ z^hvVzL^E3A5Z^Q2aOcl+Pq}1<}5i%^11&X)b z^~pXSTI-gK7VE7GOs4g_VLUyR_N=M<;NFr*^PP~UE@ioR6(qLur5bu~+U|!!=hZUYOs;C=fc1`tF>1f+PGk(~Nlq&XS(FHgHc*?=Vph=}2v*E&URr2xqPpzGMWEKxI3Wrn37DZQJo4lz?g0Rh@!FHAk z(Z?#+Obsu}mLw56N?#iiM43bYw$$|5+gLJV26Wh~i-xpDEX1^6mmR>UB!>9Ezm=i; zm(@gEYCJ-|2bTD{;<2&Bj28)`6dIquK12`~zp0cDF*)?Cu_uh`-Nt~V%#8+r!6}T# zIUoZSO?b;f)OK20SX|2#O@Ue2O40SLX_gU`aM!JRz*Py)B(3MCUAWgvx_)A$WAc`3eT1?}#QM;7$e_=<1WgbhvNjc!1X@P7vAjLf9`T_& zes)Eu%3RvQzdaO5mlS=A)@ec3VqG?wB6kv6BRjalAVEaL#B8MjW`%=S%w zSuVJ<=T6MZCAF&U;^bZ&4(&*a2+A`a&((kgho>f0B(|c~7$4m!wC|sZR2b=S95UxY zlzFQ-@p7h0OAqM1@9+HVD{Cf{lfp$fp-V0kH zHf;2ciYSAJ8Q3J5KJ&6b2(p$tV-F=*s$WZP{s^tDu|27y{b>YBXTB)epi6`_hBX)G z4Q|(kbF1tO$j~KgEpfYvZ@NHssDPifS1C#;Z$aOPGhtD!$|Xgf{`iz2(qYdeIjF1I@jxmA4jXUWhvg?DwdFVR2ptbB&N8M z;*`1Xt=RoG>HdUM52_0K6c}NeMyrCrkkMl@vMx)z{2`{YjpMJi6x2@;K&O=KsYS~y zN(c6$wo6i^%^spIen!tc3KFGR<>d}57v2|6W3<#ng}vp_B` z(Ul*!vh{(Os4O@P+w=$oE8~8N?fmsCaYV74BVfHQY;Y^` z>=egzR)X-Hvtdhphcpk1$RMpmD0lG>Kj{DoV|!wRw!t37JP3Q71OXTQ(=&2IownFy zdaRXJtz9M-@b7h9e+g%FR&^tOUkhjA{N$h|oXE2v&X^YH<%8(QFaPACXUKan7&c%Q z&&<&@l&}dacD`@aiX2rO$KM4rN^7p0y>t9t^HCuxRj?ipBcO5qUuMG!zpA+=R!&07&>S^VNC)jDT={=Q=!LY-k8{o z4M5=lvTs62EA^k$Z$1CZrJUuaz3mJVA#5t2NhnZ<)Fh1GX}pgiGnXg|c;QM*ZLWl~TX`3SIkBs#IK4Rky+Q0L#LcDQC)_89D)Q2%cJuI)VRoQ;meO>F z^*e6X@m^0auzV-2;R4FNIubuGAUgH)nKWP4>~Vh3*>u#x6Gb0Njmg``@(Ald0c6G~g#om>BYr2#Q+s&(<9h`0P=w;GdYc4Wnw)z5$ zM^Fj0tS_gnOj-Fg(HoEyPDHrht=WAGGSobn9u&Sfl8LVOs`02GFNX>{U=@YN3`M8N z;C#(e{00s_+=6*_l%TNvsgqzzC9M2P@HG_!v2u{+b!=|Da@O}LP?43vMi{ljj^38L zzm2qqgOfiwe%J1dsj)Eo-n6X9Z^+ z1^WPhd?m@AmIqY(gLrKF(b&~NL5h>8YkqofCH(qVQhevcJAt>ilw$@a@&S*sKcC(p z_@ulfG_yf7*t5P%)#=Dn%tOxk~)1P;soQjN!tmK^_Bv-tfo7a#_$TthGx z=FhF54NkYJxdbR6Rze_!#{^O6ZGp9D=XQ2b5=9tvSCe>Z z{VF%D7>*e^So%AI#6`YpvRq5Ac~M0p#UBYS_g_4y|I|Hot^GoNzBvJz%*NCGdq!g( z47c}UrAIF}M-`Qn7Q))<>nnhNO0Y(BzQ(692Af4zj7Lw);rVa2zlf|NB_@V9!knaL*asSWT|_QuG`|bxC^joyJzqnW!|>QfrS0UCYz(O z>=Y(8m$UY3z+`jvg>Y5mLlZj$i6x|RLBRM?oghk!42@Rojy7;jzap1?x~&7Y{dkuK z*ly7MPZ>kv)gQc&!OfZV8;5t|XC;-1$19>>XX8?BQ7AH{3g*XbF$3r(P!RlD`@JP4 z^D=(uUwXoErxcn_GxZLjyGqAx`%#LK5GL0199wHvlH?NR_KLWlZkg=d-lXXP_lHu_ z4#YapHWy7+KdD}QC~aUPB${sQt98=F`GgpF&v7x;$oQSVizJU94;|as4qqXF)I#v$ zquk-cFMa1+)7=Z_3b-4zD-d@urmQ&Mv`0v~ed-y6~IH=HW9C~7-PCoMleg3&diTZMo8xqEcT2MKi8 z;8H-TlC=DzvOm@}L-yp&eY4rWTYsYoyEY+$ro&9w|7bcvf?_8XJ)5M0KIKf7{wonw zxWiz!%){(hgFeFU*ReIqa{V=$ok84-lEugKNwGpCBgcP|I@>E@AY(`d%pOtcGg>~6 zT9Ne}soaT`8;m;qz!RYEFY?C>`Y>xKwpLNiAWl3kM>>$~v;D2CmNz|k##eCMMD;x# zq)Eh?(YZo3Cy=s{yg6|kG?FSxphlM3Ncx%AR5mKOLiC4(#caw?dyI6n2Wu@U%RTEBMV`iTWP8fR%zUtHaoE*? zjn|kKS82{uy0w~=(A*q<-#e$zqBQp_=+}vO@WZA4#YUrphhDN`TnPV~c{D>b%7q)0%Bv#q z#17Se7I~enb6)92qfzu}O7Qe!joLdFflR)`MV7WAXsnj*;-&{L^8S+F+JC?#VXF$D z7Q}8IT59n~e8O1^dgRS%WYSi+Q62y32t^@vCZ)ca?$i(5G|C0I`_fD>npn*~#sz1R zh#Zp8`Bp`UHV`XWyF~?mK^cQ?XBAo}5Z~KAnKc!7?CAb7!=BuahYOp^Fs(zvXe&BB zm6>-nxUd>4s3Iq9_`ffcK$evuU}XrCG6Y0KH~NgE6*shxe@G)58Kb=ThM7iWGC>B+ zI*Czg!Bb~WJt?H5@5lb8JZPECrVH>op7Lumv!Y&AGCZfY;SFyT$id5319ta(tC@q- ziD8v8nCY?z?yP_HY&~&Q4pvq5HTOJJ`my-ZuIY6}J^B5iOQ8(dQckqatDJ?9J!6 z4h|PHdX*+h0dLl9IlB{~9B1Wwb%8du_%Un-*3rDO)&8RpB>Ah%fJDT|mcx=aw3O0^ zMQVxT)<&R>7^)h%5F*%&KoGGP4<)Rjxa+$rH@88g(nuz+yvXEi9$1eOTpYd$0h^M!#H`Skyq$yA>7W9$Mf|iS_Uz1wJcjhJVK*jL zEU^3<1%1Id{skUf=KEz|P;oQe`|QACot%oS&Q3W40Dp2flU^D~Ul{>G{4xtGNpf#a z8qukK4={VZW`iZ6wr9Ns!rhhiF2?is$l)3!?h!kHy-rMjqi)>Ao*%!&zO3M(p>=Ni z(%qHW`X8a3RaMpWa~@AZ>DyH0te!~fx|2kFaQh5woxIXv0}DtuaAHRWfWF_@csFik z!5&`dGNofh29z^v2&Ry;eSLx$!pT3#qEp8$h9qHw3ac6v*TFiNwH_qB(A}yj59WEK8Ly^SM)~00G1Jwm|}R z%mvN78H4pw9KC)1v9o)Rsd3o~kk?qz4}O7!%Z>^wuRa(M7&{AbEGgSu=6h0%crjen9Ca zHl|{npHuCc)^OjNb8$GGVz&Mos#TEqpSftTadmjQ54#)ZCbe9G`ztrwAb|_@uy1uM zw=X0IPPgFKnk@@4!wSaMRUFTO^Ab7Kt;gAMMKu7BeDD9!;Cz3hS5XL;XjT6gN;zJe z1NgL05L&ot*awGDyZ~O>agLylJ~2lEWI4*UZMH6b#b}&ArZ=t) z3+gh(!!})ql;%|upyEkT*SOj@N0yqGJ~rbrHeb>nod>fO9~IMb)YDF+|M#hy7NE*? zWg$4^)}vJ(Yo8Sn`g2}bm^80JWrwfvg-#{peC+G{h{$`Pg;dxGKk3yi0rLn}MqXmV=ju!I2X!QQ9b_k-DvvgcPsAH9JYKQoGMvkv4*6G7hJml-R9l z2|o(+C`&ZZQ$#}ksYd&|m}sBhv4yz&v|AZpbmeNSWL#LVi`?x!o602q_3ZsxZ$Wh7CG>b!-nn=#rB?qd=x;h!QJRD8y>^(ns0TwBgY!ZxIA(4jK;%k&wLru$CXe+3Ifm??a)3Q|pbqQ}c6mAV(cTVf9*-G90ZD0R#Va{q47!mJ zxO4$fnvWNPJOwn-G2g1szVhPZWDx5DGKGN!LD?;c%iV4R??wEqEBlaVebK;Q3i(en zZi@DeBKN9dL30?N$o|NG1+j|yV~HD&Z$!f-o>a?>Iu*spl711-4X5d#xD4~fGVC6u?7E;ut-xp*oT+M|2zZWp9sl{QawIRUb*E zj~T8wUaYwc{T|;*NX410{O!7Hho9vXuMwh5?F}mQP6jv=HtI3a5!Pvm38mu>%C*?+ zu*$%HAV<4>RB36pGJT3{p7n)7r(89kRO%O+sIesWcjn!#9ho#36?VKIo4@(*77!Yk*w$C|uNFseI_egS8r+1sir%qebV{oJ$dt$-tP zf;U0)P^P(&Mf^|p+~U#a&ACB}dx-0N+h6f(%UNX#^JslY%A*Q@`Amdh!wRRXe2uvl zKKh(a!j0-zBDy}+F?c6{T;GrB-vEmia^kb|d|%S=uq)1#==}yfWiEpc+mmp4g^a$r z(!;Fz#W)v*e0ivb^9|SrEi0dQ)+lJdHAN#C?;sC-!Y8A`%t9~OHQ>u!%ikYuZ`BLE zCmr7H%+Q*@nxNt*kh8KbtPC|G=5b^SZ!akf=%C8#EMZp@q@FpmG=C*W(xkY>ye30 z(wn$+p1cPG(yb!Pa_k)alsi~mloC|A`?vVe1-WUJ0lIP zAO3MxT@!?tQfv>rBd+6&;&0&9lfMxT7hlsCZ#tAAO4=Q~OAhzCc9=SD9F#4RqsNg) zGHF}(^o;{e^k5=F!Ev6*OC|wByDX9+sq3p^jK;vZqIWpVhRDnfC% zn&ii&eQDwSr`wtH5Vu5r-gz9usej3{QrD~ocWjD|2tSm7Y5W)~d+vR9wMxJ*j z4AJLUlzn=BSQaxz@b37^^&fd_#0sWOFA7AN# zO&MwpEYNZdm_OiXP7mW!`#w2u5@jRI|cLo%wJhx+oVV2Z4z6 z@9`%eNL{QTBPqAz&Pdh5Ww{?z1)isjJOsNQjAOVuvHNcwKA2IClfGxJSm3;RbDPVU z7xN;@&F-)fO{Q)se7R_sA3}!hn9RCeuRf{KB}#iB)?0mL@Vg0zTFpAZO#pN{Tek*U z`xtvf=kG9&Y25sHtN7ib?zdyq-8|GoEtgnk(KUDI4^>+Z-bID~A~4=35yr#^A%k=E zR5^jpY0K+?PYdZ}D#}!B+#@p_t^(5-bp2nIg~yj)j)&}_car?4s$zVGb&B;-neL{m zA$onh{a1eDi)!+ z&G7u?=kP=reafv(VAroj7#DPE2N~7*OWc-9lfV8FQJYQyrjG3T*Q@ zmifjK;Ow)8%t&lUl@pjg811e2(%w1v$r2QK0ME+6heRS=vqo}sxno~94BofDEEc7Z z{~`N+38r*|#bAA;#4aAz zeh=O!ijC2$+%DY4otPQnepY}g(Dbt4QfSQ}^?UcUI`M_~wUmX|v^v_6q+o&n9qW9gZa&U1wP$ zq{K88x*hJix3njhQ4dc)wnTp&vF}am-r}lk#FFI>gyb$+4H&D4s56<3`%~vT_iYQX z+AIu`)C15m$L9--5|U+V+^WN+dnw%rhgvz$Y<)S6%TvT}Soj3hHjyJj*zfv{SjgR~)8_wbSlpidfMO7|3xoMOXp}C>Y*q-OxT)lY)9y@CA zhnZ(#Gs|xWzb{*kEVI$70}N_|SWMFKvG9GQ8aPr4N44v#!h;TVh@7v2tYN$m18sCu zImPXmY-~FKr%AM5f}pyNrZ3YsRvL}>Hsurh81mM$M_zPFzTzyRlMdF@$Vj^^l?SbO zMZtGkMyMYe?AnIAJ&nT1wq--M86C6vzeIvN0tYzNRp>g~4p0&1!bERNc4;-ZSp-e^ z75Gw`xo&+papFEVZ=9E4rY42^f&q5+vs=k~YMzs`RLl3pEo(rak~b3r;*{lSlmTIc ztwQBmHYY`%6nKO4r)^MbswyRpw&d&cX{IWC8dDEbA7oj_4w91#?3SF zzY-|%^b&5?SMiFs58hP;oeKWk?*|QD`uII3jIC%>`_QRy|C{}ClAW*9Y zLap>$M>V)0Ov4Z6cbuZ`-#ljwI_(Xt!)9)0Ez?dZx7=m+Figp~o`!r55}fM&)7vt@ zbe%E&HyD)=$&3mkkMP%S#%Y7Q95YuxJ1X(m2W)q~mn&qgBGi(vS(bOpahFo48X^p8PC z;Xv~rPo;EYdmo3w1}__TZC~)D#*Uy&FRww){UuLSXlyWm&EyR|<4g+CJ==u{X_H}8; z)N}P2j;uE6a6puRKywBE9s)7onh;^7Afs*&8?_t2xr(WdCoVy=g_A z(P*K8a*EZ9^>=g>$xtkTwHR`p3?NoVBKFw7iD##r{x7r)J z*XUn}Cb8f9AhxQ1OkO(|X!b>vfm`MmHxv1eMXO*MvYCXV$FUl*(NP-}VE|Gj0V z+x{pTS}I}~6;6NneAdY}uwZU-_nFudKj!&c&I%IP63+<|Br5y&-<%XQuvL;y-pD|^ zlB|76nLMi+3qZEa3`c}W@D2ihc+ytHBR04wtxD`7^>EPn?))c*f2RKS8@TMTwxvVE znZjxN-9N|b5e~Z(UUr5qNH@&9d2ARZwa5js0c33Cv8g-W*+aLD&~jZO2bX@24&B_a zSCd8D-M?Xl@|R*0AKUF5k(wl>NAs7YUp&nyK%uBGwgd3-Tf=h2e~e`lv7z;v8|J7q zlq%g$?Qq#n7V)9PXS(Zrg^{DBkh--XXJGYfFrAu(ZKV;g%^@&|^5T_m+Smk2N)Z$V zssomi>yT+KYs9yX)IW|O41}!Mf%pn*aYZ^2SLfhuI$1A zRs>^nvWF>d;-4Fpos1rs;@Yo{*LP#(fh?IEcFZ1%+RK`Cs_dj~~IH)?>;+0^OjO8_bya0@s4UiQXR=A1O?BrQM4 z;C%(?(MPYQA@SO>y6OB9|AMfZRMZEEPi|GITphJ-FO3n?6?|SI^Nb@#XR zNU?W>`$zhJvzjCNc4h~Z!gUXcjS1_7bS|eZHm!Zbkqq-sHyh(uON4PZEV^S+zs%7(}^*`2*H1e;hlT~HKt zlMhjygBCKxug^1O-M$p9nwYQbrX9>tC7aWnPv9Z4IAbpo%QsEx*sT33^LblZ9mkfL zM?`mM;HtS`aktLpWnt=WLjDI!>J?koWg&R!%Twd>Yk@xL10ZethH2!~|9{DsA#CS)O$vd9zxLCF_a@RK#6I;z^v|79Scktqc9OHd+IUSni4(p z1BwhsnYX%y7j|*k{DgT2SI8WCZFzqi*dfWwnFq_1Dj}7V1WgXT_B15}{ZHf=0Yp?w z_KaCl5&N?%IF+Nhp5h~kflYZgJxi;6JpDW0KIG$QM9-Rqno?IA0EgyT8+81vX$htt z4udOxco1&h^L<_#R4}mKw|Eo{zrXyOVAfi|;u_%n>?81Z=uGF4b-9;6D-_T9+p30! z`Q5BlPsR>2hm-QfiNZx@GKMtR6`b%Bc+Y*%c*q&!ad>&^TqD;~a;WLWoJqD?5~D*n zR}s7#wrVOwn8;zVIK57QYF7N9Nagq`eb)SU8TE~VPlwO!LAOS;gEu0r&h1Ml(jnBP z=}?HHv(LtFq+;B`6lM0%AK!pBukjUeOGJBs0|CO_EA0s8_?N~)v=CAK;Td2>)CI)& z<;1gasH2Z$jY_o>=Um%u&^~@2myhqqK0LIO8W2q0n<7@?=#~B}q9>WABbg5*)8-ww zAX?#<+K#bAk9tWxJy5mkWz0LPlW_SWwNrtZ-kca>0d27Ao)Y$bA>Umv&OS$L zyf;iNnPZGR{ZS@d7x+6pTG!=wyv5_x$!AR7Z>90z`GWAX{|DAUDZdB^y$esK^TmFt zM}s_zWc`pJ&nGHgXZ38t8Eu`_*;L+{^G`_@uOHir_-sH_rxS$j(g!U4WFDK_zjhy9 zNghM%;K`^?BOGw9a}awZf(lSjbuYOKO2zN~gF~BY>3{>$Mx{fBm1XB-w)>S+mDlb= zz-n(Sy0NG3cudmc*t3zvIrePi*q~ub{Jxbw@affB@TKL~I)SPYeTgL0Y_PQUybixA z9FbLuyl-Oza**0wuKA!It05l?e2l)v0jz}B+wLVKrCW-?GwGXVS)exC;DICrXh z9|c(=4+@qRZqKqHvz9ez$5HI4X_&*KCGydyCxP>ze7{rcc~}Z+vwh7#HOO{6(J1{R zy%kC|B(7#@L`6+$Mbz1L?}T7)AFd4s zIT@e0p3Ua{N*sMRSvfEx>6F4_=F8T0-G0>tIuXtMrOG++k#I+cP?5g)uy?a8P% z)T*t9@Sc{BBhGk&P*eGPe}Ee2O^Gw_^tdB&{v_!fXXivNAspAGmEHx?r9R|5?ZTOH z&ZWB7_wH+T=Cv7^6+xUjPD-)FHF6<&Ll=4ns*{vK*~03Zi5bUBfsGv?#~}?pvm5lq zSk1uDc7q2}%cls{UToNWRE?u-dR97>jX`>h^bZkbsf6e=O}zv~OXa;C;0>nIFGpl1 zPSy1IWMHP^S*&P<2=@vY2R&F%RxWT=>a08dWeZ%Nly22%DRFc+q}&?>wefkCno;AR zt^>qz^nD_I3|!*qT=yd6b28c01PJeTs1U7NN}aX$7c5oClze6q$G>y5uzsE{{~Qwh z1HI~ex0ortItYiUrVGN)b2lUnSr+1aW^~pi$$>L^M71om%Qb}O*;wZ;mR?DmnGZw8 z6;$iPI1GuFTHROj(UlLR&wo=y@wi}Bt=dXHBI88$Q48&^7+ZMVL%N;T1@zzGo=aXc`<`fBQ64l3v>HxBxBjIaq zxE{@}TL^M4d7jq|UY@C1+pi0JQo6XKKD9TtB}>0U`m9YjyOB3G=pg-~ptA^jV?~mV zoylvRH_XyoZ|oHG(Ou%T;&5^1`RDni9t|>wAUrze5%j@CwFf~CB*-~hejRAiU+$NB zG{`{&;gRNcgcC=9BGWa3K7wTNn3_GtBi0uYANC>Z%=xt!@nOi;gtH?-w#tw;ezpua z`Xz4aXYLztM5b#7hU1X^a{bmDGLBPCq?4D)NSRL~ z#|4~}9v-CYvm2TxiBAwjz!{QVx(HTvx-_%v!8**YaqdS{Uni;)is7j7c^C%FIT>Ul zee7C8o=Y!ejJYp!4F(WLV{5*5#I&N zWog}_#k5@N2R;+&`?(f%oH6-qi13vD6>2sSym1K%rxMwcO4IYH?0iT4Q%bj8xuA>l zG3h1el(6p;aFERQKkBlN5=X~qv^w-Cir(w9&dw*L&jt+}`Ib)nm7E*lcR5!H{t-c) zP*SM`s`*a~u_5yD?7vo@&2gF&ENz4%{3@clHbArm$q?vdd=Ajov(!+(5tcP{9FemW zyOf^N-J0(umF_1@`Th!OFEH~DD)55zl*WTM8u@;%Nm`ss0_R4)Lbc7l%B$9AAIgjO z63*%r3EcPFD^s=l{5$kF8u>%eM>PzxQhEmIvp@OpyGjK)NEXjGQEa#sj-$Jm_wen{ z^7Jjl=j&v}LzSS+3z6ppog4Xgh~7rvXGj92X?RP90BU)P(R!U1k+aTP2OruB?NXnV zuumztBAH!3%aP!aluja@pBM5%(D+U`wNky>Z0!}nx5$9F~= z!I-G@kIw1`Dv>io%!|b5JNm78ie3eT@>Lj`eTaPbWI4EoUe;E;D)1TfmewCa^ftXO zB!V+|8Rw{TN^xe}o|Tl|5Gg_7UzlRxM-ZQp)Z@K1t#cx|JA!|&2 z7E11*-ty+Tw+vq9!%IWi(YLE;EPOb%!gH10JmB%ON|0_n;TosQ{_`mt!S%yBcXj`@={oa$)lvI=G9_`HwoS}j0$ zzg%7W6mSx~T|Q_J+h1qfVZXJEQ_C8a&uFVq*w54DpV?I?R(_E0R-@MC0DmMN ziTTM0A_loR>T1k5m(oS&LH?PopJ(f5tF;i3W!<7rFhkwK^8kZZ3G#lh@2Al*uMO+6 zO7P{h8tMutos(H{nP2MBApb{@&(mtCs|e?^41q_$XV5A^?8)qeCX4mCR1s>gZcKgS zACf-2Hf(e9;kG3G+pdfrO`ppN!j`T?(r+Z4*T~XaZ)_c`Na>Sz)NPY~#XjKZv!u)i zYMF+2uN3`*6^XUS&L^t(lkIr!;K?{&;+J|f$gKojdaiTZ*-pHDraATk)pzV+m&q@?k^L*&C>lJQ-)MA@?G0{76Y^4Us!Ytv9v}8tQJ? zR405e;E3?b;pHh3_)866BHQPa?OZ2(%~bCFo9(v{1htHYx+C+a7kPJcr%TB;pW;K>(NuH?~@NlTm5)o$anqrD|z*os!Z+qM}!qY_O>Z> z2A;*?TY?phygT3bJZ&pAGb!AghrRGG_oS4zE)Ps9cslIU*lk zK|Xwu##l{t4aMQZ{u;e;v97c7WYT9>kd~gGT|ruUW9C+nu0f>|UsUmAk@-f7-uvgL z?Z7jfN9$Q#;xIQ@mW!tUB`+^u4YfoQ=@ryo=p*{T87pid841#x-ps9CyFSP2Whq_X zdZto&GPMrz`==uBRDEhb$5ZRzl5sR|bcKMkQ?)iZq;SPFa4xNAIRk1wgw#?W+Y8R2 zz$pSF>%%&WY}zu<-uN#t$;tflfowN`28k=CQd+(cXBs|`T0P!};j1pF&)H}BTvkeN z)YIA+$N4-KQJNn(^;CUSrkv=cf2Q$zG$x7wDV;%L~)W&gad^SY*pmo$A z&AH>T+6aHu8tZ%OSe>qQ)Q`@bJ$F+2R2cm=awutt8nVh7b_GR?WuCXsmQ(Uz;yT;zit(DwHZliJ;pXAvKtdd=U+M?=lli0>%wIIMF@JXeX`5=w7~oEmi2um5D6R>QLc zP9xl-#vThL=SF@-ZORJ*j>x&8_ilTBmVESG7+r7-U69O&yHF0k+in*)rxWB3%0a}2 zC*%Bdztp2aVtZ-`&=6<9X|;1mVN}M!9rF*4Emdi$QI!zjS^^YQ!*EI})2Dx!XzfXjGWXt##hBPzgAV zY*AQZR67yX7d2K(Z)pFJ%7wmg(DO}eJFgkIF4R#e?XJ3(oTaxnxEo^n{G2qz`d;9) zvYf&pB1P4k^NVLiR>?eCs$Y`re+tqY!MZpkr)qa;1{6A{R7^JKytp>-5h-4$&Nz5S z9z2lD_GpEO+uq{z(DR>B4TeBpr)uRmqzDWJO~%K$w>Zoh5d45Mj5AY}oQF}BI7|23 z7c4O!a<3rfyRPfbxz|!f9O>=;T6$jO2zOUs)+Y&aFhSN*NX>`)QE$%rcrK60{J^Ij zjo}6?O=-h`W4T<*iaijK4YMrgQ!vS#rME|i!`sN54oF;4CNkIA_NDW?RJ@DPfoer< z=}$5Q?94yAWzMZv56O@g=LtlGzLqEO(f6Q97HYNZNHI9hkvX4moCl5z<#yr^uJf)mZtQvtgz#Z3CHShbBo_nt&yo5(g;?k*E(~oBA1=e{8MzF zvsa%-I8NcR%IgJ*-t7xvCcT*a&eBzQ&=6(NB^s4FBS3h~KlWqvWK{2@K0iEG<8aB< z>BE47Nvzt>A1BTn;l3UK@=PD@rR-1snYs5J{^N%C^}+rv4m1tfKa28xhxoKqWX}~{ zKlkB2+O2e$>0CUL%6{f(;l3Z^@aiM&ss~wK;-CSfrxc|(y>HOe8bVebKcE7nMaQ6JXQ;rSXe+M9q~^(kd7 z+Wo^3b))&Y^dWyuMr8CDE4?B8Z!ba~@@v$0dZctO^ksvsI#x)}%g<z5fv zSC0_n5`yqL{IgbSkxXaaQT(zNC3}OVulk3m;Rz3I$&B-#)kKFG9yRlljzZ?*z?5;PeV04P)8ij%J}#d zjt2SU16r6tuotc;gS@SHbYzfE=)_Hp^IKZ4^(jZtqfu=^WF0}d{4~OO2SL6~(y?B`lj+Rs6YkR7seO2G z$mK@9Nt_R-HU+cIwXF4MPxuw6bUOu(rs2!Tu9p*JIr7gt6{oi1*n%}U>n{2veVpF) zIE7^4S&6eU4d;;b2NBNA^=x2VDnGtT^`z!oHnqva%$ehS5y%fZoPogH#n zMye*Zcf+%aqt?=Oml1azDvYrg$V>Cbk(Vc-E zAwwFuoNV8kYU~0f>+{sWM|&6K`Mn6|ON8^ag0<;9LH=2X`22$`WgM=x{z9A!#@Vh1 zuiL^*A8ujat5ZUbWjIf#c6lW#@B9wS?YX@`Sp^^c?m&p2lz89fxbtK~d&`UXo~Y2$ zG&~`27J1jW+u%6A)j_PojEY6VJ{uA9Ht(p}@+qz_8~flkmz zcXd+@OB@qaLd^CW;x++levbVF-Z9(uR&`4A#&q?*zC=FcU3R%Yb}`j{oI73mTG;2` z)398gx!%`bXP-CqoNu1zTI(koqjm1^{BsdLxpc4LBziQ?{A{!s>SY|Q5`wJR=X&Ny z^I;c1<9Pw<70`i7_h~m4y?;O&SyBHTXq?Zao-jYxdxn$f(Wnk1$Q{JF%X7V%b)&~v=?(24D#t|` z2`~imEH+}yr?evwaxzQ(3ddoP5?-Dg?R^b0`&_T=(;^1ym}dx{6V*7g&-E6x?2H>h z?|-IC9P-P>Ex4?<{&5~Sf=^1<66aM5B$LHGkd+B%7ll9$ZW!lz0cUn)QSF~DJ&>*| zdEC|T{GgRZzUPBSg0`?U+dQ56K#t2A)C1{i*P`T8`fzq-QSA-C!qMsk`!{%be&2-5 z_CVV5ZV^zH+(D4p9>~I%lyPIx`=9ADICDLaE-Q<^O_pLD=gDlp$}jb3kjrSz$!IH! zgx2<)UMvVOS?6oOy8;IJ6G_LO%$^MA|NK&q26>N7Cimb5xsF!6Tt_QjE+am?n&r;h zqlVWK)%67FvV!Y1Wa$^k3SRs46Oy$t`T5?lg6kTxl;inQ!r|Dsll(l|3a(oyqP{`# z{920V4T>cu7Ma*j*por7@=HA$g!hPkFG+tGJ-6c0y#+3Cj`Ai;LH^j5Du-TZLBOEKhunA_%|V zgq?w1C6jeHamET{Plm%*TuXdLTLJje@El*{IC`>%FX7hu4BmIQ#(Ae->d~y=-089c z@GP?QE`nT45WGR-$)uk`I4e`W^ZvcNknMx_%dKU7#xM0~()T6lJ9K#dz79PZnO*&8 zt~IZ&MSi|_tbSalnCdc1i%`uG^(?Y|BZ@Izp?w}v@jkadrb?>;uU29XV7ZQ zRVXi3Ck+cg&Yjm4AoI`D$%;LSU^Sd?C=N#AAO=Lg{FtvC(#QnWb+^$v;g9Q{OTv~IxEJeci3Fj<|gvGlq_gd2V zY+8f&5c2a+3G!*;^I0k>-zCV7R0bPrsd=U4%&p7C`c)4PD@`w^I^mw8N&-$Jdr*v> zMkANqi1XKIo!%$N8?K9&ToGQk^D*K)pGpdLlc?*2xW7ar>lK?ZneD%(Qpx##4M|^t z`n2yQoYN>0oE%jGlM6wQ}R#>aCQ1kPVSF63_xQ5sxB1Zy=oulp;19YSR4* z$6=7^8ow9Wo@Jd_h&Smx7UmqhnJg_j)S2_%#F=Lg?jSxzXE5_wg>d*e2+tq>f~eT` zBS^#3h${BpMHD5}Y_BB<`;gzK{t;2J56>kH=M&Y>2vQ82vJdwn9QNV=5)Rw0!>?fb zrKI8Rgv0AMI4?FKs+EW{+r=|@HxM7jDVn(KA6{|Cv-*WPnM#LN!5fToM*$jEy6+%9 zT~_$VcMwEI+Y{_oYK6Hk@nEuI@#@TPQcRaOW;t6{TdW>UqtV@qqB|^}i(ttmWi-g0 zR4$kgR#toJuFv%yI6GGyyK`nLu74P3x*%NBP8a753r5|&ab*WT?@zN3oIBh$73$>N z`7_N8GR_$^i}jYGF)+Kj?02yi@p;-~T4~1TY@Jwlm_c}Vi)Cqi%5U{L4;+op%2X=( zea~b68KwW4-pXXoU0Srl^r7XV3!j;58RL6EwpY1ZYd-AKew|7!;}*`OpBK~lE|oJk z4G;6nzN{`fbNe-2-k9ZV8DhCJ+cK8kC_;5;oxnJ=E#u@qd`{sqi@E}k{XANoFkQXn z*|9^JgIq&Sm!FqL&TCLFd% zHqoO|0CIx<;rJl6Q@_%xXW;Yre7sqYb{{yGDvt5Nl_i|<)wzn-(oKdrJ@*!N?d(SDR6{WTbcNVJ*3!^J7|Hcb@T+Y$N#T426j!bn_0_mQ>Ap7X6IBg zozLc~iSYphUx4>Jt-s!)cT6+fJ45kXECpzCnhRBr*887VFf>1;=V8aWMRXB)K(=hL@M;!}90t50ja z+^2XNFwCd3+-E&g#&VaK(T;Ghlxy{s+W?euycC8~URba1eS+MpDvb|L3gjtpXsLQseYm-}um(;+;)(Zg_S9mWC$BTM= zafTnhe>W%!LAAS@1|0M$+SX}hz17y!=&u0hb3I&_^%n)9KA5AfvircfTK`(gFDV2# z&+oTHz)?{N&~5eCQld3zDY078aaz4v9QfV+mq#m|;R`W5b&#eh62>vC1^2tNS&qm{pSmaTG=+eh zJw3)5en`Nrdjjw#?jESWR@w!64qYr#aUaq)8Yrzx`|dg{c)!DCzdd`twshuY!wVnp z<&Wl!_7p}YZq!W*1>U2R$tIKVsW=^u_asz2HYT3;bups-@)fu39vq8N1sa>(kM zMH|J_DH}O)Mvmfd_wxz9UyvwfjTSTpnFBP#{sTCEuF`BlY8&P|J(@i}Yle5DEVh>f zpDny?vmDyoT##CLCgN=2@&e@sKYT~D?aK_F1;U))qQaSM#>sVlL0@Ooto5wabm4$A zJd7V|a*QY1yaxmr=oZ=;uC%Ms>s3LA78jGV3&#O{L2wvtTmcIfjsxu3TK{ltdv>_m zQGYcQemGG50BAjM@<){is?2{^i|P z)Bnn}7^zuag6`q^1C6Z(Mm_<0j%E~~?aZmm0JNN6l*AdOsxcBwE*e2&i z+uw)J@a+{GYv^+`bTXfLXmy=y)L z^o$HWs0T%Uq!L^v)eeL zhQ!^A^k;audWZ6z=)rNd4{r5%;HvIBO??lZ0NtHg(nbns+v@9jEw_%Cf!3E{{d!R0 z*SppG$Jtjh1y*SoA3(8hGjQ9Q9#C8XTF-Ff86lwdJRWEGeM^4^$JO%sYomF~Okw=M z89&Tx0r#JJx6MV=;a&0;-v6QgOeU@tKL1>^(=`8}8GO{1U-uDuA*L^I#+3-YrMuLx zE%Y%NI@XUc;J#6zt&d=3YxlZ}>2_Pbz$T9AnX()Douoe;p@-RxD^;xL4S2`y1Nt@n zwKyMC9C+b_z32iaa6Xj1@RG_mgQ3_n2OKu&<3bA`V<|9uw}k$=g8I1qw@PPz1upu1 z(5K~qE5u4&zXFP9?ryPh02E^^Kv4s<_9J@Ryxdo>+T1@|&q49p-Vr=-b&}HK_&WWy zd`^OUdvM(ARv*BwPb(EHfDbHQ03F>2kd7ZX-23&}38waHA$SdYC?S_V+$*g(!w=rY zuYNv)njg@6)bEA|+(j8VkO!^bqS|@{w6=HzOs9pA=Ic=gm$_MK-C||dlWn9fT&aU6 z(H5cvv`^Jb|JI{-RI?~Kw!5d7Asq3;-L^EN|AY!+!TUWZC9Q=UDj(>F8Kbt2K2srp z?%$UQt?{ch0R(t}wzl93ZJFO`wbmOwd>mUSEL&JxAanD~XR1CNw8oq&XiyiKCM6VY zdaEDKp*;9-x11I|gmqdzRb4!q4vEAHSXTCZM11 z+3Qdrb)wZZJm74uw|lrs(?a)F5TLtMxO*uG{hP=2`!~S(jdB2V)gBa(9#sEY-QS?l zmiwEOD=2LC5&D7R?96Asio2rraMx3emcx%S^q?NpWuBr}ZGR5ECP)FLMK18BqC_q~ zQYjIemV?j_(7)>sP}_gkp~!Qbqi1dU;R*@T(EDzo-1`Qu&-G1(3mveQ1Uj^(8qkAP z6rdle@^E!!4*MtT)k8k?oY226xRADUmR8RTa_-9R3GHfHiG zyXX3>u3T{Emfq2!TW88|_GCD8oeTwT8zBpa3p-a;ez2%ZZ{f@8dFX@M+;jkkjq>^b z^)&+y68NG_^$*8OD=79e0`$y26q>E4SHo>v zIz}Jx3v8LZ54rT%f?UF^GLBf=)#mK86#^*gbA!U}wPqj>!FTdr@@W2C+W5lT>*ybj zbxXawLIC|zKQD0g#0;HIT=?waljdxxUOT49v7cRDp&e12H?_G{_&!=m;2thBaA zv~aD&tS6UFPonU>nDreI$f#r3!qsXxR8tA!am(}KKrUsW(^=c<9q)& z>++OzN#g^(Q4TeWdgIe}j11_R`opMo=4Txt4bRLVZE@-VJ>Zk6W+8!xXFOeSk>{)G zACC2SrJjWZFW{`3k5l0Se_z!BH0?+g(7OMR`}i%Zx7yfkXH-j>pYP3Nx+bH~N`eD? z^cel&d`JD+8K9SC&povd1rFZmL;n9zkA@5All2E^J*Ts=LR?0R>$!(JEfjNjOR|q( z9e#DpA-Vv?S|7_l*l@l6wRu?2^1e|iFsJzNDi8fl@zoUC^0{Xr`M|@_N168Le9hy4 z{wPBa((_j4bj%im`)Kpb3rBg8lEb$z?6qZX{aJbfsC_rhp`XZ5;I=+tTtutqJ!ZZQ z7tp`!4|k@T`35d#v4J}}2khev4pYr>Lt43-w$+#C!-4x^^%?k1Hg64|0eA44+Io)L zaX+g;2fkRL*XjT+fTAU9q5ib5#YG%ET>o&K&KYd*TStFO6JN}iL*GmLKEoA{q5<8u zBQEk`L1vm3_beLpMMCT>ZBHKR9>U&g0dnfgRc>yOp`+t2x*1q#KNB;%qw=lGMcu3N z+J11kVBFbqVYM>+hJ^Cg_VfXrz9({ZtS?+I8?oa(@;V+CuAT&6kDXfI(aSMn48Ik% z2Jp5Ja!%5}rgsN--&nMtxO*!8`gf1bchQbIZF_gYXiuy-zbVK)rMmm-Ah!#x_Xv(r zTAX8@j-A0yK=9L>MUMcz9mj3^35ymNc>{@yYg7d9T%P@~ICVHnb$~A>8~MOb(D%0; zana-ZdZwADG}a~pdY%3(rf~(n?LA0{SAYTYeC2|puj)sCwq4b~W4?=E#_wYNnZ}@b zcD{Ryp5yLqdfncI-N4#Fp}2dw{!BAycZvospomzDSwIovfZn6978ff_0d3cr1J_r& zVD){A3mo9KGXTIvT?}Zw3g5@h80EAau#yD0wuS^}_@A}gR`vjWtNw7b*oJ>`rB3OU z23T!=02Hk_yz_y|XNP5kdXhT=_#7J6ca zzP=BIg>O~9wS|CUE~G1T%Z%GTL51QE$xysY*4phps%syIF0AJu7xw=r9~X_Uy4pY7 zud^Ji##=OgKtAAy)!m43EdagR=T#a$Z6E)1tp{)ytK1P&c#F*FK>MzI7i}xo?o}%OC*MS8ca_2|&RU7@%)UkFSt#ae*_l{SLH9rVn(&KCP{t zAIf#d3slQ?r9JeAUhV36K)?skb{-K>^nU?q*q9{r3Jg8G2zqw?Mf^hJLXR zg}%?#E8IP=g7Q1(z}+u%_)LX+K!)0Pvw;VGcoP@LJ^Q%0`a-_DM+H59Qs{0K?rzEk zeUMGG@S1aKDh@4HGWsEsI=w^P2b@ou%Djo*(cq>Xg=xV;CeVOQ2egueJqO$Q8MK1`+Kczy5a zP=8KyLr*t{dVO|H1>HGw`cegbe1?K=TSAshzVPd#RZrwbJ8}VZzPbj`_h_V<6@a4c zgimm%g|45^+u9zu8)qnLffg5@1c&STP+T3TKkz)Y4@J&AM*ncE--(%{5I`@faQP_! zaOP&*kM?n)8RmfgKYQl_?RQn(`7#3G6)_-t3!+v#KH71&mZuQHLn2M&F^Iqdc?LTB^FMq4 z_x#SdGg4>atKZ+1~+NJ0ouqqW*cOFN|NIslF?5IlY9?zwe?*P+e2?Dpyb#sj2#rOmcL9 z{l{B-=;I9mUD3@izfN_OnW)sl%N$+aMajd+9=e~LZJx62XPGA7z4S1PycDatrQpkV zIND1OGja7ccJ4F^Y0r+W4Q&aWM8n2-xSvsS-3R|zw*^3VQ%1>K8(r%73-&PL!2g-D zLkL_NfBz>beZ!u~&O`WiX*J0QMxO8?QMBi7u7B0CjgfecHHo{>9^PsjhlPK6m+Qot z<#9JBU2^|+O9lFPoJ!e1M_P)Yy?894#WTe|NMFz4j-J#>4O+z6^8Ar5`J4VN zYy5{*^hb_T#~GIuTTpma7v)#@$!@mL4^YktVrB{5eoQe2qh%x z;%)=wNfge5;5kT?oPiRWC|(7SCLK{_7q-$o@$H&Exi$3mOUCFXqieMSV|CjRk~GE^UMbb1E`;kn=bo7j{?e$4egFy3}J^;ZLy-(!r?NWnX0 zjw9wnbmk+@aC)1>4OA(7bu-hYRq()LT1GFk1Mv;^RIlG4cYGK7Ns5O)(3g4YiISi1 z8GYV^;O{1%gXEtd#Qerghx!B-8|Nv_rg`o8@^wyObJ9iD=X?9uvgSX?S$%A|CHP9~ zySnP$?C@#TZSzZw``P~<=yj~N`NY!QTD|YIp+TB07|;C9b~)=smy8_2k+Wq^VY$d} zKRT*cx=lyr$e^Fuc~vnpYh)cDk{9P-Sv#zH}tDE|X)Y%Xl36%7=;7 z)xGvDo!-=H5j&VMRk3nGL3r>}P@47jDe#dci6)>%+MB{4fL@DdacZ^mUsEGXWkL}QO1^J0lU?r}*uQC8YQLA}ed%K(mK+~~bn51%Ny5elWY z$SV)}8nkFk{^GgWo-ETVJkDdCcYGPMd6c4jMUHp2&kIF<5jVrOZ8^cCPpSA$AE`28W^4B5@xP^d%!Gbker}cz?j2Eb%Ao%aQ2E9nJlL#5vc|GlfFz zJN!@=r4(3}eABp7_>kN|W4_n8gG`j#1mOuu0fl#G8;~QF^Gx3OCi;i6&n&*(c#j(2 z7OKREZ;eEU@_GV0X%c#Xp-_%qs=mK1dZ2ZD+Q}>5&h~E^A(a*b8h`7(60v7(PdAVGqgQp~lIOSBFLIa^Ko@)5 zYbEY+j;<8+^^RU7=*U&9(0!Z7{X2>Kc1N!iG*0XJN*5)cv7-;0z0?KhWln+8B>Ejk zb?@Hgj-D)WFWH2+CkTZroPy?Tsb!D0G|!rP=4_2I1N659z1q>TClG#7w(A|8D{=31 z^l(9g?#l%IxyRjC(EA;o)$N6)XcD2@|`)+n*~^=I;{Xhh-@c9wC5^7X$8BPfi# z_Z+(4@I34M`?no^yOb|b?o&_Bqc6!>j-8;3W(1vOPsY95(fNWh{v>Xmu?hv&IDyhe zk^(ISl-1CQGKL5JBSHU%qkkgkd5#W3eyKZJHvFjDv569AP{v41C7{eVfu_X-y3DE{G;fSW9(K3?c>hzEF26!{da{cn)~yzBPWGW)E*|EeAQOqomo6 zVqqm+R(V4~^Be2>RIhWUJ#n?oFLYFUhA7)Ll3Mg47Yo|*TlhL2{6&LLH*TZtw)I{l z#=JR}9^Qt&E;8AAks_1L6J7W)Ha|Ubc7e$kZ`G+`E2|YB`uCqjChL96p+*zBYI7}h zG`&8=skeZ4dfabFYSA;OwqM|J_56oLj;5cB)ZXZ5`ouuPAN6fXZEO}EbYl%wKfUy= zl83sz9{qgU%B1!hFPriqdc9xOOQVfh4+SM=z|$hF&w%gbsZCZ~dXYyhpXB@5Zhk>` zum{xKKwb*a$@aCA2cAL6FLDqk^r+P~!$JsENu6xpHaXhQb2bS1MGl%TzDUxx?u$nj z&<(6l;_p}5Q~m;_#|6ccktlsD=n_F0+l-7Gei-`Cq;P}B)zla}LV^9W88>w5(-vA# z;-F#CtLdEqMH7HtCvh1`fU*lXDZI|n?+c3m9ZIZa$he{H*&UZC9%kaQaw1WDDxjp8 zDES42tBF4E=q`d9*omtpe$r4hO_7&a$V_2F11qZ8Ke4=gb^+JV-N%FZN~0&z6-l>Nw`~bv+1HE1~Lv+7!K$<2dMrhx$_K^OVQ?KOKrA!mttke=uZG~70f$Hq?mM|+7IqN0A zF^<(XzrahZv&)MdRXb{OX4wZVzk^~U4w6laPTj}aB=bIazKeDN#VZcV91z-Ly*;_V zlC_M4KJKBqzw-0;5uqDAw44M3RUS?*A@o@f<&N-7cjJ4$KP91YS2sHVJ35U|J5-@O z+fXP+X!({gB%y!m=~B)-fu@xc-trgx+tl$HVoozHHw=Y=biJ$p{8#CB*k zRAyb_!2lv(Rj2|5{i ztbdED<+<9P42^SwB6LM36b_Q^@x#6?@&#&OrzBH4aie@Y`Zssj8)`ROtSsMe`!^AK zm;KIM{MJUH_e$u(jY1!hP^=Deb*Q~bx0E1qwT~ggLx=2lrpx$&Q1%(~?Pu&MZ5oui z;Qf$=meRwQ)2$yOF6Aw8L8DjNSXxMQ@HsA~oD}BgAp&NDc>xPi4)*|4|V73Ty z{ulO8-?zvrfWxffLDvX+h@*E2dZ42}74!f{*9*FzqxTCMH@W^?(3g7LM+AL|qmK)^ zo1;$&x|5?D1l`_Ij`%1N4j&M8O1!GWb2m zf3aFcPSB@C5=>yax2MuqBuYO*T=aCJNCBt`<1iFC0X1+K8gWZ+NlHA}MK7EOU6F~@ zj?6>sPN2WaoYChHmtG;!X^s|uLFA#Vq8onhA764d81MgTPocoCPZ~YmX99LgFdRKg z(Ad57Awkb^3Q3oIe5}<)hntgG7sxHopIKdCMcAuT*PN4;H+P?7!cHjmR{CQ24wROF zM69zXznN($p|rI82J0hGzB#*-PUPZzPbVyx^%kS#j~GEQ>?YF7(2c!GB{bxHFUtez zl@bKnEXLBFgWqVI)EsIt!ZT{&3m)S%<7mbW8E6)HkuzjGxzj6ukld z_VDg!9pi06Ay$>-nj7e`=4NDSF|RZv6c%}0or#DQRI4QJaUOT2pi3ORwu0Hk z1zqjv@q%9K=uAQHadd{D>mA)s(0d(yt)M@2bdI2LvfC>K{gKDrQ_x>Hy0@SYIJ&!_ zu|{53!v}i0#EsL}dRg}#tL*DHrS-BRzn%yDI@Emj3`jmb18DknK=BuW?rTrV&d(e@ zP|&*^Jyg(J9X(P|_QXLK{hoCH)zQ}o`j3t-5_FZLXqu$JiU8=MJrYG*fWA}EZ#eq< zg8q%8?-BI#j=oROPdPerc>>xsL8YP}jGy!O{j;W11$>u$9rci5}z>boFRh+nQRSXy>F5tCVl5 zqMBOd;W~-C!1FL9=tvupGKKY;_AhAIa%xwf>~s~K?WmTmak9u)<7DB(#K|OHiM>to zY3UofEdUhj2Nb)f`~`ZnquGXrqqlV9(k`ahf4o^|ku8?9azWY0lITK5zbEL(F&STC zDe=ZeN(!8-3;HcVv7bP(oHFizcJzM=O0Q2`?5Pr$^0N*X6klAT_~JmH6m(}t@v>#y z@MN1=Jq(3!P%v;98gZM~yKudEy^ECmQlw;8mmS7MDb*7<1A*Lr&eG;x_qE+EIh*bI z)xP@(M^!%@<7h8Ede{#)N^0mRa+W%ZU#$J&y-MOnk3J;mu^#vPf`+&5NbPk)Xfr=v9Ko+WW@eQg4mFgCoD#4ttn150cgP z4sV6MoQmD-v!X%wbe^?U38ihC$TwTrWa3w?5TTC^`%HWP@HDLJa+0`lzQY}Y&T;8e z?+oKYzEQe|IjW<&O|j*43>j9CqG8L`?K8C}!)nvfVt5*IzK}A7RiQRw_<}AM?#%QO zYijk;ZRA1iorO+8$GnRjy;f2SJ4*8#KBn(T+$ft`LG_XF?|N!q6AHcRUw9UEQMaRd z83%+!>D>6}vQtk@#{ofC&#{lX(9uE6+N<>%ql3Ej+RHfL6zA{%68_ffV&pi0ejclp ze*UyB1<a{LI>yJ@XMu*Np{*||Jkxb6m%5y_^(AEvaI)5y z&^yhr2Z=>rmcKyb{NPs!O0Q4c*9uCX4T?`FDPTW=Vr3(#YhTA z33|Q9<$U6d8x*t@Sb@;Z)ghFa)ghqRNJ)Wt7Eqocf4mRZ_VEG)_yx{JFJ$9>{4H} zZqPjdJFOq|7mn^L=+7KIP|&*^#jBqbm`Q*xW2i*i8o1I*8h;BPKJQZUK9Q17IeM0$ z=Q>JnmJ-$Ew{Y@roICFk?lk@u?%)GK8_`>*>|E?9-jqa{TP5!A3p#fG9$}^xbai#~ zg;jKKQ^M$B^`eCDwXK&c+(}CpUY%?YZ$)Q2+BjLblU6*u3Qw={tZ}mND*X03vmHL& z#>v7Z^@B6sMzV%Xi>6L(oGe_46*bt*$(=)-XN{AEON$zJC57JAL= z3|`D4Vzne6uw7_bSS^W;$EsCQiCMY9Ed~Cw`Nk_eS{u4N17%DKx@jwup}_bp|^8h}y?S3($G#SQGhGNo|?YhW>Iv zLu)in7Vb<8C-DJM7j@}V8$9NOd(rE$PIryeaipdcUgLSFORCD`Qje?aDi%1ZQXR5h zm((H2*%GI4k)UlIX_1b`-@=C`zl9H>tDAl({7}2EturrNY5KSDVNm72wK;F)GU z0_!AL^Mg&poilkO&F9R0N$4*(4MrnRB_$_3phW+K{g)@1#?2+q$~T;Hz&D_L!)+&7zO${^!$YB%c^poW zfX_&1ZDkp{ zV54szlF&DM=p9b^Q3*v_Nk8rjT4{eL<-P6i;i0P}boxf2-|K`TjeNuXYP^rJ6pD=U z>o!M^H>}8OC%*ln)H~Ue=+lm}PBPKxCw3GxY(LV-xPk5}Xjr<_I%w3-)%J_8qkdZL z^-_D(8mDhlM?bdCvhW^szEv#ft%4rv=xu_|b@X;Y=Qw(&pa(hn6G3M?x>nGcj@~2a z3`f@qy1%186Leok?-O)yM;{P$x}y&Yx|gF53A(4F4+}cY(MJW{-O1>M!r zCk5Tv(WeES;^?!2?%?Qig1*Sne;0H+N4GPJAZwncOwGSY(B~Z8LC|L%og(Pdj_xez zlaB5x=r0|eD(GX5?k?z~j!x^K(ef{_ytbBaRoS=Rp6(j@3DM^4GBig2+Eap}gFzn` zbT>zp!Y+=Yzf+rArFD7)q@>-a2;>I~Uek4ol96Gs_;{w+I@&v99$Azua9C_aLXBC(Ojk zqT3~I&{Ya^oI)>N)<{irR$s*t=gue}>ZgP(@I3sZ?uXA*z#68|o^-nHz zR97s;Iy_wo73eiWcZpLN5;Rh~QqU7TZk>Xzgo^pB+wGf_hp3*S$BI{?^ zFSv85peK6VEHQE4;As6GkX#u@+><@-WkNSjo2b)0f{b)pAQWygr3H}dbU|aTuAbkk zB(+%m_;R6pv(rsZLN_>>yAeR6F6ueUSw!N#$sQ7#FR9()snz*#xWxUj$E}yGPWKWi zah&mSn9#k|>DFuLP>H+R!fg}qw@q^?I^ZE#>F0i?$Lr?=V+ehL5VrdgSf{?Tzqt( zc_s;QIg<|>x&cp-;Sbx5=A!=m$SkWz0lF$ z6ZCH#eYc=rcJz-0{cA_h5cG47zE{u-9DTo_=R5iVK|k*32Lu~wS*YcRZDXiNH`hnxI%_|Uw!MIHvldmHk*&gFP}gt=n_@W*y$6Yy4F zQ21f$o)KMf7oHq!#iV;MwC&+6wnW2|^R$H|x{K#Qy-BfRA4@OeVg*81a~8WCdhyOg z+*LyNIH#Mw3Q`IGj-oO4S5Ho$>1lw%+nw$gCAC;B-|C{&W~+*uL1wG19^_kCiRCV>?j&e$8 z>Qu%-q{>)5QO5D0N};ap@fr~K8GDk#6r%@P?*nxHB35YYn*Z1dpglwMPIU@HQi|}A zs^>rY0M+ZE?X?$KY9*i)=_BPA{sG?Uz2#T>kxEI-i`4t9 zD}=u%IL~x;Cn)4B0ep?wlDhP1Y9YTWeIe_3!Lk*O9#>O~JgBw8`bBcsWU}y~$zE&B<(y2kCnsd4R{mW_zt%;uNaxxw;*P&XI(hc* zjolJc(y-;$3OdudQ$MqFwZshzTH9M#q?>LlhYh2)(IK8QP3>Su^)8)PJF3>o=CiBo zoUHdwbqiWK$s8SZqP~>{j@B)vdWp4lhgG~%>W!H@Qd5gRQd65N)^;#Emu)E4Dby|V zTu(qMVS}q>{w~kMSA}lf1`j#g+#|IZlj(}3kez-;YAUHQT2x7mc2OUbssCXo;|jdf znvXG=THC?1A>m}yo1(`$*S{}uqvm^&ov>+DN@Dz0NB>Szi}Bl)f`$)Ir6goWt?kJf zztw9~y|*#;S9uuSE>ZmuwOLPXjqoh=q*4gIu49H6sr92_v|Y57d_`-H_n53+^G(ib zJ>){yXLY@!Bka&o>JeDt6o#Z_MGvVus_s(=3Of7nR;PQry|dqJD@F@1qIYU5MoT~6 zR*aSv32nt_;X_+7TKF(oD@I>rI(t9Y+l&W_)&gZzzzCi>@G3|kVFM0qish-;Uqdc zIf*`pzj(G1#peo&$1KsdBcjM;lfJ@-wjZbP;e2l`ACT7aaYsKW=sAvJ5oODI-qD=} zZMv`Um(dK`Xt8joNnevb1cH`?O~Bj~Qj()kP)4DkIkE(8dl3uI{@S^7hVdjh`8h}5 zE9ltwB7W28!Tq)ZzVJcUQpGBn^gqC>m^)B3#@*@HAa0-+S^Z^g#tM}$3K}aVTV1re zKz3r5_G$Y&WvA`ZDblf>wH>(hV`)P%->oy-3oRaTZ>-r#tjPn^wRZG)K}y( z8qIbbHkVQe%e?JjD$}2zKzgxB{y-$XoP-JLeKKNMyTE&95)j` zTTM4^CyLPWZIm-^lx25OmUBnTCwT=WBkS$Sat6f+Eu4$`jJ!r@x#>AV&-9W+Xt~cf za`Q(XYOi6rA(Y&JlA9(WaG^;^%Im~Qh~8!#_Z5c@DR+X0H2=9v^Z4ly)o78M&=MaP z9TK;jM`*cOdgFE(T0(VKwD<@;uS0p`a?+-=PWsa!T9UYbI<$nABy?qjmX;8?dG93a zkR~Ar$CfN17np<~Ew8qx{6#DHp~)_2+izTKGFn&p3+_y{2k!i;{l>)Qw5#B6QfT}w zw&1SL$xY)gZR-a6k2U^#m>d`Wg5F~f@A`;D*AzZyUlLcx+4G%3eeP2|O>t6~j>#8} zO5x6~M3MHv9=Cozzjb8KI(_BhS z9&T_ny%3;rLO?%x&~s+u#>4t)0`(H-EHZhBnTedQ0F7M&y0<>=LDUjQ9_p#}LN{(P zWS402j2)yfv3{b=l@d2*z4aEuxJglY7Ntn(qr`DbV%M214N!&u{LQ z0FBd=>UCVdNik2EgTmXKZk;>z+MFlScbiM!x20^+-u_v=d@QoG(uJX6rMj_Mtnap&hz688p=tG8wT)X{p`>L*#%DJ+uI zhMcZ)Cu&G3+~aZeZq9X%E)cr^P|a`LQM#|hje9&_CurOys+@9KQhS7Q}oFQ@J zlnteDzvowPBmIAl*4xE&p&K`Ws+2?>?aGI|z!8 z1`4|f8g%hsCj~rH#GNMS!;bDH=!1^#Bj~-3a)wvZUGL~jLDxE(XLnIH>}m3VEt3?m zb3i%KDpC4jP^^|jv1mZC4HBg%2R%*DuRFR-(B+Q)si1%9=${MvF-Jcn=tmu;M41Nz zJ9%jJwx!nFrB-1`iH@azQAHUgQoqmGlXc9j7O0LlV=TSOLNjiR0G|{JQ=LLDW7FU8 zxH)bi?HC87wGBFQ>;xKPCmpfI$TIC;;)b=I_U~}?OH#H!wD^R6LC}ScHl7vkG@cbc zY;HM=y)QS4^u?~dx=&17>|rjc_4TuN3Ecxdu6otBw>Y!_-6MF6$JO1G>20a|Z?YR+Oc(~#usSmQB##v020BD{;=6ExzchlnqO z>nkO0cth1syI|DRv~LM{7!taRox-((M*o)H4s!M`k6V{0mA)k&w-^0@Z3zAJUGXc> zUNmiJjV66f`jDL_eMJry8ZY2S(|v^xTchrKrPpykR*>2|F^kyCd|_B(|0?}O^o4bs zOXmyY42SEg6zct3KRqv_yX<+VTPm~w?XzN5PW!C7B^EtoJ!kcPzTS7M^ewVnk+Zrb zR_~8c0J* zf^w%`^I-+4RXn;4uAHoo(ZdqUxi9h=GFg`g%|qCTU$xSttS|EtFBddy<+^QD*96+$ z!Uv*UKh#s}#|E!cQ2o%>6BM}}-KK5zR@0u*hU$HQj)J6I-L8EU;Jqa3mvaJItQr*^p6h7!0jJ6J>w5-rvZ5_yHYh3E{-MU7g ztph3TEY?c2bs$Be+B%TJhqex+@L|)|fv{QtzdaUC-jDza!ei#7kKaJ2I{K8LyE*!J z7v;;R?H8lQ`fAwpq!Tyhgs&0`G3V1(8y8Nt)y9PnZMAXXLtAZJY=dTHHY<~!CT!)l z+PKJrjwHf@ZmW$8cj}`rT_f988y5{5E2%fl%A{>RVV2z#d-Hd(Ecwg8wrbV*&{i84 z$zTM5l(bdig%53&c;UlLlZn(CYh6m(>i)u=wkxCXfmNm{C9La1mrTsAjEgNLdbIjF zCSCawv(@$Ww7Rl$s+9t|I_DlUS2~N>R_vELXgn)?XmVEgu&}kwj&6!o_+K)el6tc3 zrYTYq+|l)Cfi|8MF7>inz8{{&+E86Bw1fA0{q&~2>~D#lVMtm)%rj_jy5afK^KN-L zd)9MSUoY2ovJ^>eJ6Q@JRG-D3m7IUWx(u`zEjRkAzb1W6`jDvT1Df=eUZm~fEA67b zYarLBB0KN0hj%}_270keLd!MXS7cH>4Q&r;k-8>*g%7bSqo3Uqb$h+(zNY)o=i!g4 z@7-zp=Zlo|vx_hHD8S#P_VCux?P5o_=AKu_=&@V0E@$-}UUe;3->+Avu(|h$&GmY_ zPJ9)yk5y+B!i%CFjOq5}NINR5pt0{N%5`|868%NpE75AGw9Uz^p(9+Pv@XW(YdhBI zs@|EH)L50wGtFdudwZK}tRwT~z7*CL6MD{bC3HvoJMRr3gl{ac^9`r_=iMW5r$U6D zkC1n$@m<_z5~1aG5kh$0Z`pt{>lyg$Y%2jz<3jIT_=K@9Wg%{wiP|oA4n%E7okVE4_a;LBq%T>}I~_ja-$rfg{Wo!Q zP=sC}a`Ybm)?VEf(XT8FE*GNZUuDJTZ+1824GbVW#+pso-9XJgYF`H3;eotJD8wn`^%AFN2nuhqhhpj3icc`DRI3vb^mIdkFF(-JfyuxDNeBm?$k?Mugyb5 zN@BOHmN?Eaub(&0sj4YwtnGp1w*`&erw2%Cak}{|LDxEe^PCFG_MeIviLrdhkHE__4BaxjP^J^UQeBlbF1rR(=*y{^VD7@ zd5CkX>t)k3+T-;2`bzS8+4PL|I6b~jK{*-cg4a)|u9u>|FF;SIe$@G(y9IVP!9+H7 z1hJR3K2ROo)aTE~9(PAitrxH20UlTVBypBQFDGa$vT{+j)P4Lq)zQ?cps^}X*Xq5& zKG{2gM()2e{p=wruv4K-_}w3dGQ z?q;nN`EAxpk)39(6x*oYqc>}%aA#Y#R?K|)wK)As$5}DDt?Sh6d7;q8yk$Jn#=M0O zZOmKv5cY2y^Nyxzb)*&}_crD&JZod#V#`hDSh}Av`lgKa(g(e%qs2nu{|f*B0RR7Z z0c4UrOC&)MhRfB}-E-Yl*Yg8GH`2sN5Clb-o#{OqXQs!YS5UzLV-qp*14J-1Ff=e! zGeyNeU?LdYuekJ*LpTf!thaY&oYjSzUb-IMs(P#c<%xgY93>7dy~wkC>z4>`w_fLl zk)tm2CCc$xMzj;Q?pJDa1Y4!((r1)i_U{|7iSBSz8- zT%CKk?;wWhltm_D!d|mo+x78 zm<^(OGnB$f4!Co3x4St+%V0Rbqh^LxO>JxhSS3FOvFHSp{#U`lluL$yAahx<0aCG8 zUq%c)Egk%?m1u=;H3wc1N_o-_RoY4qZQz~*TST6x7yM9DqGEOo0)B&NnW(M0D^jXx ztOS+RY0vl4Ui@;Bkwd|y0(K=(;iom-)QKt_$x4`Om->++ST7o4B-ugXTe8telYNBO L1@R9600960`-91* literal 41873 zcmYJZbyS;A)GbU)DNsQRw765eSP5R-iU+si?(Qv6+#xum5Zv9R#WiSfDH;exLvXqL z-uHg@Tlr(MR`Tp;=A1oe&e?Nt(a_K;Uq3^0eENtzeb=&Zb+d7Dq!!@#L@nWAV{cB) z&BI6i=`$x6KPUI6PXg2$7OtK)W){?{CT4cj+|(Kh)Y=xN)Y9fQ?oW^L<6=BvprN(o z{m+2*3;ln`M9BY+-p~FSVs|)cpp4;BAJr9X+}~OdvnULoBv?UJKlC7lg=Yw-jR~3G z*(Btr5d0L24Wjw*`YkQ?&o?$#X}tGYysP)d>-CpyR>vrj%Qd&4W3TmXuQhlVQs|(< zr@HgP`C^eN6t4{b{&+q*l9I_js(S}T^6mb?FYjzs>M=Db@8(hAvHG0qp<8-Kg!Gpb z!}C2HECC<#WtiFXV0g#>G=@#|c&ocR!%-*vxw@ zHu-s%6*di?0MiZ-js2pyDvh(A`f6Bix>Z|q^CS&ROPHm^BB>1je6y`HZA+6Ys=1fS zn5c-D>M<{Nx__9@^ZkHjuiF@JdOZ^%z;I%G{ORp`Y{sW>4?YtRy#Qw3az2XH#M@|{ z@{AS&Aa+?!sJm75WjzY%zhQ2__tff0=T>vwCu#^fAtSyRVCy< z_OI89%4PB-ktZ(G<*LHWlEUM>t#>9q-De>SH{Ij>WW!1HU;3gfrRU1Y4<1WO9(V~P zJU)ZR|2(nYlH!y9>_57AVf(q03w`GDDKnRQ)cjXK%1!jcVdY6r)~|Fy^K*T-^)Elg z4#3wRwYdiM>y*Zy)eAbl6y3rya+XBzjBbs(X|=5Rdt>=)ahsLbwb4SMkBFO@Tf)wC zFeO-4<_6;r*|IuY3;Hvu0gCP^b71<>q6yOG_NeCeqFq27lA`Ej3O%4a8@*(T zTYHb~yKnL8AfwFEM1SVdb*m$3s%GE5P;6=F8fY9pyon~boaTWUpZb-utz;NJBdOFe#cSqf_pQ(LI+$Ggo4ZXn z>kV6$l%L@dx--SNPOZIjy@R%178lucpDB$z(sT!*abnuKLEf;9`7!msVFy+G9UIZ} z>wo*nDw@~AdV6P5tmhZQorF6c+77zyJ54OK$T{cB)vWYfev4URZ<4hAZd2>a#<0Yd zb$X9UZJ)A!1*t=u{OYF=NXYNJpcK0Dar7qcA$%x|_G5TGlf;!bS#Zxbtfe;fK|74a z19LD6Xl+vEBjUYJMM1_=;M?`ke6Mw@ylfNB9@e-C1vp-%f4H@SyEKg2nDg7h1+11y z?*t_5#=h&TrK1@XSGX9dJibzbg%2LQFuCe{uz?#D`V)})4wVg4u(ucv-7ZI~&+G$Ob9eE%pV1{pKUkkG*GxGPd?tPfJx zNlX;PoK^wWi_4se9=XZfNUT5KkA7`W9pHl}r-xY#NVwR;lUu!!^J{vct|TBnGv_8# zmf>ZybV2!IdKPnwdqS*1-IqpHYdCvJOv>+RRKauO}mFtjo6P@sY(W6}8j{D20DP%*nPjF(eSjh)$!T zeW~*vrh&-T0M@(R0L;TS8nlz~JG}RgRyQ z*p@b7ja`5N@w*-qr&bD}zP}J7#Px2+n1+mCuU29lbL|{!*8lnL6~!~%d_ye9&r$Qk z9Z8)~BubYv)BNRT`#G5$oCEPAr5a^RfwhfQxfRIEz6CR>VDqh>DrAHx z3!LdxR)jEc7XB(=0Am!<4%+KkscfbU(se8#F3qT{(1skG4jN+GY)+bg+n~1euJNvc zQ6;F6%(4hP(!s|>H`6`hrifCn5*vhF2e>ffIT~IV8l|=jsHN>F+?WC9xwMEXUjM5F zQt%YnYQOjEFqj-BjCh6YyE6L38RFrjJY*4TBXj7&HZd@Yo#X%3=^ApuXDZ(s1Gj+a z>jjNXuKd(2@Y~n5LVlk4d6Bi@TOrn=q1HW~$BoD}*DF@84*q^E7z!7=OjY4bCx-UEeraKxOVQh{kkZ1& z1n0u6tKtOl`Bg_i%+Sv%t@u;2r7gZtiZ7ws9!*RB(Hj&e>pC1`#`Lv?eSc4~4Jsb0 zaIb`dmS51WSJbFQ)9U%RF40lWgkdQ-+f)X6x_xz;Lt$jviaXWb&h-j8)gVt&QDkq0 zmJjNS@S&nydCE(|UggiJ%QQx79^|;2)&g&!2lk!!%9TkKQf|;KyKdL*j^k8)hwf|2 zr-0#*cG#|yCk4Sg6R7y!J^1G9H7Y2u!1wu6TJ>kc={LOAnn zZ&a2ok^4fy(3rE}0p5zn4H*-OaV^>9aV}lYBVKzPx;#Fd8S-u>?LS}CvT6$-yzgTm zag$zk{FH0#U0EW?Z?RPwH(fuv+&}s4PW8Is%)WE`_b;P9toV@2;Wo!}MPc1O(Lz=x#U+<1dA|QQCa-+SNYJUK7D8 z(lA_$c+=-op6XfO=$~hpNQZ{T3el_4l~@*BhFHvs8mvD1H}?U$?B(ZFqc5kFc3v9!?xpuyskAtzOxvWp&zGT)mOZnv-KB@~plm!AclA|wd4PwP% za9g`Gy_voi{=BhwLV22{*g2DAFqRRL3*vBrzrdeyKJ|Q3F?IYF#P+GqYPo?2f9`vd z1DOKLK*g29_j4()Wo{}pGj7iP_NecPu}u;JH{x8Df30z^`Kxq$jcFD%`|ilzTlo~% zg;tav)#)K&#bJB71)dauFV<{Q_0!_Xa)erT3bF%+4)erKA(jwT0)t;UT5HK~v41cEi?grBa^!d-GE%ifiI#5PI!l-DHXAt%GPo;+F3ZNX?9S823Z z@W^Id$wp&GqbM_GX4_?!YFN_b zXTzLNozaAPe2yMHo*9H~8V+E!@k{!1%m9XY1+)LLfY=3i2RzL>{#uvdM*n{;Fou0^ z_#ZT-BF?@B!A)`9frxi(UE^+?Up*i8eR(X`HJZCZ(cGcaOkfg2`!RZf}q);WFwAx+0=R_Dw_mF5%{AJXGAu)@l{LtW@tEDw#y>QQ>Oaap2Z`^?cN4b&4G2L7rsvH z8B{)=$p_CEG0LD0ENp=`k@Tlp{(rQ21pPj9zVH)5cI#0DFvQR^41#}3cXreIZ2bZN&k^iS;I4xosp_TW>L>=urpG% zfd2fUO8NI+bn*d@C){88^r31!p-Tj?@c-F27Zd-N;g*lOl)wI$jP=R?kx@|UKgQrF z{Xa5NRQ;=XXjESupJQ3QRr)9?zZdcU0}uSlcMn$UX(?(@rvUxO$Oeo5opD#?&4jC+ z1-9-J9hW#tJ4=}I4xel*Zymm!;BsJW_r6JHNqGc{FlGg<+E-3@u7`4`gnAw!Lg)W) zFk0Yqlkh5A{h!$yr7-hcyV|2hZ11gF+n%D`Pd4nzWR7ibjP04cHJ9d#_BfOEPr}lU z9$m7wnov3v6dSsqO7-1FwicZG%*An4g>#-bt5m6kJ%O>o?+75i*yD)y@8iYg7=Iee zKd4i~(p%3g1eL9H!1g;J;rFRjoRT?NBej5Ak+x)NG}WNn(RI6`4A}yOaf?qT$ZmYl3#xYQLGYn&wQ?oX2r;i@8BBHI;i~d3Ik!@slZXZ zd=dEzM#Lwcri!uL+4R}6Fnj*J&^zMW==j33bSRszu$JhgRpvwNOQk@_X9DPo&+TD& z^P2zs>P3Hi>iuPW6(2e$xpnDSKU-&S?Q^KTu9-ga@et)nfdxp%*fK&G!AbBVwP$)t;ZelE6o z#Dq({MAPF#kZsehSRdRH9%vNDp>B)f_&!Ib(}s6AAas^3xinN`7M3id$b)0Oiz4{6 z1i#|jb^H;NuBa{i{jOHj8=*r|o$@6>NY80|G1*my6=R`sEuB`&tSKy+s*i3lo>HTL zL*TTxv}8Zb)9!P~XZNjNwT{-tbvZqbsz|g4hjGbfVqPz`so-7y0ef+N%|%E+Yj(a| z^!3}b7rW2DNw}C9MDZ+r{B3$6)x~Q5-Bis3VdlV**`YC5Xsv|jYzuZgSG#&wtG6&S zcj}-POhC@#K*3*PauQFOtfIfrjgyiRy7t+b6Pxq74 z*eF7jQ;-UnSix6JR;d=8f7pp&r+o>>d$&juu+P+EOelP=lJBIxE+4sBot6=lQJl-MXL|i3|V3E2xS@EUF2iHgs zoq>+pZ-rgr-8rvp$JhiTJkMa%OC(7M;cGR-ul24P+1p?1$_xNYM`NJlo0~)6Zb+a| ztGVk0?qJS8G2e>eXXR?h!XLs86+hYo1nj%4J#M7*h%or7mYKAJ(}Qj&q%btGF#CU5 z4;p~PyD|-?dfLs@b^2*O^lRt+>51cFCLSXT$pEq}{rSq$YOeOd0rzPoo_L4bNfptX?d|x*h%8 z+VA?n{7z)K`05&TxcKU21)uHBL4pzpf@8AD^-TooD2L1s#4(@!kv?d0aK}x15iY3v zs2~zA;}o-j^}D3QqyE*mgI!Az^8nW`rN(YLncw-T#U928N5R?@9cOCmv3tz^D6_dp(HNJX;p-3m=?KxT zrOBxT7*&53@+nFF{0?i?A5>2|RMMHq6d`(q0ZfrSx+qQJszEmj^oPZZ8?ftGh)VBp zSRGBwwP{~apQRv}=0GU@JD^Ma5QlE+Q+=u~3 zGFJ}p7hRZqQr-uRnF8Nb8{if0|Cx>~+LkIQ7hg$&xI|$YoG(Q6q59)}(2&`T$NGH| zLN#XzN&{NiDv|I;BvHb1qCp9GRrF;P?(s+2$OMD@sRT)hlO3bTK$gZ|1lN&AUyal| zXQdUfx?QKkx9#W;NDEo+>$8)IdLF`lSzXm6P3%3sj4=u*7Cq~KmP4!D5%-8r(9@1G z3^lMy6gpiEc9#;hJGd}rfEp@bV@=Y6QJPwDA#kd3{OiAhgV-C#EUUkM%fDjkkV7Gv ze9A-~wGf0o&DlXxsfBVnk`RtGjx$ygH5%a+aqwU&ZNS5kK+x1aVUI$=M6^7ZRUSMj zxW^;)usC%sTfh(PW>UO7CWQOR!2?k2nH+^0o7A4pXrx==itx9D2sT&SSK3<|!$GZe z@3Dj28talKN`wAc$2%wb@kXLs2}4z;Z$QZXforu*|F`>huw3^CAs0(0;Uz+NG6Bqc zZ6O@cEd%L~YduB&5ph`y@Awlang;NSjP3UL>#wGgou&fZy1s781!z+M#8wF5rPBhT zoxJGOfn#J2m1EN>iA~v`oPcNl`e18odN8VgL)SI%#$CYxiI&n3c(keTqRI;b za4pBKY>yeojMkYuqt^Hph)a1UVbv>4mH`DVRk=J@;S7ngKp%Z4xUbPe5hrKZKC{KJIg#6nBflqk2j zJ9A4!Wq}4oaD14HRDWHUED(-IG};zgBB~5D2o9nyE02iUf_vr*MwaNznZ7FrGqI$i zUMKM#|BK?Ij_+-H7~n5u_x1>HLZhP=GF^AlA8GJYbvk*K#(xfp3Pob{?2j-V|FG`v zKefqvHiwgVFih>R?JuD-F7CTUcZRS~fYO$$M|*y^W0hVzs<0+oJS+iv_SJc_#(vjw ziV}?#ulhtFGT3zksVdeUCeQaRHcfk2{H-S4B%sU?wRv|3Oskcg#61xt7qcbwX`5@w zt{=LUzlkYS%*L~vl5^|xY0NrT$|j=m-`#e7$6PlqG@@1jEy@LOK{-;coje;$m#y6m zD@Or;=~8SMyzs!0vK6#o72z0_@rDzBEI&r4zeX`_5k!yi(^d!4x<*zWzcTkrSQbab zHxJ$kz|&=1BZj=P*%ISrcVzB)=)oQUIuoj%>-QVh5wVn0>MEMUt&t=C?|atWR}5_d zhOH?HJQScI@}5(OS>mGy5X5$@$9g}_c`7g>F5#Wo#x-A@#D@iM#8DrvCZ`X59f=!1 zZY&MF6l6(+3ue>!#O<~jNZ!}9)EkC^aRYy!PGxwGka+<_X;%32a9IV`TyQq3QwC2) zRI1#tgoNZ6x;+!{L^nI8K95{%UE&?N0BN%TP*C=vtJB@AYv~3f^wlmz)ID2zj&TSx zN4t@NK07UMN=ddtDUg^ql-*FVkEigyr*!)Wr*h1<3N+=pXrY%FuNp(40L*G>Vs3k8 z@x%BeEG{kC>5ck^*%wqmNW@(XbvHfhh3a`n3QGQo&ouuwcWe22B9WU*$+hUD2oi9L z1GX;ErHa96&khN_0B5nDli$0wZ>Ho1v5K$d1$-xfs|SB~iPUIqGZ<#?yQZF>AjfVl z5*53WeycLG*H47=#WV&~r$ryoHlQ+WMF&chG(}C&v<3G_J82HuRvJyKie&C4qQz)w=c7*=mAHdoGXKi9U=p%1>Z=b3&B54h=D+y#?CIroym z4o$5a9ll_|@``Kk^?;~PuVoS$RBWFR9=P9uy91u^915pPamZb8YZ4!CTB7LL%sg-% zO4w5^`W~_Hak)c~K-mKTs%Qxmnh zI6zW_Oz7y3jgx(Dx%Qh#HU&jL9~db-aV@(|;!^WTf#EE_Aa9u9x^$*k#?#^kZR4cn zZR3BNE1)v(5|Rw8`X5sa80{FPPn&=ZH`@tb_PInOkgiDP)QJ2sSGl zEGh_-ofRzx{n1oZP`g@X)jX|kR!LH!Xqu|jp)vpykd1fYW=4zuXb;>Tk5e#r+587a z9YmFm#nvcl6SN7IP^Eea)_Nh8;k&nJ#N8)^tM@_xY35rxVSWzwUTXh2NgG6x*zj}zk|t(lTZfH#64u#R>qB= zi(i>&Ymb2Lrgv)bx~z_--PryRe$?ugul0@E5++D-*|=7Slh4l6xYP;gu4fIczq zZA?n`kPfG)U74RQ8Kt=p%7qjbTZg7mBJIRIq*AfSSlP7R65uO{noYdn1y20ZIpoed zB_vVP8v%CvW{vB>T&lKBNy=&N`ubHE>=;-{+fzL{pXXQ|s1j2tFAiJ*&)7F-EC#kr z8lTJd!udJ4CB1bq1J-&F9SI z8P8i3ovSe!UdH`0BCqBh3S;(&jTXQl{`-YuwzbGR@hJ;HVx8`{mHokjh)zt424Pu|IQq@_L>ICZotC6!b;=M~Q|L+x?JJ(&t%L4V zVY5M>p`i26%N_W`q%;9g`SQP{Z!a}jT?1IIEi*gqowZz2aj;GKE@iTxL1`p|HB$sa zUCL5Xfkn~sB(<>qr%<7xCnx|{C@QQ)|HZ}am+_2vqm%6jvL`Zy(nrMmwk=$JRI^cg z2cX5Adh==7(JqT@IY^=DmfsvzDl&Xi#62WJY}Kt~biP0q`RdY*W!0vyGBJ*4I}B44 zRDsxa^%!p|7Xp#rRJl@kU|r@4s}JUZzA;p1CB*M)7UvD|TSM5EmP)V0cT^keu51iw#$T4hV{TUK^Vx(<^k7@CPZWsJ|tN){vxnCjoH@3^Mh}n@2 zDBd}_%e1-w035v!?pPeJCk_Zw#VIWGHbQGsY7MH{k7H!LV9b7PtF#$3H9amr2aSs# zD&(Mw`X>WmB>;!yuqBePC_74H8GALVZ`j2D=9w zsUnbmNsSo${?X+jnXsHgx(%6P6=VlmTy!B)UY6nPD|@s7SixwoApXViwZf4~q1jWs zn0sMk9xyB}6&RDXA930NG1{4K7jYBUPe7KwC_i%Hy{R~MmS~w0mvU5j_ZBt>B~#+y z&8Ar*F{Qs^Qf-5zL`xc8R!zGpUf)MI!7)@kfi(YQ1hZC)OfIcn6^ddCE=#tI55L+F z$)!Tm9&p$d#T-Aj{ z_~Y@<;GY)fh-`5_i$1z*9fEv*1SNO zg5_j3%#%d~PUqUawQ})qp}Td`yR)_-S~OlDhxHmVBM>?v6u=@dzE9pGA6gIC@DFz8 z@C49SE8KjvrC_If=ESq}@FB^JP%{K+)RUnE=sYRiURLf^;Bdh^)b-fA%>4>{<-8-R zB)i#K2)ZI?nD(P0TkF9;m@k^8iuT%i#K-J*3vhk2<)-&C1{hs9MeHawl*%C+X^;|j zu2c~?cL*cp9(-qzdbg0NmrlzeB)pcx8cMBE=Kd#oh z3J7~4SBYk5R32Z1!zLdvt4q;yb&J}Cqkmgm3riM29uTB! z1#{JHgDNmRcV$U@RBj~j{tMYr_JFGvj$DViqX?iO8c zt9&o{M^Ibez$u3!@XTF%%RTU`{zOO7o`$sc7Rw?GR*jdNRl>$CSyR0Ha*8QF&JByF zw78kVf_)NxV;M~HH?%szlD>zJ_R{xMV|<|Or#M6<*R-DXFL+7K>gds4W%1Y+@hB{fETgD( z7tJ{n{$=K}n+wAnLI!pR<4s17vco_y9NPRM5Ga^yLhJQfZ)N|Oybap2JFAxEk zw|@m~Q=fOKvW6PSHsTb=PB>*(DC81NAs!*x$N7|~q+>R#ZVUHrg#_s`J?YM1hwpEO z537s^kqFwrF6C{CcOGDESvaK~S*7vOSv;2BLN-fi&{O3x=Gv){m>e;&`L4YB(QL-{ zw|Y)&^<%YRI`?q(yXX6{L6gUg=s-|~X_{}G|AUnO((Q!I`)mJM4%zFKf^OGk={b+4 zgJfN8LE0df1ck0GYMPF_+B)J0;l+(TGFE80LjWpGopa}T-Sh9$uOy%GET1i9iFV7k|l z$If=zIt8lRj>nax`%<%ag5&ip9#8@{Hc2pNX;!qfhjrTyWu zrVe`0ov(=>s!%bt$+w?gRAfSF^!k8BWNm?p{#9ujkt+x0jnboRgSzXOE?k^vikrRl z?M^NzMK7g-p_NSMCmpW*FuHMH3i0?&i&%C`Q|z@MfqhZ=AAwv`58X1QYWKjo4>r@+ z{ql7#b0SCN@LgTBhESrVaCi1VEUMW%gH)(CIn^rbf_Pi(uL%#*uurk3jm`KC>mCYV zYE|X*HlOc`C9qp=Sj%C_z}r^RVvE|qj74{?MwR>)C3IxTR>@`8M~gaqv0xVZ`<>LFI3EY`$kZRA z8pdwmw51eA9D#67mC9b0sJL|gvfA9n9ftQkjZ1VRG^ddR+t&tP{>vbO3fJ~=X2Ux^ zkK6rO(&>KEu5yL*aW^hU7USf0{FLsq$nJ=TZ!lv~pGs5Vf~&m{nr!4I3c#1t z#u0Mb@b~H%sVl)%!$n zC%9px=v-frBTsT&fP8t*Icm2CO>xY>k)mLm2->i2|2*V0!M3GaFlvu5D=FFkf~{5e zMr3qg#U>8I&l<(J{1|W=H?5|n`YL@5lCBxZjVY$1{D`TCv@h98|GA-D9Y(k$8w#NMOU^R&hdHo-rs2--ri{K z8gaCLkk1hMfg|5Nr>1v`0+?N>w2r%Cc1OOgpgZpbh&XcGIL8-Q6>l3yTTZLT^Wj2x zQ~CuB`V{QQuMg&gwNdfuJk{BOLa^8E@os&s)IXlo%k0vG736)Eba+X&TZMxF{pS~3 zlJ4wOr>$c?5L=(Kp$Qc)4-w3Jg6u7}Gp9@C)WEFYFvbACOzLH!fVOdhEyMVgi>6}3 zELGef^rSd`ZUs@KZ&Pc^gH0L8D>>|-y`s?XjsW^5O1e?WmMs^f}JE+p2`%K2a zZA@&rc_9S=E1K9Urkun^m6y%e?~um5c-$>I5|rL~Kn@i>h|SGhdX|jBnaX5tY~)Eo z&h2o(2h8&pVV^V^j$KGU^Zj#@f$kQ>rHqst|1yO3nz45DCZ)v<i=Wx>u}JBd%hjC{Kxn$sMjhCkZeJ zAWCc1PEfJk%P}C)GAb^`QDEgzK2zUBQi@Rs&0?lXw{R&DGHk~$7j5+FWTaRHWS23K zXrx52;E)1~Ofg1aiXGn}~ zc!qgDG6$D+aZ=oUl?0X8q{Zs`crvE1dHgdeO&M!K&hrPiFN#MQuJCZgMkFw^BY5AI zI+v1NzquEML#~z9NL4s})o&`8_DT-YZZa0s{*u}i!FK<1rX~rLY@S?Y?4XQi zjmM7CRqVEZ(z$Mr&G*I4_jAqng@&j3X4QpE85cD%aSI18_+N;~W*4`nlPu~VgMjnx z*}B7u1XT5g+g{KrytR~)@1zzRuQdd$44SDX|l-fE$NPN@uCxgK;`W z+8Up=_(||#{&3QiDUNQ=)FHaK`dOzvc%4*L{`>mdw^sjnzR9LoXakt4856EmySE_O zZen&5;)bMxNfAf!Xm7hGIdVNDKN7_#2#+Ype^BpE0#I!ohS+mUv@cVM@gifn; z{W+SnBnodoWT3upYSMW}^R?omxK(4y(n?SfDQ1H;i7&UO)X7VdlKLSd8cS;x4y5ttY25uT6VT*b2~X%)X>6s*hU^JYQ50J{rzALd zuq8{Lk76C=L_A%vyngLU9zYd(z8HEgkba&~`PEhQW`J-XNk0vL0~^Fys4~u&wJ;K( zf8Y>!Oy~8-5f3Z~Wq@U2!{vgrr4xE73JCn>->g8O(FqYJQf%)mAvh0xvE5S-mz4jb5551B3<)mB0Qt{7 z6<+UAKxk_cSRhBX$BrCM4k2kd}NH7^{j^4%wT=S3IeBXU9EDvW3My<6FW`cqBPnB@Ki5;pNE z^hK#TLzxh6lD2rt0p#|qH82NSJuPR#$!D_;0f#Kx&MWk}|(2M<{DPrY}rnJ0+s7y!j}k?kQ$MVDQl z3SgZy2KRaD5yZ#Q6|$Dx_h)9X!4o{}Onw8#sbgP&Saio=>=19%ijX^vumq5k4%(b> zXb01i?SzO1Ie(CUabGg6E+#SC1s=_(sZazqCy8>>1ay^Zr94~%U_0aQ@@B2DH#=he z1V8kgNi~w{`o>$L$qnM$T`G-WY7=jOIw;ciBRm!_2pyf{Je%zH-$&-sSycurrz(FH z6gw^@-LwXn!3(kKznZ#Xe3yaR;ZvCpO`B1DVuS!?@rhJj%M@x9-EeG16WTSx?lQM3 zj2~UHB^Rj0sd;>fRGi#&HAI>LPn-2fluY0Z{ST|bg-lfc`ICPOh_^@FrX{DhN zlMy7LqeRp4oKtFc(t4aPVizW5kr38HP0KkWk7w{9^d1sGU?q*EQY3fc^cpe0W(d|o z@YlHN(w@Xq)OvE36Fy^jpk};9aJ&tnsziIgrEr?@+mkibPBRB(q62H3DFJ^t98aronyc#93#-*bfkuoL zLc7cOnowE_{CwuTA#BfPo7Z(4VQViyRf;Yd1rBds3vUry+_Ti$@;2N8WT7ewg8YC$ zD`?_LVCGx&fPJp8lMb$}Pv)QKRvUIZp6gz!POC#j)`{6Q_~`<$U$Iee4YZAU9Dhy3 zCT=BaOJk0ma#WvDAoo`k34b$;Uo}`Fbn;Omj?R^2{OCE&;M6hUls!_t`=d+Scwn{C zjlrHdO7Qj`J@bBd@yaSG2+9y(Byl5b(K=mDJ1;=K8k>6OMzTL+@j1|G8a}S9Rb7AD zJvk^~VGgZT6dBa%AE8B;kt4~ri#1P~@W9S5D;{&gTZ!<2bs0M1C34iY{dI?7E@gz# z3bpBGCf10I2R>?IUNgWbBEwHVU|+WQL@Hdk(EU_c+up5kuXY_QPU>#sT9W(SOyagL z=}I?l7cla4?H3!ARo{@rzPhIZCKnLBx%jYOU*>1@iT_?6r0s zB$+5UXzRH&Hs1CPa44jB$BEod;ovJ3au>L(&ONo-c zcl=DVa#SLv>h$gO5NGKhF6eJ|frF33{cc-k5YnW# zDM6(Zx8zdY-Pr(1iCN5c*BxL-ljm_gt6k>-i_qww`q#NaD=juXiH92HoyLzh5f+Wk)U~ zbnsstD}a^@%j@0isKeP){Ll~2Quuo^g>BkrPHD^dF5VY^`QCL3Hjs+Y!k(BTBYF2c zNqoRgdPblQKD_Tw@`1Z>+s8Wd@BN{TmfaiL1w*C z{=^Wy>rP%zw6(hp^Z+F*{5dNj{^h%?xYW0+3teB7T<|xXg1*y(O{u^y zfcN|$AV1#r^I%~qymiQ2;=7HzAJkuW3J;Rm3hU?w?o^nUv!yfEy9?K5mD1j*))4-!(Nf0<#gZ;+gg@I;?N6QyiL_{F*HSd3&zyW0TJo=x9Z%-1ldC_AiD*qj3d-WR#K>~|;mhG`$ae|MW*C%Tg;<13@ zBwTn2ZmecsYd?pq09Z~dTLplLw;d&Ak&39tPJ6Zq&6auJ>Farh`wM?spVhy5M&N}J zU&DLUU<)5uPt?M}G=TUqoHJ(-Xr-zI70W8Rn@RckQbO&hZCyFsXryCT;|8-ch2TuM zku^ND=BFTI_#5n98aFwt8(QgSHd*y&00$l?;R~tK?Yhk4AikYK+zX1h%$@UL!Q)cT z<(Br1kcPz3X%Th`sqXPZYQ3!noKzrI2zfp`?*0r0+-Ojiv-r&>_+``nN|nm&h-=Z# z$1i3VlDa8?eJ;JXF@QT14AVEi4O~*k$gm&6-AJeK$fI@|XwA;6jG$Jr1^dQokHZHeHH32_#mtPtz-Y3qhj>zvBJ@KG`6x`IG(+qv*^ zKiy4u1g>8f7=n6g1bJmdD*ud>XB(<=a=LX%`<}r617WyYq(S99?5PjN4P^GD`G3a5 zKQ%ro-_~)!a$^a2TEs9HhdKl?c8!pa1Pn_bU&I$vOBPu4H6d20}#3o!l z_xaVIu8`b@#cqYN@o;`!wa!?mCDNQt$O0wzOa%`dk;+#0$|cEAC)|C%L}jqTXRkV$ z=UPP|(eutC9i87ll(_60U% zT1^bOTB&ximTd^O0tZR}=g3}I%+`#u&0R)JtiEFIoU$N3py-3+^U&Z!jt(q^6M6&J6xXoY^m0*20hY-&fDy7dq!S)gh-wdh4T-cmFn5oLS&w9gab#UbeG_4pEP*=Ca(GtiY+50M4yY_HQ}7P+@JLpf9_=J>PyY4OiLa5Rr{X zU7VW_zf=20VuoUQQfir0y=tJZm`BS;2}_nECw3y^VVtX#96K*UPLeB{m{j=zim2tc zv!0LNmyY~OMLNaXuM+I1v*0c-OSk2hh&Wt43;5;d-I45qp1$>RS2 z20{70z{qDsyVP@p@E#q<64j|hbsy;i&bjR(FQR!Z%Ot>qbS`(@HRxBq7Wn>{&P$|n zhg#q>5|(u3uA^h}>a&tvjr3hz-zIviv;s25hcH!a2y&{dX-GQj4ssfq;Ep4fg;C%f zAumih+#rMJU*@Ce9}R?}S1|BT?w@YY2Qkhqsh;V)T1gk2uRA`7>ymT-AnQBMA+XNv z`a~V9#_=qKrUX|j2hRudwtLZdKDbR97g%29jIB@TEL8Lyv(0@J8;F*??&xiyhI=%$}3WJEOg3EM7KGw%>aJbL!aYDF&My%ehN-kd7B$=h>(g^zh z)J3_a`h_X#=}mX7RVBL+)faohS;z5-`sVud5As4~m2(b(b#B+kycnb9id4#gM#vzy zQQ%j)_(&%%f?fNTxXGw zxv`b*PvT}*bnk1@kl&(xh%{tNAE9Uc50G^KBwgeIlFn-(f}1@sqWIyp5N{>_oJ8?+D3wl^wGba9|D5Q&Dsn6NXMc*Hmy(7Y zhc9$|^f{X2qr1nDfBxmDD&Z9rSCDjD_0i<#HOW8QI7=(}7{$*w$v?bSCcO`p~bgb^nHLT>l4kv_fJ6ZbO3il*}zo9lH z=TmGaig{iyu>|>NGm0NPm&yG+ zBqu@6A$B~`(im+BjHiP`Cn(LzTKI0hECBxN0Wc}E!x9~ z^M|NR-$DN2^(2QnormO^6hDLYBu$TTNEYSwBzaBIoy}Yv>WWrZD@E>ekRkb*MmS>G z>V?P)T+EBC>!LS=mpMpWyOQfu$<M87$umXw?|wG99DUNKLUJoYxX88d`YizEoZ}41Nv>Bp68!F+m3%T*joP$m7w-!(b*p`T zn_Oy^R`Oyk)Z7Z8K6bCGeO4xK?C-`GZL59op@^hp%0K6&G6ytz>=Wd}3sMOhP6)qH z>2}0&AEMUt&V2)|4j(jak9j6A|coqiSWbyj3O^DB0M0F-X*e-p*GI~TG&KSKmuiio)!wiERk?IV>0p~Kt zuty@O00q_5)n$J7-?WYAQaWIOEUI-`cjH$J%d+rwxsrKZmven8ImT%i0HO5><3G8S(+goj>vLZ**CGFg!KVP{8jQnt}7utP61ts<00{F_Z%mLd$UF) zO5Y&K0(Fd4|7L3?4?BJEl_xKqZNF&Ly2!Z_t){MzdkeIyIcYf$5KwzAvh6oWl`$ibB8@2XxNdo*?zDLg%&}N3o-3#GH)n zzI58k{h`z@gL^FZmGoEXL$)0w#2iQJ6-p(YmU>lUhAW&JvDvw?N=~JN94nGX9+{l zbF|&#=+{?M2{xR0z1At1uuDheb3Kjv@tq?(BF>%~(0~}{h>%;_zcp|E-TzATA-R)2 zLOxu@6xMU}pdd+s^n7g;m65`ePFC8FD7g%G&-~jXoH^ z+YvRiE1di5vDKJd5rfv-90lSTCo*Db|VOnTzE9GJyGpP5X_SpFiJnnF7+HC z2N2{Cf^0#Mg9*apZsyEA{9}m^WkoX)VKm( zJC*JRkeC=%Rr5)}MCE6?xW_2My#jBkjzNrV(kEW#I#&YAa!3gGX|&`Jkt0)Y5cDxx z-lArdILLK?7>>@*>$F;qqw6K+A)iypuF65w_M|hkgk1{J+>-08FlVVkCgd}fIQ9*` zx%G3u{4>k>2YQv|9%Cl=Y8wty^$Wsl0@o%DSr+19YIOEvYF!6Q`k-AdrSm)+>)gfC zTZl9BVaTw8N_`lIA>PuM`*J?IdY1J0C`A;H3zpZ^mh%xACaOUDOm(S~`s^J&p8PnQ*ov zZyeT9x~UW`WpCV^P4q_`e5I?@dCerf@rHlfLU&Pn(8oO9+9>&aj;z>_B4H(>`YciH zOSW?)e8UXabF}MTf?P_T=jX6DB&x>tA2~iDT-{Nh(i@wTrQarfRw0}n$Qx^QkbafZ zS%kf@G)c$q?xoIaC+UqhraOIfe+f+-CN6q@54+TJgiIp{kB)f+J(H+*BFKIOxkT%* z0i*Oc*`=N%WPgJ2NOKFq@uR=C{bYI@K_5=CcudV6;}PpV#D{&zqkb;0U5F1uHYJ>` z39?CoH1V^s!wF#;^)q*OI3oR;f#Eo0|L|CtXIBm+4G$v7W(48*c?02a{Jes2-bN6P zmdDQw%NVMjf{0xvmo4$85R9jK=cDnhMFO^ghjRoS{?y zMi;ZqXH_Do0FC-=Xb1^X$rsao!_hbIi0Tdp5t*GJ;2!PTSEnIz4fP8zNkhXCxlpl9 zfvC@~solBXjji;{k2EiV86j{rokJLQ-l*hzI_xiTu5p|zxgy~-8t(4w64{N);vBF}L+A|Ii$xQlSUqElT_w$z}211(BwmuyB*Pr74;Hw0F9o1i{sPwp5`B2^j7lh z#CB|*L-6wwai%NxhDa6~wLT(il7>qsSvbYmxZml_>+mbV^RH6YYKe2B^F6KvMbJ{0 zRF_Z>1iKX45xsi4UFtbP&LqeXl_1ZeuR^UA@5{Fr;e0)nCqzc+wrgtx>1}>~Ny_TK zA-n#mIHkuPgnBQe?sQxlkY5U?Sj{zDvLr!k{NZ2y@$8d&ZV^@N@TX<5>a4Pw{ zRykZ5B!pMEz4AnUouz;%{V12!Mef!SV#&ueG|sV7PTj*TLN{LCM^K?fw5>9m*R&s*F3E|mJx~{V#E3{cIq>F%a z=?%PvUE1|j%0Evas%werB#P%};o(F&q7T>6C2l3;4OCCRPnNz^NphX5(XZ|{KE%p2 zT!A}ejRf9{@>>okgyT(_L{-&a0XAUN8DsNYy2#CHX$g4()o$z@SmGQ)KKvoo_rJOR zytXMW5=0&%s_B%q5M#MFLU=IQ4k+wui$hzN0{f#6S9fJv$;!m}d&&(II3j1P+_NM4 z@Yhbd$UWr4*OLz~r#RezsQ&BkrS$ks?NT~FneEbA?M@NSV;S406!M~_U7z`urE|Kz zV30=(?-nj%yM>#q5dNUmJGXSamZ&NBtMq416~f-nw`;SaYb#@P(e^V_eQ#tPo@xQ` zfHM-lm$Ing42jRiA^gi_HW9on2nwST!P@u|vM1G@Z>xWRW72i4TIvxQpC~=&69V?w zEbaQ!QeKvQRixp3x|;!>n-VN_zWH}ipGN0jIRCVj;D)K6U{sY{=j_rq^eI0d<|0aK zQ}Ul#s%wdz^jJEj$GYrK>w5(v+$%tt=A|R@d1{5ft$n1@W6#u?+nlPBu4P(UaS>%& zO*Sr~{CH=u8X@<5Gj6i@Q3vXg`*}fFjgafpwyI%J?$JZY;qfRvhD5S3mV2&qC7Ni9 zyb;3hXoSeCM_#A-Fz2Iv?BosjD0-~RDwL_?^D(mPnGVAHdchFCHskTO3I!g)5wpZJH`)?eBi_P(^9 z8a#SlJIfAoKSB8I&R^2(0j~hWJ~?(oSwA7pt1CiT?k5vxOs+bY3{`Lyj7+^;>5*H5E$L`;nEF6|(%>h!bmU36~q&mcb!@^j2uh{!y* z=&YK{Epd>W@J+ND%I4LrHi=x1pXrEpecvwi93fw#)ljz* z&h-fbYeA)Z7CD>{uqU$tBWKp+=FG?q+hXjIJz1k@qt=V!(T7s{eu;WrN_QXRA-Uxcyet= zN!I0Bgi3S`#pqnWr8%eKjd&i_%rDuVlA;9v2XZw(!tSqV{@mW?uJb{;o}ZRgjWtPNs+)`YPgJSpF_5D zPWVPtx&A-eelJ1JBHKA{a87vG@d@F&j{1~d^*$6?G*%8@`MZg8i?nEIgYZV(bh|J7rtDU$k!5QK%+j0Iy<61HxnOw zmJPf}wZHz#?Gm}f>0HU>PQyxGtvKKTO7LmE{tRg3`~#{xPjH-dUwwLZ%q#bHbx##5 z*^J7<&vaF?wkr#fb4dErs4Va#v@DC)Iq4#nNz77_J4v73R&_p^W)FCWeC!er$vC3t z->8H9cx{kHV^!y`>1iOx#ur?Kx2@{@bSfQ-)k^s5##n7wmV3Webv{3Fw(<($^KM;A zB?pm)pLhC%Fq2~SFUqpi`Qu7eM#6)XH~yRS`I3?784*JmkObl^pt zwblF_x+CQD=m*XD}YJH>_*!cUWS2C3?AK;Q~Tj5=GLZjcZTQmWi85iDy0 z#B{FY(=M}BV!Kls4(lAkk%>oxgE#MT&LSUlI_uYn5>BJx#SW(u?ol7+I9Ku;YE$-d zI3kz2-h13Xs?_j}v{G(6D!~sy*>prdpJ|tRj*thb1Q8o{M4VUIrJf_ix2F*S8sZml znikI>nNbM`cYO33#7ZYpmA2Ppi4wBL!pW(kJ~_m=#B;POhn0|0AK)1zry0xkh{MtW z!M%w*X0q6a>}S@eSIDn3!sv|;slKJoJ7+2Zr;?45O(2d`enqr?t4?Dz_eLczpn9Q; z*J|H1w)6b+k6exlVMmpkbC!CaNi!ts^GnhYYej(5$TA9ph-9fZmDke^NzakT`d|&P0V9>SLS)q^8HQ1< zMm_3lXq}$l(XQ=Hz@IB)*wolHE$P!hbQxpepONqjm&Ypkio?<87Zi0%CeE-WTFQC; z8Eym${V6q4&K@&y;G`j(%@YDu*kjRJTr@nht}1?`Q4d#Ejdn@ex3p_Z1A z?~xCCd*fg~SMDMwl2`v%MVFp`4vnO)p=f!xv!W8@G4O#UD0ls;NV)ak1?(Qj@hDwCzVx>2n>m0E|RTrWlBbk(QK2`5tPV3Ki;-slP` z?ebKaEBe4Wx1!-}{)v!WpSagObTXVQFp@q^IRG!(zCpu0LAdvIwktuAx1?w>J|Qfb zi34Z-;sdpMm~jOKjwt=>@gjrRDkj4T;hp-k^u`W}k6BuYAFYoB3wgsCl1H5MFp6Wc zM1JOQYQ;wX8!uWQ$M`f>8YFYFg2v-x!Wtar42an^7H6i)Igdq^(AWtQh(zT_i;p7pNkh6WF|pYR!GUmaxYN9N56WI^s!PN zk~xk~)Awnp)^<3t_)1wnO`MHNWNm_EY?58)b--b&E)c(>tnJOjX2VN!*n<89EcCM;z3_5~9v<5MJ|-{TS_td`_oc z3a{tqnyZg|oh)?BOFw^>ID=1#W8-D$6!qbmrM<~NQ}@2Zf1pS@qCR-1xP-8O=H>ei zel#Jn^U|iD`EWO#3N%=>VsX3FbA)iT*jy!0$HL*+IUSTZS?~`^+4zLurC0SgXmB4# zBdd%JP?dbVte7)vCZl|~UaV>eqd45lwK-v+Mt!*dvm){F>k~ql?QnGe1oF=Zv+5mX zy_TN2;N3twBF^*dQqK|cAaP!EzH=^1@Xe}gS%SU2*#WOIA9D!2p-<@4hoy9QzJ&Dl zCLq6Q(ymV~Yu@f3-n%}z5BX~{BE830?hV~NueAhooVoE>Zbgg)K)^l5hObQ_aD6u( zILG?MkQ|=>j+l=(h;AL>GCzCFkH~V2h0D)*tVSy)58<)i%aqkbeD0(?2Kf_F*?I}! zHIX?H70PrX9F!^JKqnkg)-4@kBjU&Z8x0LQ7G&5E^gI?$hB8+;C@N!Gq-}nn3@EZP*sQeo%BHth!*7@6H z>G@>E4aA4b^pCo@$Izk`Um-tVLw^1xN$0n;I5s{(8s0?G|3QA{IcnaOeR-WgEUkDM z$$GsG3`(5KbYzEG0w`?PH?^~FHz2yMiKupWI3m{(&I{?O$E!#m)5WBVWDl28L|sdx zKi+}xFx9iP;%OP{XvHqnGhc%=e2(%l!WapPC&ie^+6G_K9G&`csyzc8^EuGSbGhHcH@-5OFavsUrhRS_fCM?Rjh5WoZ z)uxOnX?QTnIxItqoUwbb9T8&vC_n+x)!>GZAeG!ewr@&rQe-GepI10O{C0!LE`)=3 z5DXYK{6@w)LPp3xs~U-(BhEj_QpVw|^;hDYF;1MHx>^H^vd-7(OM^woM|9X$LSB_U zkc&9WP<`j;un(m60(BKsx?iP>pAdL=ZofNRMSBv8*v_}mGU{`Z<1F%lVY9(-ey1Hx zgGH*#sphUg<;8aWjYHTlg>XQKH42~`tW@3S%ic6A3LHIejTH(pU);?BhFt)L(X+O8CyL^ zsw`zD2=>c0w1{(Mhs=Kn#dFr}O`KOYwxiPbCt2qb4tDOcBkIGu<8Djk{sJS>bEIO= zXRkqzso{P!mzyz8RG*_h0CsJ)BT9cSWj4--zjL)oYqv4cbL6uT^^M<4{+U5uT{$b} z$mbQ5$2dprLHcCsBz-QVa$kbVf_HYEPBqtO5)pd?9WtZNcvspqh>)rC^JQcg@6*nn zznbjo&8ygZ){bZ?^O-t7|84NRsdj$!{Hj(EdXA8vQT^ii=PY~@)r!Q2pN(coJyB^j zbumA9t`{8{%c6^)@w@E+0Wo(NA&a5J=g21Pi}kLQ>q1#PwqpJ_3cv6G3t7c zvD_Ov_Ee7ZG7?}2KcdgGI5ia)#M-Jfiw7oa0hW-K0}JKxCeq2+IB>o?er3I0F9je z7=N&`sPs>l9!S@fJb78Ptt_&Ax-1g3g-!EpO?mZGAILFTZ9R~#cFjvZxeo^`i%M_U zl|@V0Gl?01aUMUAK@X&<@3GYLn%f2$^gyCl=BDlpM;7(}bHgWNGv
Utn=q#g*b z?YSvaF1QNsUGnpyv4ZP% zvXtZbI>O=Dc!>Pm+X}9GDWbkf@%&bb=e4qjNikM`Ogi665Z)vDBP9J<^yG+Z_eK;^ zmr^|UYo*-T${1f+`Ghp;#m-UdB((~NKU9^aNk8m!eNSMFt zA@3laFQ#Y5Pb07XoFEqwpD$2N`3^z0c25~ZDq5k$E8M1TJtWo*mXUA(<%CDGM}4t2 z?L;wl24(dfi1RmSebo8n4buhZ7@7P`lh@$Qp_;;bl=*jWD_JAkTrA3ZglZ+1`|V`c zlj%wNM+oN(iiDSt^uLmSt{^^ZlB{PCjxJtvWxA&(GV^S-)UFyoA4?hX@v}1aY+A!` zFxAS_$g6h~q_>r^%h1Z$Td2=ASQ#55HbyV678|UL&HckUlY25tl`ZQO@6&tpc& z@P_eN%~uK)5~;@GW0(!+en5`Q#6`|~QF(1?g7h45o=rGe6-+qXZ}~j& z`GoSxy`d}59Zn_BBw5_Ef)P0%{yufvt_%A+S-OgnWN}Z1cZ)ib_?$y^=X=EY1cLmN z_$)y<2T(tg*L5#Ped8sG&yfV-eLTKGIEN8uylHDkj19Kp4&w8Af_&GQ=sEJ)h;R*D`zf?kVRuX|EiShvQSO2u?^Y2 z4#gP1iTq`v;{8s4NiokEiF-T8ko0Ghtas6@QHGN2n!k`+GVw8@b|Sm7iIb%B9z?}? zerLkrmhlH9DIXP1?dW`3LxtDSeS(;56CCtnO+hm(e95S5>y5>XV#`K<(DAM%@1KPD>n;iaVE9HPQrd4?8CkRyB- z!eJkNhH%(+O)XB=btYQRQTmRA!|OM=EY>5cWr#D|#hL9c;=?#udQLj?iaYM@Wa=a; zoj!ElV4MdtQ1nj*NPHe7K3!J$`*#pTdfOB1J{oEAc=@TB($R|ft24hz(JyZdILD%n zrF<0ia(B$C?pX1h1xt$X-XITAyrE(XK9UwysQkUipGXTI6%FK0G#9 zgz$T;i_;t|?-*b_aE^SIrCQ1Fdmi&oFZ~gEE0Z~QY0)y%$E+7!_)J~P=-&epIXE*l z`mjs;^+9SGHzGd$e3#0YM-6+^ncJ^^d1JsimQO65LCctX!y*^w=$|f-LCYAuG?vF+ zpE+?XoGy8cYi_@K%Y(5)UV>BS=Y^5;iquOvZ!mVK!)2_xoK^RfMF$x&uV3t+9cb*p z&scfn@*WzM=u%?WS7%u~&&D`UrT#qQys?9Pwf z68gilKU{XJ*_eHCUp)93kYDS_6z7*JpsFFb!VES19=&^I!ojMO=vmy+FsLcx%K^sy zJ9?*~e09RXYNDo0eyliFwowa|5Z;lAl(_naQdqe)GVv8TNQ&!U%!XC<2j-{$uO4Na zCfJLy;kSWOn#^eDL^6%fhN?;A0|>seWp^5Xy<6`@&2aBr#c!|_poh_1sd_Xq`y~ZK z^I5fr4d+pXG!h?4IBV88uo-q>9u%Y9IGQymAYGv-H{kwXt*vnY6u%q3xH7&m7XgAl z%)CNp9lU4u?x&DO`%4nearN0O{Hc1O@t5Ax0~}%57xa$l6-yq_g;_7NdZyaZf2#-F zW9%S)P?r!pF+Z4R@C0QnzXPXhv<3Vh}Sit4f=CYBV6GPO`NZ)q4q5egY8r6RXKs2?%j&JB z=YXC&aK2dMf(loE)&G!frm3qU1kl^`zlQQMg#c&I(H;|UR8#5(LZS@@otRa zG=GzE`B>W*FzyYNL znq3q%O2yU9dKcW#^KE?n0mW%-Iw8YpY-(^IoaTv-kRwQXoJg8=(DWiK%cU2`bvd>TU_}XRX*A17Y;bX!}x>eFxGFP2M}Oj$QFO$ zN{0dUhzB|}xOjv(cN{QI1Bc$m{IFo|IKZAw^*@}OQ5UYZ*1r-8KkTP|0JMCXwuIhl zq6q8SfHU6A0C+)r9QcjVN8@eXbf)(9ZABS?FL1%T!NpZSHF`M7ep)TXxZkHg8VgZc zXw6p8uxQ5Pe;NnJ^hO+ka`S?FGlKzn6U}n;6X$AW27UI{aBt=Lw18a<7&+D!it ztC(47pzA6G)=f0C0uI3KjRUChr(3Gjkx|aK*xgU772u!WZ8Uv)qQxvqEKAVgT{Y0e zH&$Q?pqFSt0opug0~C;X2Q`UJCPK-trvKqQ(L4=sc=o2h(1|hzELbafy_`XryV(Zt zJ!cB|!aI0Ej`Kve0q4aDx3{?%aOgU3GdAwalMWNIgg z@q7k<;cvMVXK0ha=@&Jv-NjrgGD3bg(gCBFyOx3QRvUifh`;O#^2#{?n@z2e>ufyl zai6QgA+@)b9NxmN4s*u=y%KmQw%yrKTtMPyvMR*K8YDxWTC^k+Mkso;A5A%z_{ioiI z5R@R=43zmqu>{;7>R*(JtGSOC)9eh57ib0_HTlAPgnm=h7dXR8g#O*b>emMPf&?8J zjcDM$Q=yHIU}aQ4{^1NgqTRSs#cFTBJMliC-_XAX=i`b4 zFMPa?E?@%Z6Uhq)7QShRV!u^zSZfp)TG*&ifyujo;tyG6>_6)AvuvHs!w*7^({ zxH?7YaekZrHGED%QPgnUn>bg28+>q)Qo#cFAjTG;10T$d4^RU5gBKTsGI>1fgJX)Ou|?*w<|x>Kk@>hL-2lZ32Gb5A_m%8Ql?hEun?@5rfCn8LTX2Qe$?h~->x~*8 z=LQOXb88D^ZkYH?G#-Q2c%lOu^m7_-7!>{UMnC+9e&r~V$9cecuKtH}Ip2pqEudGb z)c6ZmOX+`29}C(v_o9!1g}-qMDhSZ+ z3fx^3gt5wtwD20--zo<{m#?9q!ryQ8zee|WD5Rk{Ub%uIKKp=vptv~kd0oL>QES{a z6rk$kO#c9ldv_WXWsct% zB}e^mg#*$sl5U_pk_N6lX_yEXI$)J4bZBZdpfgnzpr0zra0O)!`^OuhLq7Bz(f_*O zLfW=TTKTjCb9d0;m$k*Sk$H0`8D-C^ubs>>HrSwq~G5k)fYH8z!w>{iH*@wDM3Lq6GWq# z-hN~Dp|N-cbprfwT><4<56#w4oUv`2d@BeY@i#AL2Otl&yjy+0DA(VlBt$f=HkH| zLChSz%n=Mc8Eg0BdFB@F&#L+#&es*~P%nLng*T#dKg@l5yzu&A;y%H^wn5eyL`iIQ z;fFyFr1u`kg4M4^8?dGR;fz&U&6uoQrDfx{TXcSRe&Iqc{^oY2nDN&cF7zt7_M-s$IJ#ctNw3e=d#|`gdCzcqcuDpy~rAoM%M~O5M#Ft^RTT)O@Sy ze;@g*5UD^$ueFEod)iaJfnMg4dt(=chO<^E|7+~C(1QM%J)r7S-`#m4($SEopvcd@^HQV%QDniwlCNl%;~HzWf*78z1q;^^Y9Y#LBgcRn0Dvf zio`*0boBl9J>wjW**dt7Y@Tn)-n_(KN4AG{`m)IV59|wQx$`d2vmAvsWipr+ZH=;L z=CRO%K4T9di<^0bmRUq-N6vx!6ydPAIYwgZzn3c0J9s`4+7Fn_;5*d3Au@xuzN1R# z7=t$USyX8-uqHhRhCAmJBtJd=%YU$-pCh4w#DFLtvKLKjC% znGHQ(sblMjo@XMx{Gn%)ZSGk0Ojo5ux%Fy~P`32WPG>t^s258_7#%1 z-Fl>L^`f-)=p}#Dw;U^gj^3IItrrIvZ%XPyY2{`*Ivm>3)QA4MGn_OGMT?H{tZq4t zev^2Pv46{TLJ0kWnSF%D4#pE8>4tvFL+Nwl-3%SxyGIM>BL~F$4GI0sfOva(7p);j zpR{-R&PvPj4HSgO0{dAS*h@j1_Q&|rB7I_KC!{?Bd)?x&n=~r%gsk ztXRX_km(`K#A2YMNc9)?P=?E%7LC9izGp}w3jIB{}%Ms zjy@+SXTU(as_ALrfYi^hXL3s&IXvriZ+ZJs>K^D(_V8JB8~O6fgwm-E?e&gs+odHnlsswGmG1RA6llE#p~jaqSVZ0ZqlFe3 z@W3Hu^`fJn>3s4QG+%RBecHZfp1$rVyGs*2+R@K-QSx+@mCShODRRi29GSjfyN--~ z4#_tjBF*=3QObu5r1rwWGBH4&pu0L$vPS=&iy+1pjlK`8I>?(rUqJreI`9r&(?GNvkRAnzF18EcfAxu`*) zgLfr?!V?PKFW>kT`@+W4R-+d$=^;(ruao&a)mz{9St@gX8R;jrxn~RnKA^}XIbbt_ zW{(2xYK|iN5)KUyC1PMdG)ix2kO?VV*%E}1M$>*pCfIB6&$$79@fWeBq?XKDhTj_Y zk5Bde{i(;cs9OTi%yzWwezp{Tt z68zFSwy7b7im($pzl=%ey|-EFhFC~q;!lJ2e+l~SG2l!7&>y73Xt<;obm-CW;>JO6Si_#tpGSvN+U;mpnq%G z1wGL;twyfH5~zUafr_F%){XD@9vC=XH^dp|odony~Lry=GL z(881h9uDM?D6ReK-d~KS2OGZA?BR1X`I7qgS}x#=XS3uDbg=&ev=`4fym+SA3+Yqb z-qHO!vB3+PrO!`J%FsG=oA306S>l&h(cd`A*gk1lAqj^!bWwhVKlWM+O=;snK*!TF z0qxF?rpF7EkqNZ_B^>ZJ5K2h$#jOj5 zdy1?;4>Cc4mfi@d@i324WXP}K&$w)0g_!>2`;7@cbmn17%y50s(e*kg2+srdZ+v48 z{jn*YNi(a1q`p1L(z~k(8ZCIA%%#P=o6fMs37PK^+CY`VnWM~?TET;isTsY@Ld84S zQ@y@}-tk`S7ArGBfxgCLPn7grX8ifo{&eY_S0SB~X&z`Yrgyr@m~^WTW3HnMOk1+O z9c&%(<~*l8U1;Cz=xFjq*SE7+s2@w$JR2z+JXQl;8s-7kw_>8Cw9dzzOwKn#Gus|M z|5Zvee7!#vbW5ilE54Iq1_)VArWvcrnBJIE?4>Q~bsh^j45y9im2YdKa%3=^RSNYs zs&1FWd{n)SI#1fD&8uzHlJhMmr4Q^km(2J7(mJ{1d>N0!U)$;>m%~`dx6_+?KhKz| zSh=9|{5<$6$jv?WdsgxLJK&z9G-2Otzq_+LP@B*#JfIi9YDGBU`^s zY)b*J2%N{k#B6hqk>vD))w?p~R&=+JDr?m`4OWnb{HlNDVY4!L8htl5j3tr_v zzg96iKEGhE@;3f~+)+EnLl;>Hp~b5Q2i}?Mp@i^UVo!;U&^_ z=nO~i5OkWO_X)azqrVq)ZAYIHbX7bXmWt&750zM&)QS?^6RVi=3w*~M{_4HG+%M_a2RxD7CVGL z-$lsb!53W!kzeKTNK(iI;&!P0r z!53-ro!F8C?FDGoKRA5f(L=hl(1gCdoXdrLPO*$qT0_}9&~<3-GiVC}s`e2GG;7;G zKJV^Im0z29=naB~2mNY6XF08UEBA2p--ULLqYDLni=*mof2X4tb!kcSJM9-KOb(!P zopyoH?(XP(LHBg@$AS*t^8w%YIPE_PZTLnn6f{o$I-`q{&e%DM&0fj^^nB+_ZW8^e zqq;}*XO8YKwC9e1b|2wzfpgH5?QO}UF3qs2o+-P@gaP_CK`(Z+>^((Z`d*<8R5{RIlCm5-kzQIx&}sH$Dc#}dc7ihggf>r5h68JyK&d0i zftmu!>g_}s!-IZA(EsD;rvyFL(aDfrD~3OzW+RWf9h)dLlVyxVzB+lbf0Wx~7}Js7 z-`KxW8vG&`S$nlE7kdG!m!b?Atn1}V|CZ~kru&=Jjnwnl;dis3G23~opqw>M-2W-) zY)5sbCgyK05!!b+?N0>V!cpyyTY8JMmrTCs(0V3qbmK$zl#&NM&Cw4EN*f7n>I1as z1L#fNas%Dg(Q5={z6sj2n4q0!iUWF@*>vR_nzW|<8>~@(VIiQs*im6~UD{0pZ|?6L z)Vd05C9NQ64{_SFg~MMv`VU={)Nf(~Z z_JK6)?5O5~wuDe!4-_pyj%J{VUPODGjh#bFZ%s>Ynpb@+AZt7$ic2>x#tm` zJk-&%qz<)Sr08PvL>D%J?NwMrfdW35thoFm3o@@XsZOtDIJ2qt}N6`)89j+SF$)w4l(? z!qTp$cLtP}0Q3r>#oq_YF5u+wW=DS^DE@aitz}QrMr*&Opm>;}W#vTD;!^=7#zaXk zC{j(-oJbvXRY48xpqk^S4W*??s{(#=?Ezh2p~-i5M{~RhZJRwSvTCzuMGolA7dv{x zHy*Sk!-}p(*idtD-!k={Vs91?B3yWjngBY@p28ax-wJ4(>3Vt2%u&XZX(o~M?rbWH zPU^nw*sZOzx-l=TXD7$KpY_?|1Fe2!4Ty7=>vPDxq~VN7mfj6a$jDi($Wrnl)MKTiv@BvnDztG{^Inb}((o_|2aZTX@&h z8@P0)7b}kWUh6WJvN?}pUzUFHLzcSo4fHfm?*|2qv1GOc(2mud{wuAZDQm4mn-~H* zJ|3vOJhn?s9%(-Ws-EPf!2=a38%}z)1&@|M{nj&F4vZaA4qG{@zUVeDTQs!IBNsU| zeVCm3fJc&+c6|ES(VKCb4C!q)LE0K4S?8y#d+=lpwh2bk?x;;0YoE@OUN6=J>gvCk zN7fw-vG!@QrC00FESIm&F4xBa6En*`+0r}N^kFijmo{|+tEtTU;Q20dJ)n5SL74-h zow&!I++WEm6hfc$P~Bho9eat;7d*6_1OrzdPA(zzc@I4X_MH$yzc3*5cnSTChd$@; z-p#Ascc&W)=LjwDGKPfn-}$@bGf$vt`9wCuE6=Y>nH({o#J(({Um6gq?|yDr<#}|6 zbChSCH#BzTscD_4e8x#X>smSGeC$J}!$<-&?SkQ;)D$@Ae0G~XFH)K4*>h?ownHnS zGV6*QmY($uln!e=eq|-ZXPotOzx~d$cjkI%tV;ZrQI@`lcjkC#oCNfM{eJ0CPSDBF z-TYk|M4pT7$TMZZ7|?Brxhhc@!JlD~6{eZuWpqssif%ioF6 z+w6Cy;%x&$?~~Bk1418@P^=D8HPb$cx8xvFwSgi1L;LJ^#*0spQ1%(~?uqu4It@x$ z@cD>^mfRzkL#-czmi!i4(6A#`5j57c=n5Lv)xhCZLQ5>e<-3M(DEsg2{!2kwMG9YX zlIabLinXSRKI62j3mTRit5uWsQKzMENHpxYq8mtthm}60q415ed9@*=s?`W&GdXJn zDgU}Xl=t30oc1w6 z*K+hpL05P589`Te^aVjzaFioHiiRTxRGl2JAm|Gg1j_B`N&AeWs|)(1qic20s3)}c zt)5K&p5t$=RM8XK)1nEcFx^{v=_?YYAAy#3I#IL$)ReIwik^TP*bfcb(pwS}4|dT@ zr$JX_qP2t55W5rTuQFxyIndH8Bs$g6;xC9alvQ;7&;8>~&IaT2Gxih?{Q8>l-$gLXR?KClq@t zeKCZ9QWMaKyY0z$HZzn^YFfU7^${rV9NCEnPCUnXJYm7yV^|3xG&qLcM0^=K(3@03 zL*Li6G!S3OL7>fIEcH3$O>2`ahgyutj8Zt+DGo7-CT-|Iv&f5{q2no?Uge91PPKo0 z-rAKfzqYi8&*7|DxKTL73{tLafnR-%TkLk~6Ap7c4LTDME0Pup?e0!HU(mfAT~I}J z4r)KAy;NxTbhJ*ZJ2GSM`zc)x=ZS;`sD705QZRQQWk+(~PL&)r6LEqwhb3G><2G30OPp{@8QuY(!`%dROL(+S@ zr&nnYa9W)uWOTvH=h{0(lKk4w{_(kQH#UBqXAhtI3mWH~9U$mWoEASp@;%?t{RBPN zQFfpuZSdVk(E3h|I^Rg|k0oyhRP**$LupTUvZgW9aFt01bRR)~?&#Kn-t4HJiFUoC zn+xsjj?NTxk)wMEy1>!R1ij1AO$5Ei(TxPX&(S{@^m0eH5;RVB+eOgdIPE%u{@&5` z1%1%bH3f||^12#6&_jhbPG9R~-FvLEuiuo`%ZmJZ8u05-^4T+>`Sc8+>DK|pUj(|L zJ*hkQI=Z=_w>dgf&>I}xQBd~8!I$lGh9E{kQQP>kh8iS8z*L4sh7YY3qBDmG8DrtL?+Cj;f^)c1hjV(AH=N z=b$KS%1GfaB)#F;)0QhxMt4~+!WWdjEBHmr#i%i9!*}&FK{xX>s2oDRE5feR=JMqQ zvkm!FJ1TscbvdZi!yBrx1zNZJdXajhA$tbWIm;eC`y|I92elN!yLf@nhJ4Qxbbsfo z=yXRlZ%vY=44Nd19L7&4v6$93y~VniEY=mCENZN_a@>0W`U9zj__sjuY-JnOY_1}U zbzC}aRYK7cQxew_>O)&0Qlv6?g$Ok&Y_7Ub;f}5(p^aWo`|hxJ)P@;}9hIlR5!=r8 z@TvWL*imZlM33Gl>D|pa)NQT{g|^-rz1umc{SdRB+8Wi*T&_!}J~j=zPkl_YJqMiYGdTjqF9C~ekDa9zak?R?L0yyd1_|SS*k4^O~ z`05G7Q5LFwF>9|L!_dCE$FLVYJJ9p?Bav^tEC%Ztz5hk_Cav8eT@IjU+oLEF+}Qr{ zS(nbDE(d64*u$r`1ToH1`!{U+n}tKz>l#}~vQKE|cx+n6Q5IU~cX!(P!Xf;rS}y`s zD}F!caH(*JI#j3CGLDwu6yflC=c_s1($Oa0qERE0FWTJJmy}u^a}sGmBc15U+WL~B zXS#0XJgVlaoD1ijK}IkhfngM;P*8yUX2I)ogvIs_CODLF8X2jxkWRdgWP zdh+X)_N-t}+9jaG!slxClyCgvkIyHJf1<=jKc7A^Xxf^+BB9o%mbUbK(+RYswW+1` zT>94R{n6_26e}TGgQB~X7quI7ZNN_L2mQUH8wz@_qnitQo1?6xNDg=c;L8{)(Y6Mz z)RHFOB8Ts|mV83AtePZ?RKnWslUA;+nJ&_a)?WQ3v6@BK1vN>k%zE)W zHAxnk#j2^gXK%7ea0rT9#J6+J|vzB~>(j-}0=U&>gSf8rC%-LQ->T#LtsIE^9 zAD(JS*!HRqVZEw{zP?s?^ww=RITU?pHLJ)$b+WZlMJnUd+SAALOtrS3brP)k!Fu7& znY@waBy%$o`Uel?ywN<%GtL3U7s#@wl<NZ%XLjc&hrBNv5imN&gai`IX60q6?v+QBU;tr8p0G=z3CgKI&F* z9J-!-$x@N^zh^>d}l3u`9^!**5enwq@E%jp7I~*jKz9<`2Nac(|rl8EXK1eC8#rBK0qkLNFA&teA9_7R7+mz9D z)>#(WgKlR93wnc~GabEA&}|&OS5kqh=w^=ICFmxO-Yw|Hj@~Qi zhK}AZ==zR6DCl~QJ|yV6jy@vjI*vXn=u}4^7j#WWpAd8nNBzr3I?I=Z5u&pSFr&}SW8MbM`mT}{wGIJ$7*$_r+H}fMucWcU*##KKTB(HeEKuWk>}xlX~>j~ zUm7Uu)$Jdj_4OrpS_(_bpqXY5pVtc-z41+g#+>kNf^Oj)>gUVdEVRK_Ic((|dYMg) z*feD`s~qBV7nMVtZK1P9vz)_!NP5}NjC9n${$@w#3+*0`D&N_T>ReKIB72#Q+RHig zRb#tQ(0!ct5O)whrwWG;JKvMLD7qdeJ)9@#zD}DthW0Iv*6#sH ze>Jo*vvR)hjnh2pe0QLjIyDdu*I1(kkn_@@G0$61??n<@ti64m@V(agrX=ATlFW5X zpivg}l;w&>Xy0xR5p5^2UGK5g<*>cbUgxy+yw&-hD>;tyR<;$sH#pyV3C$GRMNXUN zW6kuYU0S~EXaBI6^v;kQ z>;=%bi_LX`qdN+EwWHezdZVLz3mSKvyiU+-omTG*iJMQ}DzyLVwA%?9_n|0iGpcaFYV(1#qYr$P6P-|Mum5)KbL`g%d*R+oCp))v~h>*Y@cy~6pvM$otcMmb=o zp{e$Y|3|B>0(8(-1by1kH3a392{^1HD4q;Z{1DkQ-0$edf^zOJw9^H>-BEh$++GJtMps z9+!o}cX#J|fuQ?1s(z#3kp2hwh95?KS9?1LjV)HrrdI+E;c39W&KwU-tFc8IP7w~w z#>2nqWRXMD$s&iQlSK~N_O*7hNF`c1)smNUJNaI3C)1xwtJ&)w1uTGVtlj0Er@HMg z=xEOg!jtEZ;}#ovirKTPyLkhRxuiP<-ONG=t>4bHNNDkTL92EN9x~7?g?1-LwI{3Z zMGPB8ZKJK7gT@w?jh^8CMyFM41%G%8B8QN= zN)qoMe08R6mZNoxsh(rCf@0pOKCh?yhaxt$xnkA`zhBBBWQ0+cEv7}e+)S#`m;95e#LD86hyHMmC=#K@B)>CcIu;N=;loD!XQRJ{J*9-dY zt-U39Ia>H=%tl5&f(0}3zR{~VSKbC z^g&lFg@rd9Em30!zpl#pER*J|&?X%E_UP?Z!E7gbHTp#ovv9Qm2vG9i0 zow`Q-ljvmFa&`M*v~?%Ei+4&Irnwwck}=A^Noeb%gD6e49Ang>`Vf3oA3{sCc1I0R z+K5e47UK)$5bcB3q43D6m&2gNB8LO^b#lNn1e$9& zNdqGYP%OQq#d8drt3aV;R11pLlC-P>eQ8>a?OCVAB1{ge`S<56G|@F2eN0fsg~XP< zDZidE8hGZo5VY-xD6$G$qwR<&cHg;OjY3PpuhDiyly*{g4YVB*MQ7TMh$4r!BcjNG zzHo}Q9@)Rq|Fj(uMLOSg>3qy&Qu-jIbCRPU7qsn&C^B2*(!s-*GQ+Ego~0#*B%AgX znYH~mMGkE@Sdl~94OZm9$}L)rRqV;OFJh4|D^*gG z%bxa~W4Wh4()pp$nT9%yGpDBW7t7u%+p(TgYdTqKR@2EM2b~#gJ7kJf>aAR~ z%B`I&Qo<*nJwvRANiQ}%SokN~uB{@o)^`^bkwY8f6gf9;njwNb5&%2s*kIkoyJ zEH_E-Ka!n~YX3&tS3gl?eA~W0$=hz$PNOZl6E?j0YDdL5R`V7!#Z8h;k|S+*nobto zsrxdAW7&*MlI)O~V(r2T_W8$7`vAhjE`vZR-6IXin@Ep+QEwzZ9P;k1!UOO8GjEwc_3xzgj?36>yo~pkgRu4X8 zaik>IGk(OH_w?~A=55rE5hsORB5A14?(J?|;B;1(mRj;LkFCD%nq3nmWzfbv(?x>5 z)%jj5Xrw{+wO{Qe&ppk__bNwohLPCfgn;3sLC=|q8xQOEE7o(It4v5ktQgKYRnVAI z)!PQ+9z@M?q@f;LFMQ(`!+#O^zRS{q5bGz(vP7Q?tnQDqjnNbQ3|+!A?# zq#@QdD&M#rF;5bN!-1ZLoQ(vH)066DT)#;%Pnm!&r;^Y#Ye@N4I=rJ!+tWj$}Z2zqh*A>2Td#Y+lNPVi%KI&iE`>K=sZDBcl7TB z{f47|FX+*ZeqPWoJ4%i+HUm4cwQ?(RSo+HCJS#BtLC4a+ucCJu9pzgeVc%wDoh2VL z*}6h0M%eXn_cg*HMy$gbcRy#T%QP_GMtbYBdru2(tSr-%#VWKuN!f1pCVZ*68C#5m zFB3Fqb2P)7F=m)Av@vp5pTevmW6SXyd}Ex|Cw%8RhXsNT9*x7{FsIG&8)%HP>ZAM| zGe8?7lpHgF(vI<&!MPcU!Lk^|f?$k9RM=?O01!Un^6O?N*U`tmmtrZBp;whST%b{ngrMg>PH?tXOxq zP|_P(QcqdEpRf1bs(o|3gw*RE{_1*voIWvHxr3d9=56TySbN^^oT{Y|er3HCg&9F& zt+yqrePPR~B*Usu>uL>?HhfhMQ9@dpuXS2YZ{#@rsql+jC~bJhwd7;&pqKt4Y?xl` zlG&DyCbP8rn#_tmjJBSI{d={vT+vq7tzxaQL-!Xte%su&T6>Wdyl(0$>s_5z$5|^o zt?oU<_d#vZ_F*HZ)f!uGtD}XJjyNSz9{49MaHV!>NQL2MO#l$ zYIT%__UP-m?x+tW<~QrsmFiiH_4FFgRa47>p)7)iXNw}0~uIH~7wObTU%E!Ntu`(#Y^)#^Q*ZQ=!uV_iE>S=rBiahEqZR>f9 zhrZc;MN3-GTjbDs-cm1g{d!n<&F(8&^70sUjMcjB`Wkv&Z$HyVYPwf$OGoRw?R52S z?BKXXMvL1xhx%?i<*)>mlj7&2_|dT&}ES?V}8thSsR#~TsWO5H2bN~qMgi7cV| z9*{UsK{cxF11#k=+iONuN;t=DF%hcq#;qn1s`2)})dZ$U zq0DuWswRcrrSMe~U+O~KG!vy=?i`5HjxveRa_>!qeri~{pm#ca$={9A*86Yb=AZ~Y zLGicbnljC}6>i*R@A6;`CX-MA^xrkHV>p8BM=GLMmv0GMi z9OszV&l~4d)zmZA_Q3Log2wLCO(nKC-F%v$ce;G@d=c{YSI##*v7m=KTHkk(e2L&7 z`^V}dJrz05cGh!$C8D{bcM}+T(=v`l-|PT+~k%-$2rEpQoXI9=4v*9;e6asnc<8bv}*H5Uf=c2wZKu@TC+|!`D1=cjhL^su@U)O3M zXfNZSC8u4Rhalf4rhqIatE&DIFoXpM5uBxv*^r%P-@dztH!T%1)wY(tN?N5}%NM~8LR%ktAPF%-XF}kg{soC?wp^bSmQXT+QeC8II|j?WzL#TggXJ2EXkfa4--8&D7JY8HrieX0bU_zp z&XAf=gkIN8$7Y=Srp`>$*Bz@On?6o8pAyiG*c=8KM1pOcI}&?-`#Fir22K&7dONX} zJJ*-f%iZ#9GM`!xU8$OmCZsp>jpLmG36&7&@Pykfq%bp;$-*}}NM09}j$3y9O3mZU z2o`eZ_I__|2sVhpAfC>Quue@#8wo7HrUGfu0m$vYg87gOnjk^sqGE%vrNsIpVpP+@ z;a4dG+qf$*aw=V|bK{uDFiZwx&m30@4Xaf?TImUx?6nsUSpx86^KDtJN^s4;KA_@e2R| F|NkYN4Qc=Y diff --git a/double_selector_8b/db/double_selector_8b.fit.qmsg b/double_selector_8b/db/double_selector_8b.fit.qmsg index 95fbb28..8947a74 100644 --- a/double_selector_8b/db/double_selector_8b.fit.qmsg +++ b/double_selector_8b/db/double_selector_8b.fit.qmsg @@ -1,5 +1,5 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:07:53 2022 " "Info: Processing started: Mon Mar 07 11:07:53 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:22:44 2022 " "Info: Processing started: Mon Mar 07 11:22:44 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b " "Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} { "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} { "Info" "IMPP_MPP_USER_DEVICE" "double_selector_8b EP2C8Q208C8 " "Info: Selected device EP2C8Q208C8 for design \"double_selector_8b\"" { } { } 0 0 "Selected device %2!s! for design \"%1!s!\"" 0 0 "" 0 -1} @@ -8,7 +8,6 @@ { "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 0 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208C8 " "Info: Device EP2C5Q208C8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208I8 " "Info: Device EP2C5Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C8Q208I8 " "Info: Device EP2C8Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} } { } 2 0 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Info: Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ 1 " "Info: Pin ~ASDO~ is reserved at location 1" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~ASDO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ 2 " "Info: Pin ~nCSO~ is reserved at location 2" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~nCSO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS54p/nCEO~ 108 " "Info: Pin ~LVDS54p/nCEO~ is reserved at location 108" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~LVDS54p/nCEO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~LVDS54p/nCEO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} } { } 0 0 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "" 0 -1} -{ "Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "26 26 " "Warning: No exact pin location assignment(s) for 26 pins of 26 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y0 " "Info: Pin Y0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y0 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 72 816 992 88 "Y0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y1 " "Info: Pin Y1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y1 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 128 816 992 144 "Y1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y2 " "Info: Pin Y2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y2 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 184 816 992 200 "Y2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y3 " "Info: Pin Y3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y3 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 240 816 992 256 "Y3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y4 " "Info: Pin Y4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y4 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 296 816 992 312 "Y4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y5 " "Info: Pin Y5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y5 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 352 816 992 368 "Y5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y6 " "Info: Pin Y6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y6 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 408 816 992 424 "Y6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y7 " "Info: Pin Y7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { Y7 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 464 816 992 480 "Y7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { Y7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b0 " "Info: Pin b0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b0 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 520 64 232 536 "b0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a0 " "Info: Pin a0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a0 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 272 64 232 288 "a0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "AY " "Info: Pin AY not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { AY } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 784 40 208 800 "AY" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { AY } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "BY " "Info: Pin BY not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { BY } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 808 40 208 824 "BY" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { BY } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a1 " "Info: Pin a1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a1 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 296 64 232 312 "a1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b1 " "Info: Pin b1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b1 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 544 64 232 560 "b1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a2 " "Info: Pin a2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a2 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 320 64 232 336 "a2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b2 " "Info: Pin b2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b2 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 568 64 232 584 "b2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a3 " "Info: Pin a3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a3 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 344 64 232 360 "a3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b3 " "Info: Pin b3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b3 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 592 64 232 608 "b3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a4 " "Info: Pin a4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a4 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 368 64 232 384 "a4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b4 " "Info: Pin b4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b4 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 616 64 232 632 "b4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a5 " "Info: Pin a5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a5 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 392 64 232 408 "a5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b5 " "Info: Pin b5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b5 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 640 64 232 656 "b5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a6 " "Info: Pin a6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a6 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 416 64 232 432 "a6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b6 " "Info: Pin b6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b6 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 664 64 232 680 "b6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "a7 " "Info: Pin a7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { a7 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 440 64 232 456 "a7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { a7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b7 " "Info: Pin b7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { b7 } } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 688 64 232 704 "b7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} } { } 0 0 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "" 0 -1} { "Info" "ITDC_FITTER_TIMING_ENGINE" "Classic " "Info: Fitter is using the Classic Timing Analyzer" { } { } 0 0 "Fitter is using the %1!s! Timing Analyzer" 0 0 "" 0 -1} { "Info" "ITAN_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." { } { } 0 0 "Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Info: Starting register packing" { } { } 0 0 "Starting register packing" 0 0 "" 0 -1} @@ -19,8 +18,6 @@ { "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 0 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "" 0 -1} { "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 0 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "" 0 -1} { "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Info: Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "Extra Info: No registers were packed into other blocks" { } { } 1 0 "No registers were packed into other blocks" 0 0 "" 0 -1} } { } 0 0 "Finished register packing" 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "26 unused 3.3V 18 8 0 " "Info: Number of I/O pins in group: 26 (unused VREF, 3.3V VCCIO, 18 input, 8 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "Info: I/O standards used: 3.3-V LVTTL." { } { } 0 0 "I/O standards used: %1!s!" 0 0 "" 0 -1} } { } 0 0 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "" 0 -1} } { } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "Info: I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Info: Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 2 30 " "Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 35 " "Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 1 34 " "Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 36 " "Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} } { } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1} } { } 0 0 "I/O bank details %1!s! I/O pin placement" 0 0 "" 0 -1} { "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Info: Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Info: Fitter placement preparation operations beginning" { } { } 0 0 "Fitter placement preparation operations beginning" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Info: Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} @@ -28,12 +25,11 @@ { "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Info: Fitter placement was successful" { } { } 0 0 "Fitter placement was successful" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Info: Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Info: Fitter routing operations beginning" { } { } 0 0 "Fitter routing operations beginning" 0 0 "" 0 -1} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Info: Average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y0 X10_Y9 " "Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y9" { } { } 0 0 "Peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "" 0 -1} } { } 0 0 "Average interconnect usage is %1!d!%% of the available device resources" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Info: Average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X23_Y0 X34_Y9 " "Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y9" { } { } 0 0 "Peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "" 0 -1} } { } 0 0 "Average interconnect usage is %1!d!%% of the available device resources" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Info: Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Info: Optimizations that may affect the design's routability were skipped" { } { } 0 0 "Optimizations that may affect the design's routability were skipped" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Info: Optimizations that may affect the design's timing were skipped" { } { } 0 0 "Optimizations that may affect the design's timing were skipped" 0 0 "" 0 -1} } { } 0 0 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "" 0 -1} { "Info" "IDAT_DAT_STARTED" "" "Info: Started post-fitting delay annotation" { } { } 0 0 "Started post-fitting delay annotation" 0 0 "" 0 -1} { "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "8 " "Warning: Found 8 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y0 0 " "Info: Pin \"Y0\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y1 0 " "Info: Pin \"Y1\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y2 0 " "Info: Pin \"Y2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y3 0 " "Info: Pin \"Y3\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y4 0 " "Info: Pin \"Y4\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y5 0 " "Info: Pin \"Y5\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y6 0 " "Info: Pin \"Y6\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y7 0 " "Info: Pin \"Y7\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} } { } 0 0 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "" 0 -1} { "Info" "IDAT_DAT_COMPLETED" "" "Info: Delay annotation completed successfully" { } { } 0 0 "Delay annotation completed successfully" 0 0 "" 0 -1} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 0 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "" 0 -1} { "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/projects/quartus/double_selector_8b/double_selector_8b.fit.smsg " "Info: Generated suppressed messages file D:/projects/quartus/double_selector_8b/double_selector_8b.fit.smsg" { } { } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "306 " "Info: Peak virtual memory: 306 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:07:55 2022 " "Info: Processing ended: Mon Mar 07 11:07:55 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Info: Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "307 " "Info: Peak virtual memory: 307 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:22:45 2022 " "Info: Processing ended: Mon Mar 07 11:22:45 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/double_selector_8b/db/double_selector_8b.map.bpm b/double_selector_8b/db/double_selector_8b.map.bpm index b638506224c60db5b31b68fddfe4415442772181..238bb68f5afb47fef7a8b60a1c79ada059f49b47 100644 GIT binary patch delta 408 zcmV;J0cZa21n>lqRx0)Z00000005%^00000003|T00000004La>{Q80#6S>@ilU+j zf)@`Wk$)9`Wqyn|zd}T0G*-o`vWb!bL2zp*s`KX6_PUt5uInAkvYx?z2b+Ojo~Wl4 zxZ|07b)WW_6VFfg>oCJISpUE~J06~#dc6%oRG*PM(5~SO7+K{gml1qtk zvaqkJQC?Ps9qP(YBCm)NfOYN-d^=8qJQ_!7IZC|Hw*x)S;v@>a3?kw(*6Bx4ySdio z8wGn`#-8|~_H2xy-vmQ0-nYPz(>f-EBAeetHKB!HLAfPOaKIb7n|jjV?D(-eD6CNj z1=kZZc2Jh6Vh08P2&QDgXPiR$&q5lt+?VOX`(f6*hNr~UGJeCW@hR*-OM3y6@d18g CEWIQE delta 407 zcmV;I0cig41n&fpRw?xX00000005%^00000003_S00000004La>{Q80#6S>@D=La0 zc=05WfE9n4p1t`MA|j))Dpr+Elne-hTSHNuH?OwW#ng3O?^u@g4E{UV4D|9uJ*~nW z&)lo~w8xxye!Aa)8CJmh2j1E7@Z{9%Z4#pTjNE~C4QIf}8b`T|;5#En3Fm;;6IJ19}Jda#mqSh%&i5fDysIf#GS28aE z!l;r-wu$FRcHF^6Bbk4eIZ|&z97kpYpowxsS&mZDfgqxqx!>nHyM#i+BRk9WMHG~U zeN~O}vMTIQSAG(CMU()nb8q0=aT?^&I7-V=;)T8)=y4V&QRrn55tp$}KZ@GTwJzT% z*fU@D#0Rx!V+{Qk7;^DG4~Cr9F(DM${3faiE&dA1Eop)S-q79DlLlwUkKI9GjXEf} zo|v(NvP=~_DELP(B?~^|6v}@V(x~OWOc&k{v)(m4C9an78(xi1VgFg$3jmYy0e*_w ByK(>k diff --git a/double_selector_8b/db/double_selector_8b.map.cdb b/double_selector_8b/db/double_selector_8b.map.cdb index 476ae6b8740cf38f46934089a37f311aaf4f87f9..145b8488af979196acd5e738589c6d16b7fb6652 100644 GIT binary patch delta 933 zcmV;W16us*3hxS#R)6gS00000006uK00000003_V00000004La>{`oi)i4ls3+25) z2oMM)RMu?ZqPzB=<#YIr{s0RWELc%CfGQ+F;?)N==Qy5Z@^Ip)xq=dp^l8%kfDE8v@rr4J+Zv3BipxDo_4q=#qM z&1ETto-RMpo-Ka_JJPa!&T{9T;JE9x@~YoMD`W)`H<7-yEU~;H@Y+ZMcIe@E+BFJB zPBukD>AmhQLVp7svf|Vv8l+K5PU@z}W@*sgESLt4z4A~NOv6>-0QrJx;EXIxESLsP ztRf4hAxDFs?sGJF8gew`Xeb9ozB=V-$kC9aL0%G&vs2`mBF_|cr^wN8{-S}aN~%*2 zs`a{64eMKdG6oB1?v=9K{-)sS)4r;&?J11|j3gFQPk)hAoY>;B%VubxCu5yrAv9bP zwvo4^fmN9XPf(^I(@?KW=V-{$@Q*~pkvp#56S&972~#INsBNU~`@lOOU#q$PTdiBR zLXbaVhWY&>a;(oc_U2gDmKbjDphR+8)!xAY(r!D=dF~zQ8NBU$u)dEx=2U=GSq!%s z=k>gsoqz8SugrWEoKzU|Ic#c57S&-tWBA30UEuaDQyBc1?IJZtR3b$7PRpTIuaky4 zdVu1&HF-+C^cgeY(D7PiG}V5bKZSVT8}@XMg5X#Zrz>)ph=MKhmx!T`%0BIP_oz zsMOZTFo4wW6T>#oG4f44&Kni;`r{|uyBZZMGNJVF8A0~2^nW4WmRxFf%w3!@X&Hz) z4I7H$jB7B^f0B7VJ1_VJn(x(>oH(=P{{XK_%F#B3Yhsp$d)eae5MLbptz6MZ)ne?m zx_=BjvH!QF!eKDAtQ^DPQvUh%;ZQ~!mKZECQO8o2UvKF6hnJuNzxfx~PxH7wduVR) z;pyw4dx_z;6L9nou~r--VVbctIOHE=RdBKEO1*auhZru^Km4*ktA95Vc!AqC)jzDC z&FUX^L9KAd{H*-pNA_9$yFIgipJw*&-WkmPeGLA|Ga2e1>`#J=J-3GnLu?F}5`TZQ H;{%5QDhbhU delta 928 zcmV;R17G~_3hD}wR)6RN00000006uK00000003$Q00000004La>{`oi)i4lsFO>HJ zp@KjlA+csdsmj)Wme1ig`U5OjuwX^m0IHAxiB})goa1DYxw&!TsJWsgGt$JbtyS=^h;yI1pfgYk|3cZ$L>j^NwalStN7D55vd`dqKw5R&D>)}czXdyi; z-E>!_7WsVnh5qdMv$7*S+hx{=@DxX)<*YxdUPuZeZbQGeEU|t`;I$JKLrZ`}fqllv zx@ai9*TY3nX}B&NAe}J{T#?`bh2o$Xs~FIhXkY?6t+^>O3@4oi-wCA4ct{ygSt1{>{~Uk zuH7f+uz?m{E6eS73hqAbtMfRzD{s^Sy81lu9>_Opt^eNSJ$oU@A2Y%F zeiOiA?MScSZRacN`$$txRggMK;nw54UU$v; z?(j0^>wn;6hB0qp(@-+20s95hFJ|llm+z_7zU|p^JOg&A{jOxuG(CE|MOxH>441-xb_FDHuYEI(%1-_2Z~R1?fMh!XqyQ> zSJ@xoCvFDOQI(&1{X;Xv$76XI;M4pxK2K zAocS^zs(DbeA~?PPQ|=_`Khj5&5AXd$aL_TKz6Y7e<9zMTxxdQJ(Q?gdSXt)`l8ry zOAK@ujOVlSf?uHdTwTjaa2xRt@Vcg)ZF9ILW^TAwE&dMi#=+mp6@AQl%)MUMfhUgt zwtv((Os1ZVLpYo&-oGv!>S)6ggDocJv6U6~8&>?oLr{U={0qELMO>FXboY4ijP1xr zN#Pb5IQoZJD~_R9W;_k{`NvolTh0FC1zwA%y->nQ@;I_~85Bq16`iHNe zUbtg=R{roK`=tJDP3+(2iT%4jv45W`{}kk&^oa{7#a#-87I)dgqD70#0tJd&@x_a~7bsqQS=`;BxVyi; z|9d|3lH9qOoO914ljP21LWqKbQih9)V)wU-|Ltp;IXYR{+kphRctBDvRyL*}@M}I0 z&s%O@er_-ik040h%+b{fY6em4T2f#l*4)Y(M z1pLRlqwc3acLu&o?(Gee20983tpeZTHPF?S_Q&o6&vjnR)&L^oM-fpZ67to+mo|zz z;OF~65(MswBn||Li}mQP($9?16m?uz+nGe((p_zu&8`PBGJZ4I_}viVBzX7a<~Hbj zGX8lz7*4b5rCE>o$8r- zclGXYo`t&K{{v|-bQY~2JFy;w#m;Wj>k~5HJ|Rd@tOBrKo7wQUG{Hd6= zKS+Ql{172G>ZHJ;Q(db0{;4QQ?i419KS)te-!0aSPjSW?*pHlRIi61!=A5o#d$-bZ zuQ1>~IVqFhKlGl_NOGxPXJI3^v@i}gA+S5Z!)T~!v3#h&hx}4mB;lk-p zuV1#YqKfGTmQp$*@U6|}`GZ~9ZnX5i-waw6mI+}OO^7Iw7wA&rzX#w1G}}tR01uRp zEv1K$pLV974pxF%0o}8H;tkq6Cv(YVaBOiE^X4wGka>v(%(b^f^?r+a_)5W}$~Msd zmI)D6IrLjEp~;Ma_ier55gy@pyp+pDvTh7GIYEAR@0)T?6wyH4m(mNd`Rty7iV|L+t-M0t#9w&j75y;KXKVUgZ1G1D!mI+i0>$$A8(Q^i8b zm*q#BCD-$=xo|q2e(i5jD9x)A4#<4E-*T_v;pS=^Xx`t1@?k1Zp6MKsE5_ost1$28Pz5llFqH4&7IjHsk=oUbL6Wl zXW{z1r!*XUZ=)inZt3y{jal=>L2^Z`#QmO(0PpOGc$S=A%c8k-p}zRXukh2w9nw&m z9164dEtc;kltNhPN1+qhN>)T4o`*c=m1Xpd(2HjcmsNjeBBez94>9L|5lQ|haWI<| zm6tC68_E99&SBw?+OhJ$AnZKO-z04!c5Y9kmn5Rzt%Qlr7KVyvJ1%^KoPz^u?Sh>` z%p_j-D`Yy#StK4&I(~VW1;OvC()pe}|8bf4dKF~;;$^aXw)>GxzoX-_#?$yC;$YW<+81MP~eUWQ+Rcp_na#7k=*qzWOUwl*7d>4 zd2!lN0(lc0gGAUiBYuXldflri%@!P+AjQHd)GtFz;nl;hy5kqqDb-!0i`9l4is7d_ zduRW*IR$-v4{1~WpDoi#iXF+Z8xVK!d)>{s(}BU87CZAQms=vOAZAFZy#6D;>i*}( z-{UtoEPS3Udp`0f&eFQI_@B35eG*Hb`9*a3lK7z4?H-!T# z%eI$Ff78kutDQ>kQQJ3D9PVo6{Y0Wi-4vkl(U6fT;~cnP6UUvzFmM^9{T*BWgyQw% z%bzy%)V8+m^a(u-@uA)^g%#zHU*KdzT^3VKRfh+Pk${-w-S_5>>5GA;beXy{n)2jh zWs(|s;%b{|HbVRMmPH0fw?yZ`&Cbd$ltRrnOV?SSzAXySV0&q`WRsfGQHDyjqGn4` z!W5_O2*Ib(V8_S^*h}n3Jfjy9R`3$lXtvTRP%&^%60rJE#v@C^x<|~fFU)}pAP*E_ z!kuNp@ld4z;|3Gzd+NJsd4*7;$Q>i;z^A-`orIWZG~T8sur9&{d@8Csj1QVY2{#m2 zYd!Wx!J=cHjDK4E$iQ&*%-HQ{`yzQmVPOlWxQr{KNF>ko z+y~%+f}Q>&;lx@yD68fvAVLJS%V1C0AC4y7*fge)hI6{Jxj3sKmOSdZtZW?6kcTgH zM%{l_ba|;{=Rv}t?)4|L+4r|lj28PKvWi#H2*_CvCr(`F2P?J6HCm*fQkZHrw+g5$z zV5sOryab=-M>KOX2%CPZS78&9nXS?I*&gwpzpw+7JSy$7P@{JM>9Vg|6m_Lh4y}0a zGSGpU;0C`lX3xr_#ol<>o&;0(4QUw5YLggRlTd%jZ)pph;|LkSp=Jd26KC|P#MY8xoqe=&>+p@0+rq_CKx2Jmde-Vfps&CZ~$8z z&?D$0{_$+%U{*{cTLR77WDGz8hztB4qKd){Hb35U8&ZiXW?lcl6w zjtv%oAaRJdP`9R$Fs3_|9BA!Q*?xKVrKe=->1UR^2Vie6bz<`^u z2$-f^9Re}!2j9DRp^KOR$U6|L)d~Hn<5tI7~GHu zL$G6g#KPZmf<$Xp1C;C6154hR-Tsu~>^L`yM3*9(sXkNJ6~7&Z z{bo={M;we~4xNYvAKNhRU#0v!o6U@7*}+{w*ER$(P$CiC61k{HlngwOu;2GC=zsc& z;fnM;NphdK!hgdsl;ZQxCqotzLl!oP8`yt8$KQMpx_%9WYxhaDGBNlvFhG)G+AunN z=O<-5pVht zBmiAD2If9QpG4(+5T-{M$A$*rrZPeY9Q(|q&NZ1MmmIryq}waD)qG7M*G$)!j=@mk z+OivQJK18*f917tmyPl1E}_y$?8iQuNT^HoRJfTbE|J)K9ewSVYn^Ck$oVPD2>I86 zNyk^%LUg^>Z8mcS9dW;Ks2-KvvfAi&8}%qoKL8pqu{pWUogE1}pt;s!mfN<*h~s+^a$H6e@wdw1$r^%TK5fh`U7Qt1(uscxFa>b}rXALp%x8c)6R-jmHAgCAR^7|Y2*OJvrpLFmgq z%5ruT7xz*Wj;Rp~Tnuiz#C%teC`7ldTEauKY$Q)R#YH8fI35UDyc#57KjO%ALlxiw zly`Sh|9BI?vGH6qJ68n5RGA|m(7knTqGA$!aJ?z}*eB?0S0LoE*`~QYCjin3Z5p19 z+H5JrJs7x9s*L~TC~NJi;N8H%yd|#^dvo?1``rKxw7R4fw#-a&lr+icH!|1FcPn>| zs;!jnGvga?**{v%+54*w&h{vvI(kC?#oq>rB}+#e8<@tzfNN@+6`|3Opa-dyY`lE` zhe?~Ay*McbQ+3!R#*!?QY4*E0WuGhMge18EH)_WtDq-JG*ZLLWe&<$EMB%xb?t%i? zu{t7+o}u8`ssJ%Vv_`qCukS@Cu^>BrQW%0W98oK?q1S!_?stDJNUf^#QsC}WJ3dIW zq5a|%dms#Z!0OWgmSL0phCz1LHJRJU96?fH1#V)YbAfys*XO<_nVshjuMEqYWU^8G zDM|FCn+%C-yL%fF9BPer!Yow)?H6p?-+Z|C@nTlyh5mR7t1}Lfgu2k5Jde4~l`qAj zO9#FUQ45m54oERpMHHCQrJ8PpXJ>HtN&PFKnTAIga4~2j5D8prCA0`{+>nMrBlf+U zs0f(0TzOKAZ)^-L%4s!{ULXRioazco8;ZX8#1wg*z(No7CzUc|5gZxGvcL&O5d z%QHpLpRjvET?D5@u4tnnh0{B9UN?W|2OnagV*iLV z)UIY_(dzH7%{DjyH!MU&OyU%NJCVO(25$?v{oy`5=12G;U7us9(CH@5UjPL4<))Ab zA%HGn*M2;H_4ml^@4l3wsWNNkG48nER_>_5j#T$_@`3~*v)0T=sbW7wjcho*>ix$k zj*_<|nv|i%(K388*%a@xujhU?M^F2ad_Hpdn{*alcuO-bk%fwW!hm#H4zFlZwgykk z$Bc^~H*#Cf<+dd|7bm0_c$`}hbmCYIbg3h&QO%lV^&4B^Nlv0zip3YtIO3nP^@QCvss z9E4t6*cp@6)SLx7TS~MT-En>SSy`h?##Hro&Wj^*VS=&Ze#db3nv$HC_C1xyp}*@f z;8y}Hm*cgOA0qMj!oz88KPB^vpq9gEZR(q5;y~_uf|-W{`FdmShoI@i!Ij#+1;+}I z{e2OV-$Q7DAbP?=W=)sqlG^?%a%^cxqxCy)%d2}UgtAGIbbwts#9;nsZdPl9hk}bO zR2m93^!A2&+ZsaC?U#;-VQu};1YRWJTQ5T^f7>Z^cVth;ZRS8oh7i~fZPLhR*GDhK ziYD>(DNP)cFp>c(ywLA!(T(+XX8joAJ1WpKOQ7>n`-=snJ4LSK;2LA!JH`jYy3RLY zm|Nv2_`F{yN09vN#thuLa!xX}Z4!Q?%rFSOk}dUUljvJ@W=V8py^mdN?!tpBa2jaH z)2l(IMMey!#d&5b-CfR7dR}=ypt(dw4u+><-C`xa;it66NOL<0(C#FgABXWge@f+) znUr{HnB20{iMCg$aivGsT3D}p?Ro8Scs9wD2kRl3(m*`5*lrP8sf38$P_N#M9{3I< zLWYDr?elP@&NGlf8~qjEDmr@3+tjgq7NMLu@jM=lFoofq%INSr@4~fKN8wwJ0sB~` zMX~W79*+UHd!$as8essd_<;GT(6WGMbe2xIIG&KvoOm3>*=#~{r#1NGr!9w2hiS6S z*iF^g{rd|oy$T^O=L3aaF)06gqD(7x5Rmk5^1{1WQj@HkaFvZtk7$-si7(o|b%i zbH>gI71bz)Xp1-A^Q0)8Agpi_TRkU+`c|RPS5IEJ${^np8T>9UqLh&_jJ078`Gk>`uq@QJtb^_ zRu#g2WO5+CoR2+w$2_gHbH01(;^=&XDtMVH=b;2=It#F9s>yo_vI-z{I%7=|Pd&eqeWVx}nphNrDBY^z)yZ2bj+fgUycc7|QE48rMiNQ6T>G zoUh!H;;-YQ>`h>9T-mmsdBhmaio7k$=dX@vw7bQyoda5nr@h`m)<}6+pDT?~UgQ$B z0k*g&YwAGn|2= z#g%W-bA4A8xTSBklyAv$kB)OsR6X`+lwa@%mtl!mHAh>lX6}<++0%_Z?J4*Y?JRqa z_0oICF)xOlb7s6byUZ<#=@BPnn+u?excI^B4IFdu zs`4RPjwBU7+rJ^3Q3s+wCrBo2*Xxcx?(!H`-aHfg5#}WIuG=G1wcCU6uR&D0Ju3d% zky^J$(#LL(HkIxMtuITO%eFy7! zyfE2^)cCg8(hM%Fogh^LUu`#m`qz{F+{yy>hw=j&XCOQ>Rs9(t%zox-*QuzYYVQ%+ zeP)1Iuvzg8)x5FTl*v=qWBcmo0~=xNOAH`0ufxFWrE0-L6L^GJ#<~!uSa?ItT~;08 zZP>ajW=0bksS9;{UIxy(0B>=G;oukA86!;XtBcEuqnCgK!`CoZS}+wy9SAcjEFrJG z#T*b2y2>I>;-M${E?Z6&8Ju39N=CHt9Np6nM}GG~8D zQAsDYy{%&PGXNg=P|bQt{k=$Q$;RIO{zN~`d8nCi??rTZYudd9pU4(t$A*Bmu+dEv z?MDu;>t?klkqxmQL=z=(IqTF<@$*NBs%8Dk=1bvw`~kKW~aGN$I*N22l?<*TpXj$9hB6t2ncz?&;-p*Q5RozY1rD#*9EA(R4d3I>R zwV+>2rY0`uN2qvk>fF6Xb&YBG69&MuZ-Pnb&Vr47r=*ldsV?3$F1^8q(2Bd>6a*D>5gd-Z_F!IKwvXQ^ z9YNF|1?zE`vh-a+Xu-=3KGS{EC)0_uM~H@yU5-Z{_{QG($68oHYccZAMB3kUhCK5a zdS0?z)i>G~ogr>?r&;ts5-nP}-?Lsv8;V77=GP!t=fAUApKR8f^qO*iz?m3fJ2BmHO+3Bp=P??84~=MoD9CbTnyphMDiXuBPD;y7@vhUtMQI>Urk zNlzHtsQ>!KvH%m4qVn-I>p=%s)hAd&RK=T?lKc;$!*s1(*q5tm_37Lxh5l8gB_otY zvbGgtOSNpc`g;O@f=||C3Y?>YIgoH{efFvo&xtL*a_Y}bCr0ZS++O0n`iB~c^?3C~ z@!tG{RLc+IIMuX)O65iCF(Id0Uzahp${G#~qSJr%**}P7bJW_aPTw_p8l50AgPWN} zttv{_4Ib%cx~83m9owkMPJSu=g5U%@OR5KpdDSl9S z263BKr_1EFYjPc*O4qyo0)jbLbe-zGkAG$cpEG-PkVM0U=)&)NqXvWS#qQmaFLFeQrm7nsKu^103qJ%2N5(`c}{g!~oy?YCDi1Q5aZX&2rM(1s}6nb_7I|DSQmREGCo@?!(>&}bvk4>%Y_ zf)oj&M0_=d{>);3gW$k9^={?QUN0&z zw1vBMdVnZ8nGe6>zN6DvK6+e_Jl*&zvJ%Fcs*S5`&d*CE*e=oRI);Z)?#Zc8Ko?o= zHOYfB+Gkx@<<|<0Bm-Hw>we(>qs&2gXpx4q!*?5~hNbuM7t8)8rDEPBkbV+PAPa0} zWw&A)3f=`XQvGE8s%1^#=iii=iQ5A-TsJwnXg0Q54(7UWL1Sn0z{f>RekKq#bHu*L zYVaa18#$s^Ol{j+GlkQ4H8?mKLeK$nfAV(e0@tXv8CUM zP3QLE)jEfm!%9yGn3+OI9;F;P))*JFn2!z#xs9+Kkmc3F_p>zrmwN;`YmL&}q<9C+ zaGbZd#-i0X2R}-ov@u^2b}k45CM<%AuK^A6ER%0aim>OeOz+M&TS{?JE->ZemgKb{BZA-yUOB6T0ORfZKm|#0m3X3OfG691Lz803=@o Ril|&`E_a3gPWb00{TsqzNvHq- literal 6803 zcmZ9QWmFVU7p^HurKDj18M?c>rBgr{dg#!hk&vz-1{_L4Kn4*QQjqQ#hEP%@l$MU6 zL$2TY?vMN3vwysM?Y;K1-gDO4e-0TI7FG=jHkQx7Q2Lj@07LxTeSKJE1cg~u1Khow zSw+PpScN5pM5Kg7g@t8UO~H^rcPB8bk%N;bt0=3f4yy&&kyXRl-T&Vh-tj-gzhg=J zU-iNNuVyj)SA((l3rYL|gCWDUP@;JIV_Zh4H>AH^L~`m2JG!G`ehMD8SH<@_01PDL z&g6HJYTo<_dG(X?BN37J+X4Hjriy3ogzO)Q1l)gaunQ>Yn=liRFKLAuxT}4@6JDjj z5R;NkKSTy;1qB^Vgta%YqbDZ5ujJitwfqXhjPLIs?yLEB-(M`=){p6wn|LNPU-~lb zFH3%X{mMSr&C}QP!C(8As?XA+_vKp-GB{{SeqU7rHol~%O6>Rcr~3q^-{^epe{DoN zf5z9n(ms|Y3>TDaOw-ZVH7+Kubx2vTtYkLV7M|C2I`>dW%@pv_NOnqQbW3Ba}!?aRH{jd$$q%-Zr9!K^3BYf%HZNd%N+|5KnQ6Gs>f z%VSlj&s`L^J1q9x^y-I802^_;A+ETbqApgb%q!L%+~+LXH_B54q%Dd@G8dec{|oBb z!1Q1wE@wX?POpi^NYA|6A!2i?H5+PFkA;4(6}q1X_hJt5aGXqKa}7Z{Tg!*`XsZay z|If((I>YWJU@)mzJ;4dWaq#={pHo{w>dJ_HAbdXBOOzfyI~<{z_kw2anpjDuQeHN zGx#s(u&B?hvP79zZ}7f={6FQOHBZX`!?*4GFU-z%iaKB)qyLDP`(Q(^^NkG;m@VRB z-qY$uqx!}V`)JF1{D9A#XP112eB!*w>%;#J>GQ&{j*@P66Zq=Q+^PQH!D zv?^c$UFu4fS&w?21>=8c&p_ly6z7Y7^m-zQL5s6Bh#<} zpL8C*MZ}fP_q?g!5^;lkrXFw}MTru`6(P+>hD7&8DmxxoQ-)4NT`+j`F*w;!{}Yd5 z^etR8?*F#3L-ZX^M&ETJ|8t{%K)fJnF!6LVPnU^5;?wKlh4H#dQE%+fGhOy)Kd72Q$cqrDZAm2!MNI-AhDB9z28u`8vo3LLdRbdo#TPo) zx0^fo4V=E+A$WI8;(JegbI{|-ER`qg; z_Jx?d4rU(g8wI2)IBas>@CjsI4KEe<-}QGrZ{00}E>y%zdApw-YLSbC&HN3BuvJwT zw>IOFXufkQ>O9$eHL$f*Y~j42*js#v!mZl7Vx1JaABd)A;YUcupm!A7MLUV zj-PJw5s=EChTKoZ6|K|74UFUf+GWD5!5e7hW7p#)EJ%OH+Kz#zMB;F7jA$RKt1epp zr6ikob6`rfv!&VT*J|h5Pa7ImDDg9|z|pxwRcvE7Y1_klKj#f%`W-mz5?7@C0pALB zAbKiMUr-xkPhe(2YL2|cf4h)DBd2q)pxV}2)+uH;l8w2cSkr0%k&TSRC>-byI0Rs*PxgQ6D@0=#g!tV=5jgAPmwUPW?2U70C?kIwpZmN)VE_j^~0RsX$kQY zUKSP+0fNhLzRkv;fD@~41!5@49Pm}E<>%t`vYR-|&mq}NooR2@=}sN_XN3k!?b0;5 zDN`b-n_d-Me}Q&z0&CGDjH0KU86o>Ypq;csh-;9{vdL)e7INyv?jJ#q%jg#8*;{Zd z#-~2eJ59S@@>5cKFO}D;==F>+*kA6P(-aZTcYZJJm?&DHl_zLvQ6KuFM7ts5*XZ2% zCu?L))AjJWgfYBRL2=bC;BuZo?Y@qawt*AYWJ^#>1-eimjq0tC{H86_SSCsClYy`q z8SGep9i?G#eq(vTB<19&jc@^}#{sv*`jt8NNA$HSlCP7~&Nk0ye2Zjhel%qJkMsPO zC75lVuXWzx?%2-lQj(D_7em?!7q-a!Yv#n@3K5KxZ+(x%%&Y7=BTWNrN2Kn{7m&|T zejG=Z_}9gC2N+mm?#cqL5`|>bpD|VV_^1$KgsAB^SPoALHzTw`tqq+f{zHqqrQvrr-xEDd+cOg6eZ5@q! zjXwmvubr!^^ckzWh^ZL#BH0(Qu_EKGy2+kdAFr__>ii{-Rp_4mT-cC2=qn z6uE{P_Q;eT8`u9MOzVKjVk!%*oM_V${gC z^TuSkpd8qqH{G zXMO`MC>`4XxVT%@UA`{=Eak1bBkyOn8HBNBzO!>Hw15k^jUIWVY~-!h-DUIw0)uu~ zX!6sea73)zRbNcu#B%KcF&5eh@ded;LEw)hgvDYD&9A+WZVv8CI8-e0haz{^96Q6p z42FF&bnj!K`*?i>pj}a2hKX;~0ZvqZIF+|t79K?#aG$a@W=z8a#f0zj4rL;3`S)n7 zP_)h)FIbztE={9Qq=kbmNn1;Yl=SD~7Vyuti31iYzb7|xd=qt;vFpiof)^X5?7Rdw zfBFqVn!how3NAm4;L8)o5?oKDAtAL6oU1Zcv;Jcd7}b(%HC)C7NiqZ`q}mLph{c?* zQ3X&wf>~{o}T$VeM8iQW0G~G|OjAjLvzn2VuvkJl_(+0`7~D zM8&?brVdNi?$8zFd=fh+IkPLb+76PSzjuGAEET9gH5VNIbo96q8;qWyOlkz1MrfIx zGFze8=w-AtY0x8-^fI)GGkn)~9j)kD)FS~nEVRA=ALd4t1a5eyFKF^du&R)kPKcoI z1l#bW$zN(cFbp}v>^yT5($IzhZ{X0k8fgmR^kLKsLYz~^Zx~yTQb(*PTD{bLG}MtY zZ1Xs2IaWjMbFGP^FMAi{NDjp-hn@_1Q{=)aa?S0>HFnRqAa;0;%$`13=<8%?n7QU*2CO?9CKq)K?Z~h$|C@#MN<+-L=K!)?cDfjgGFPlSbfb07W_2!_wBtXg!1m0o6OV1 z1`4&ApSjT+mUrB~DhRu&+3-ls_~8InGyt4pYtUg4D63@@q>)m$>NUWqL+goD^u z$diEfkA6|PPp*TQy0~W-jc1gs-tfEq{Mel*KF@JxLC%#tAR|~(tZKVYdGmhfoVD)h zPHpxD&Tn~Tk%XXZ$RG{7TE94x(%AT`CT%7lcd{no~nqO+AUfCIDSW`rbvVi%x1qDeBoZh+cstf_Q1un?!=y?xy1Ei^gr$H6A zB8l_p?O8IMb^DKn_aTINOwRdDQae(8w6pygao%P>u%iizRJAa?_f1<)AEZ}&0SpX> z%Tp}@!TrbaCF3(u9%gaFph6H}IaoJ-AsFtuaoEyVj5S!&gnVt~6z&~!A4LV z01+&T30_bUt^fo#Wl0vcn3$h%H`AO3pEvy2GT+cw0z_shtOf=*DFP`cqw9Qi+I`C} z0$p@BJ9hNf$y6g5bn(NH?(S;K4V&k>@AI>TDm|-xy~dn(bHJi`Lz;H9Ayh1HPb|TD zrzE+y7Www?C9sP+PF(KEUP1%CyOzTj;=0!(HnZFh{UjVryD_kOGSc-(npIQErSa=x z-LDkWURGO;r{X*217?WkbuHEO!5hBBg)(@-FYLm!^Bjt@80g4#JwsR58KooC6L_j% zxxI45=4dd*r*L<+@VFWyr@2%CF9?cubu4_KOb?vWRBs-Kx;hYr(+oO3=U=$*;B}zp z=S=I`g+>fDg$ibS2;6=B9&Pqy%$di=OdD7)J}v(3-3|*~wXfykzsC!)d%z0yGgX^b ze&{(xAYhuo2Zqe8j}SkKwx*S@T11~|JUKhEY;c;^4D-pVdHSqwwWzMJUO2Ag!Q;zw zjR~{S{KuycjRzY`*0~CWxBZ*n48J%o>DO}W?ihv4(IN$q6K>5fi0{N-g|cHL4pHw@pQi7c`g5z5B<@Vg^~u-kwtW_?#p}h5FxqHBfAm z{LNF*ZPUnH)S$T@;FMy3gHr%@3SrNcZqG}2(vt$d_Sp-qJr7ulbBy992BQEm`J$5f%v4S|hICjp{P z2K>29o-!TZkaCC;Xtq#AMJO^@Dd8@yKKkM?j65~H#YDqR^i7t`L;)Z@HE5T*y`iz zF}X|@2EJc|#(|VpPfShZJM&{K%MC9+$`m6v3Y;w5ZTTz3w~x*9F&N%)nIQO#dF-zD>CdZS7QtU61(I%>f38sQ$61LMG z%LnpsdN9qD7j^xYAhFy=4Vh zs-Z|JG09IO6 z>*J71C;g?L2~^f^w3hGUUsk=0{PGacv0m(P3wX2dnizfq_`AmsQJ<*c2|ArSZgsL_ z4v3pgU*rhc2mZY%wfhlSpy*FFclWn?!`yc`fvJE1a7V^y>dG&drBS7txLgR0_}}4Irp3j2fiJvHl&TGc@@`@)BM==rAtyh3opm)_&t*o{eO((i zjZk9OUZogP>SFz-LPTJ$o3-9%-oE%|p8t!}{g!M2(m#)vZu1gq1+S2++^$v=jU4d$ zZnn#GMrsAV%DFW%H4UF%Vqd&U_=3)EYspY@^GCAxvVWU-ZvhJPb=oOPk2&w#AYAX4 z+-rHNQ@|FZH95ff>zbDnP6n2@X$I*Ak^a|~vwX;V%5(;%jAD*^w=VVWPFDj?CA}|~ zLSdlw-P;Qb#h>;ea%v6HMen8APq4M>KC%&;Uyrn3(sT< zWj&b)zGmG?GPo>d*?)H{){wcM8by&!s?(A6@4t}XOnHiolI6!TEB(`~@ZLkh>}fz| z8RvaQfdYqOZe0X6;s0#m!nYh^=ZN= zoxc2|{`8kK7aq%uRULJf!^X@*>2Z2+8Jf0FPV!!W&(n~_D%l_ z&QSPmW%1XsAmI84I8GZb^txM;3f#T!Ie67`KWqGhwcqgQcNWdMWCR{scaE=1v%TV- z($xr5N4GvmF2W5Qd_gqBDKpB9Dps#p16?@7F;+?#YwQ_4c zbe&Yi%v_W~y%+5rcOS5^upSK*3~>S(Z2>ZK@~=Rr03K`YG8pFWHUpRN22zUQdjLW5Tp) zCzdL<+qWaO<+hia8C0rLt5d2o0Av!N{4_wDsJOSCN3%~XV$)#5ZlcSZiW=`G*0AGM z2HADU`(qidvvOJ&iOPUN`mbN$#!p0=GXvu;Nz%B~tQ(;jNcFq!yh-yyCMD|i`e!(6 zwY?Rz#baKMM%0mU%72FF^2N9kMT{xfG@kdC+bahR3;!aL*U=B+pRa#nJyo0ZY6)eK zDsV3iLaS@OO}7v`&|{a4*CkC=d}BF&*h&}MO(pDk#pzD@196vW8{U%hEWwFYTLSj1 zbiH9k>q&Um^^$jRDeI3#l$k9*&FQXG_sSx^P<#CmaF3Pm*;mfmlFhD_)B=-AN`Vui zaRO5k2G$O-z~dVlh)S1R_=jk|W}>eP4>F)ZmG3f^JyCRSZf=C*XoAE&A^nQ(1A9HC z$hc2s00}*+uP3|4KhU+_lRteH02*)xS{UY*M*FjjQJ~EE$$i5ohhHMeQ7`(Q zgiI1G#Rh#$-gB`P7xT}s*YO%tlWABVuk%`CGJb8obDE&C+n6UCp(#kNbDk%@vy-eD z^g3*7!>5ZpAUcZCmXLV?ZwB}+4eJwkJiwB}I55dmV@AzjcP2VE?=K`RqlcC*GIU}I>bZ?K`b?}iz*UF~zVW%={%zk`xAk1Fkv-yk7C V>yVJQ|=f`dNyyn@Q-?x7FSBcpP>?meYQ~sWp9j5h< zOYrNaN+Glp`}fZ8$jd*Tl?NZopUbwQ!29k;sn+l- z{0pbQ*y^@kaqCaZ`-)F$Z>)?nTizTk&7cDFoU0SUQOqBt{1mgYp;2Hw(9zh z_RT&8wX;s{tj)T!Z{Fq~fBALaF3zz|yyKUezNz<3%(u8}r}Z)x|Io1O>VE{KCjU@z zuW(Brz-mfiR3?_ab#QC58HuG6+jv8S$WD&N$b<1KxJ{i9=zfIO5s>i*F_ zutr24NC(#BT)gMJxj?Sv9aGl%V^NNE(^7s;e$oAYx#jGqCz$dbANF@V+20@4q5tXq WC1LX#yE_zHpg^&=@BJq? z_rtw2XY!kKk~ulaOrDu!aNywJsxjcf>*e{+3+ho^(93$-vOH?_2v1JIV5hnJt4 zTY&4MAQulew=lI1z{A_Y20*Q8W#dH6L#?AsZ2+*Qma}yL{aZHp_%Fr3X8is?Ohf(; z^GN?gF#PX4v;gvjz+r_Ucmj1BpbfC!Bh7NWjeYcRq^6{?6VQlXnGq8rJEM${yPQck z8k3g!D>5~Jl=`DW>Yp1lB^7EK=UD+~iQ74W{j^lKa5||(2oipcTf`H(;Kik&sH>Rh zxBFM#Sw}$SjRfhWXxB~f+kNnGhp*@FsXS4iuDEmD@p|N_W+FkXy98`!Qqm7(lqXIc zlp)JL$)sNWR*SSi{$=^vPArmThP^;wR11Y_uw-_GG8iK;m8`>C5{nXk^Ifkwd2Vd@ zzQiJV94y@*OJPFB^4VVcOSw5|FUB38hdPW|+kZyKLup6{y;)=4GhG?WWwQmacf719 zDbJ~Wc_2CNw=kMyn?+$`1f{+J0M%<*MGwn)3N0csEFwmkyOnP!OJ|9S`Wz(}u2GDW zzH?@inMClf)tk#Yn3wGi0d3x!P&Y{dLzfZikgPEVh@&}h|7SpV2|=Zb!4PHJW8Bhx zaA+pH41do8jiTV=gg0wTv&bf8`$|j>iAD#-$jTGfgD3N zSW|t2nXi*FC3d7=w!(H&hnS)iBqAxc)Mh$3_DY^3wzZn~CebhIV3yrhJ9fVtWA3S& zBIkK~{)lJ)a5t3-?>yGF5l;vsq%tM!VlFbjzZpjh(i%?55=(xlVSmu4v1#eHzo-&H ze+^@L(*7$Znsw4T59rK)6T=?d7(E49y!^mBp1K~$uzuL` z1tND*+3aCxym)D3q*Poazq2E_qn)9~PoSNl$7ho(G@>`Ar(Iyflbf;rU(HE2?k<-2 zjEG~A&A7_ory5_nP* z*|f+@dTP98Omg5ujwz044O7yk?MK3{t|c;34_ctv1j5u9S&X zIV9AcForS3kOhB|c4n7BBARwiVEx$6L8sGv*Xw8SYAD$YeQe?2|6%~*wL}C~Q z6-$F5f@iU?&>LlZLJ)o@fY?bc-s5&n_Uj-*3{M;qK7bg5evF-1G2MtgnYqD`+R6k- zqb%^McDcH{-5N!AUK4N-3tq zAijd~FK`NNV0tRD&@eZ<7(FN~Ss7|MlGCeLB4bT>X07HMYp3y-^^y5=d>;8bWfg0s zsGh7|!BWsRsmW!8(nzn2&(mE7@OYPXuw;>G5o{Fn^54!$2NcGZx3{5b6jb8boTHy< zagzHRl5t=lvc!{sJ?X%-HobgUUC>D9{j!&Q;iYPKe>t}Qmvr9YSKqebBK0`47wgZ; zMXorr#QM{mc_V|Kb+HVXGVRSe1BWf-l%CzTVrl^4vjOeh$FnBoa^Qe|MY-yCK0OqU zAu*x_Qf2+hZ=@uiKz{x7oT54e(=mI)EOY~TpGZPmeTGWm^r}O*`go#p4fkz*{0SB+U^BJ7e1sa}$n z%!w?^RnblTpqce}S?tZ_8(O?r_G<&(DBt^+Ak;&P9@NQQ3&1`Kk4ISi`nzzd znvqhS6;(mMs4YI$X9A-|4Z!|ql2$o+myDD(+kHD8kM{U=8akh`5i=f`CrPV``~|%A zMc(w7bbeZjOiGM!fgG)Si(`B@$q6HmRC zJZEMKg;Yz6*_LKIObEdG_6p`uZHM*8GKx2L($NYf&KhC`_Yj>DSP!f{DC8B?gE_NXFb$I44P~eY3{5@OHPd506f)f$ZbjT zdSdeW0Zl7g4;t2i@;_ApO;p;_SY)O^M@9?)`_NFg+!=H8H!QekqrWqM9fh-?qSCj5 zdNmmlKl`q}oEwaeIFbk($*aRlR~}=4D%kh=NFGDt=>hae16AjwMv9`iHGFLvNIg`? zZSXLdKWNT!>v1hM6q6RC=b=FXd$HMloZ0mdxor+lC8g5w%nb+fY@UAvVd1*-%2see zd5)`HSc29#nVT78DT0b&&SwN(9OL*{URVda;=_4Z!676of#g2ef0#2*w6|q=W^uwZ zDObC^z_wMcnoiP;s4IDABO<}yUBPS1i_XRbp3Zp12UTQg~cvEHsZK?^4yzu@)07#`Gw z46B;5;2XpYWPL(~JS4`iGaug28I4Txc*Mo8Llox4H$+2g&$9|d#5S%u>1S`NR}UK6 zDGIwxBTE%F2vn){Tm5%_oRFQ4MU;VlQ@P>xV@>~)_b?tQ!IESpkG z+dM=~C~d5y&LeZGv7hWXU#g0a&MZDIFvf@$O2UqWZwe||!J~CA&Q(J|Z8S3~b;VN< zwkEyxp-d$95|&>)FjROy7w`uDSVSPZD{GW-A0xfVg(0jYpE)|>K~kQPLL^nJZ-g?m zzJGcUPYNhjny@QT(0RPC3~}3y(1ZQS7Z5xAI^`)(c&tCZtDc#n zW4o_QB8-Kqz^AIF-74_nDMW=j${^!Wp1l4o+0;a_hGKn_8G8Mr2&8D`)Vq?0goFNW zk&kvM!g4XnQXR$S0z^TZ;u+0&ujJ9an1?-6mIw8T86dId^o?4+BW$xsa!Fwh*-n?Q zv`?Zd+zc#UFBnBukWG{P6fq5wJ?2t;T&-%=_mkUYPZ2Vq7l<8DklVGPtwRe3c`UOl zlOXrDmRRk!=n=x)jYgv51JoyuZt9a&0Jc%_rkr#JBO@8u+R%cMK*WC<6r?hBw8rDn z8UNfFzfMi}hAiut@pcjYarr>fc7IrE0`kPA5dFh>>z%CjJ+aY5HO;^}@=(>~IW>5z zm~JEgWJcZ4JZr&MM!xN%DaK0|H67JEYfgf#8A6z_iu_b&3J!dVBdnh$y9;+h!Pe`q zOf<`vk0!2C^vH77sNtH3M`I(tJRV)~!1NR~sR{WNc8nET^hb@9haZaT%=oThxWKoX zcyeTaRP96*>!wwu|GJGyFg3ogHgG>&?K&amV~u&&ob^{^{JFkanTl?<3iS8Zqr*lA zWsUT&H1fd2nV>*F8Ij7ovLGr#ETeW>O(NM%X1hBPZtVTi{VVi&2a!*WBMK1x@WNAR zt-Bv(k(#A>2nPV;k;@AP6s4EUi zf6{r6s9%y|>+cX`;$LTh*i+v=hn=(GUlPTS6D|=+sX>JR%>2k&3zR=P% zWvg~|ad4?HISKk1>R^8Vp(TXJO%b%dM-8?RD!3Sftj>aW#hL|jdU?lNz0j{O3!;NY z(t7>C^pXtt8ez4Ul%h$V_g*Eii1-sV^P~qU=*t3f5(fee)9hPBlybuXG$9Iyqv@C0 z?{od5oDR$eO@1>*ic+BjqQNajYk`2p&?M(8!L%~+7j|k%ocO%+V4@(_$-M_8%c0Ap zbE1cp5E7_F_u%8*c(j6l?cTz@{^QRVmFVGL*26b9;H%ZVOSy;EWp&|G;?v%%?hvrI z)lemCK89rQyL7*bbKHmFB<5&U>jtjQyd*YW`}R1AK+_aT$5yzthoVQcHU@pH`9hG( z7{j&$(4NBHnHd|CznUB<-t4Ov?Ed{nYpWOFOv>F-&#AKAC8&4s-V6)iJPxD4e~#9Z z(nlOTZ(I{}?VDDp6Ob0tpD)67G8%t0+rwC(Y2Q6zBPz)Miu)FAwt0QvXGmaCKfMnY z@Eb!*<)8Uk=0$UGgL}Jo7|_Bp`WLe2{?jOVgZ^t9kIp@{Z~W3i7^j4yU79oKQN7qj z)qAEyt@!sRk(|@PbcJMg0xH}0X@On=^8rPRm7Er4?K9WyCLL2QILNnpX5!ygV_BSO z@5mQ|+F)`S1UDsh-@MDxISLmO5|{1{%C0k54NCTphltHOI4$xvKmnT1y18*-u$^qp za=*FJ7)Kn6%Q%ffCsW0jV7sI|L{W{Qbz&~h1e-oSlKv;o~r0pxwzBJIyJs5YlN zkx8--oEj~7JO1KUVs5F=8B86RJ6qtsOPL1=jR$ae@EICa7&j-$`ZGT2UixKZ9Z!Bo zKfw^2)2P3gRfkFDa|u%ixz)2+r2q31-m8Vi!@pH(9Q=-y-Dnrx~LqH8kGtQUaR)| zW+lmS3Dx1Jm>}rpCD^j#^>ho{uS1BGbNY zcuZ&XOe>&IOfEw=Gc|oz`hGj9xlwa^^;qfjnS7ia2ua43DN7IFb|Bzd>-|@oF59Cr z@9c@)fNa02^yjjJ)j8jPC-b0!5SmsLw{%j}{#X*XG@3*TO_Mac*1B7|o-29FPlZmo z%n?Bwa%#F&v+{ZQE%Ng8IxUB^1hzDj#gnQI0rqhw4#f5hp=*i5YJAR&^99X;eS}JD z%nu6f;JIh#r5t3wm`QK3npN*|@0W9R0JN!E?Qs&+o;~)y6e+3NKh{k3d^KHQrp}I$ z+^&9HRgt%G+f*8fs92md0@zsQLCoFYtItdN&a=qQvvY3zd{!juo-Ha*7))P8)E#ZS+ zTvCg`+yIzfhvb&HxcSP8g*nkB7nC#qDEIr{h3htOUT)d7@~iE5$$ofa*ubUBU)>7R zI&#ma`=xecj6cJbfA*4=5(-3wMa-9ybh}>t=0|4+&-RaZJ3X!zzF`F4UeqL2(Ra#6 zBMQ+CBF8xQP?9!>In=@@Py)e$%8jfl&|VF3=$2t==I2JGGJug}jE*&#s)149Nave@?FN!Ra*EowLZtE319Uo4vP5&)53X z@QY*tlBi>KXkYKLg{6`fVY@ct5e1MRUM~^!CB9@u*J0|8~Gthd!7*}sL6_wXtZ^j%E3yJ?dpL4$a6{mA`!5;N#B*41@wM^eP9W8XQ7=)R5M zEwW*YV)s#?yU2%->|On{wQk7})G%?KaRy@Mf1^TV<$NffXn_IylTZ%3i4)gh5Y04M z@suh#KM9E8beqt07EpdVFyNVYhjiXFcJ>71aor+8zS>4A>a?vqy&=NEy}g0q19Z() z2(>;)eEasTd;#+Hvy(%$by-R%{jrc}yJ+oA@5IV4RK~)b`shNkyTZ8B&A7t0(>Q5n z`q&;+8q~ImoFFL!GkUFe()2UKPaV8=K7llMiT<|Oezq{&L0mwVfBqk$OJ**$teSnPKoXWOjZ6h&D?Zd{+>_FN34=f~bUrSw1wltoyZup*c`06u092z~}bQ-@p^` z4&aRURM?lcxgLy^-dm{SS@pivSGL~$tkMIOG5XB4$Bp!Pk$i0z3odqGrpq7l&pNY; z@TrkmGa#GSeb{!;5DU@pF;)ro&s;aH<#DdeF(+Z!3KTaPz}W11&nPZn9-zo=uLDQq zL_9^KlUaZTORRCPd+?j8{dp;q_0$$hw9pY15uyrj8oPX1xlvxLo35`*Vyx0wbPis0 zq-pJHZ55eO{y=ye{25U2{(_K%sAPMTgxrr)fT1)|J{&Vz6GlC zU41Gbcd!;iKfc7wH0eWZzm^{)FX5ASTtA*{BgcaCL_Q&6iWv)qr~Tgy+28m_EJ(ON zSVc}NGfc$8{QIq>F(5Fc;J^$G(%m8*Lk`^xF)(x^NOwzzAVWzANC;9R zHRMpz&%5rQyViT}?0we$)>+^F{+wKg^xnODRZsBlIsJq5zgWlG4QA`?1OV~!17tmH z9jpLA!8ZVYVLky7J|I6o2%u^0=4oqb4Ny0?vYif)xGhShA(G!j;PjT5P<&n}_xA8)hCaGxX{?>;@GkTt>l z>DWm~ySf=0YqEjB4xHspY8+q(^OK7gOXJu32ee@bKZgW+tkoyuwC}Uc2T9;X>%Gh?$H^V%XaoBX*0vri> zU)|p<+69SvYby&kzk8i8%Dx=OuXn8dfz*FX5gi*z=nMQ>YnG-hq^|qB{`i$NY*1%Z%KPb&1@cg+~3H!hlPAnkK&+oeZ z!XbQPjDUkWw=WEDedj2|rp~zH+nb)-y8m(gZ-xKFrL6?1VoFEe!6L!6)@H{p7xN*9 z=U~w$m-)HBklM9&y38*M*IKm_i&&|S)t)yW7#BqtLSn^5j)b9Ds3iXGR_kKs*Koqc zp?I-P+ug#yhXpQA!JUt(rk~xi#FX&)&>#8hAI6C*w%ks4=$~p`+nb&#!|2Pc=Jq;^ zfF&K<5=?UeksP|&1_bAM`WIEXDR$v&`{o|-aL(?DzWx}0;KM1Oa;N>U1il9()6&{9 zGiuqnoy_|qB`^! z?1%$#nxsb&)xg16ie`#nBhnUqOznkuBO}Q5wu<}(Dk+@a&fAf7fy7}|w1?SePO`@fA`k;xFd z)I0eYtIe+(3CN2YLSj!+l05JI?~&def-XEd$Qcl14A$l4?$dWO(x%~0v{yxJP10Bc z9IedmdeVT#R{ASgi_bt|re8-FYK}RuzIk!6DbCi6!+#1&<_Cru`D%Q^fvrB=w{2c_ zn;5AZF?0*i-L_M+o_r z`hYlF8o5P>*K{?Xtln$kqAIP@N-+=hhv*v}goly89bQySxbRRvmG~tkEGpJ)Es7e_ zGNYy`+gpEwM${C%xTk+FU}sx{lc<`?F8Q)W$*Ry)UW&NisA+ak(FuieMqFIKA-q&3 z@%58?4e2HcG8y&QY=1uwHuTa}W6$FHaMC#*Z`B^a!+MUts{Sea;CX{YFMe)8M2g+6 zNVuQ6yr_KsvqrJozA5vqlueml@qE^)oGk^)St^P$Bi{n4%;KrT-cw0u(w0=A#>naNC~*?0m_ok)q;l$Bx@zt}K=3g7faot}?|fQj@4* zE5TOPDD@WAn#ync{g=v{m*9Mk94B475bQVg5g_f(4j8ZjT zv3@4w^bE6m8-(NlO*B?b$`F#tN`Ejro7fZsTOpFar1M?(DlEk?qS7l!<9HkV$x z>{GQz?d0j*1gt_afeEOCp+QHW8x@(!!_BEOhvJ~m?=}mV2HOH&v3iXRs?xMVDcz3>mv;QQ8J; z+NkunP;F5YLo2q|tliwKtp8aV^LSy$bR==yS<4mTYc+BAjR^>!3K;=SNJC)aptB$P zd!Ke5nf=Y+-R)-C@c3MbsQ|h?BQr4C#jbwDtDA6X_&D~Oak8{nuw?5Z0_ykdK>&NV zpP?2`lWZhEY&P{K!^lu-DP@dObN-evjr)$3?hpo$pgeb{ioS`^JQA!gHyzTYh{qEU zX+_J7MoM-*Bp!c)@M~)j$r|PWE)3;z)Z*JjcQU|nOF0)>x<2>vCRJG_5uJLwvgEVC zGC%Qx{ME9k#hP9QQWD8BS>^`joL7a%H2%lFw2k7`j@-kCf^}NbbdXcnKVgdbO^HsR zvM37>cyaTd=kkx<3HK*gvvlO)T@q>uh|7%a;AM5br+**jYUXkN!WSNcIP?2}U*jQu zywnNW(>N@yynIcwN;0h%DO@vQv8PVu6{$bB8dm=f2)g{7K3@4YPkq{ShlyMye*Wm# z+i+0=8JmaJa6L{BWmxlSL|gE!68ew~maV3!=ccs+a>V#1LywoEe>1w|n}bxjWu*@A zzS7Jg{VuO>i!ZBBsUqw`T#R&t@g7L`t=PWTsV5;Em(4H|$Qj=rr($}DwqK064C~z) zdE1+E35wghcPKb_Ex`7~x4arsoDE&L<1YiyAa$M6H+PAHj6& zVb@k*72S|$MyC)Uj+5rX67V;CIy2M!MksOHWePK3!@{2z*K=+AU;{>;Ucthz3o)xk z^!PCoge1qs_iC)pyhaVrZo@aC<9%S9e;TRC=FDSIoc zs@Uw8tTy<;-y)jbkd+uCnv0-VHfy$r{SJF#`U>J?s^R+#UCfNMkMDt``31zLyKN0Q zlY?ssWAC_n`Ys{O%7;#{kD{dS%Z(c98AT9W&cc`bJ8rzPkryYP%wx>(cYO<&sZFP+ z2(T{KaHyiJ(oEZ#9|u}uYQp?V8TAG5z6b2t}UtbAPgEyqR9oSE)#TY*kD^vt%uZn?3`%hV1L!o};VJp$j zLxT(!Qg>Y3t%mZR!-Ji0FbQIx;A^v~&m$ZIk`#cdcAgnKki?Laq=@-Jrq#X@LAC#S zfwwLPE)`#LF<|db$@&=P^M(fhT@mIqKF^`vzFGk{DU}!j$%4k+5H(T2NRdEO+Rt%u z2|Rh)Io@xTA^ki_92}|mM0{cnE`38RN2;K#2V@4njB1?84{*~$JI-<0EDJcN`P6go z=5$^u_OhyR?L#yf;V_S#b4AN93qSyuUKA=95 zBWCKEs~8jaUc=GT$uqP7dT(>u?#?eH$FAEF5OBVERpmYp4aiV_426OP*FyRx*i!7L z#u)Y)Ao=VKV1xaN1eE7IJXi(9=>pxdV*Qw+rn&BhG%XKD zq(=sG-i}sZiKrn~3$}OxiLK(+Z_dH$Fh1&r&hy|ElFVv%-(p>HGaqf?O%dSxw_dO5 z&*f+?0A#R?ORJYu!-H}_95dj(iU~#Vc6^VYp0EudB$I-=;rx-D1(Yp9hVV_NhCFWy zN(BgHVg3AeRCS(*d!B{sf(q4Q%(hR45P3`36{sYy8YcbVb;*4J-#vHzq1QkhaT`Z( zvi_Ez_fZobDW7$__?3Wtk-tgq%w~;;%g^f4Z3^zCXNyj_vc6)=JY|G*#?E}o^ zr;zyWN0fIvv;+SEkHC_Mn7lla@))GbhNMEYvIwZLZN&87M~TX?y@>8-LFw^Oa76c0 zkjd`lvT^BiQH+2sS{(+53KGMh9ZGDff02Wo=z`_0EE zn$e`8YmTEwb#+6xRru>ktVyhvh5#3{zU0HGl7@+;7!g25?5xmv7rgQiBI7F7kZuB zu_nur5aIcwnscakpcuQmW&Ze&mmRWYgZ@bv5FD*Xb&jTB<5?y0WC} zKwl9ut-C{v=d@Ui#{(&XQm%sV@={i$DOOZtr-%beNV!FBAFpW*-2CN~8jkP90oNQ7 zlPeDDUDU5AFs0_Y)lz-~HixER^ymieO)noZ57H7UvQ1)<)6%O{82R!;5UJv@w%q8u z>^sUB&vI+2wfve*4%CXt0o)XXDW*eq?RLJD|M-W6NArB+i*qW-gFj}WwKU4)6ykR{HT*4M?rV!YIoQE?AtFw!D|>=ygr1+_aVAtDPr@{#mb!*ZVL8Yew{`q*q~fGg+!?MuA)2IUPpQ zy89q*{^pr~L)FLeswS@`#ctYgI~XxZ4UE@1d{uGz4L(z#Vu54JYQp@=L+2lY3vs(k z>8jCPb5oN#Oa3R#dtSq_)aB#u-6JqP?ujdvJU2b@!UC6{1Y4xsm*BgI-{P0gJDW2L z51$|Kea)}Ki^<8e1ay71M_S(pyWs@6to- zDZ%J>wW=XJ@ivdfei6*yEIr-$b{oPRZM>^S{TGhCU2v`4WjZ0aQivF-qvsJe5dW}2 z-5hYYhx4uFTM1?1#u`jBt&yHa4ml7YE9R7f?l~EJ1t5jrfBT-=aUB` zbOW#`#a6}RgFd01_y68?wYKo?+Ridzs*?=PI8ya6NwAEmn#i6@sdV5K@#R$B3y1dG z#+87kGo>o*ff<)?mA~Wgfy;SJnV)dnaem6?(#i$joEp8dlwgy+=7RfGZlAeylIhb( zANxzRup2Xr04{E8rsj~aHdsZq!ZtIgWZvQ zHG67lw=c?7BW~iprFRXl35D+B?awY!I<}kedOFcQy+}Q#T1eC0%E~Do zmc1k3gtMt!v-6=ec)5Lc2R0l!&o=6o%{2EM8=7H9@K^RDg%8X%yZ!q0WU(mnH24&c zl=#=vN>T@L=#PhIdbLuudp&gg^T#23qz-xM*{!#413O8wgc=@9DU@kT!GC?|583%( zVC)FjWdL$gK5gv_Jd!+?qmCpJ>Cn$@c<(u&KmPToB!JGkFgK$AIbivdT+Wjsrqf7NhfkG}M>cM&1)*61!; zHrh90>h9c;Q%bc5?q>~G;2Nf!8udG0|8ZyOt(H%V-Avux>&_4Yt^l7n97z4luyg7l zsq>(N=IXQPUfOaoVe@vac_W``zO0#AcR=AnC@<;qROYLX$@Bm{$wwF~Ut=8d?>%ufk*t2xG}Y zK507|G&;<%AXvy)5CLOezuS)oWZGPo{RKCDmnApFmpg~pWj-f;ApLIPBr)M`E9SW} z+|%e^Hs3~`o@&U|k?qs2FipPojB&Cj@)~7YOO)qz-xMyRnq)9O-)m?{Jwt1bwbl0S z-tq?4z8N9>sC0PuJ-}(}!>v{r`u?NCny)2PxysIF>iE*(_fCrmGewk=1&Ua}(b2Nd z-k|+Bvo6iEQlX_fBebxCf^(7C;*bjR^{r^q3RpfUUS7N^8~T?<7$kAIYt9;J!%7yw zX*PDK@}tlp3#SDCvm_Nkj|hU6$FWm0q@7CHZNc_7omnXo&6ZR(XTDkFSK}RHJFBAH zsIdW=#zGYoM%uH7?*o_Nyk!OmtCR2zR?R20LBDCl-Tei_0j8o->V4YH0xe5-pqZXR zm#2?hpv1Nx`NK9ShgVLiN`5RSd?LfYeqNBFbHcB)GEf1LVS+F-57<+@+q2(@LXT!e z%zCf(4n9RzSo9QGoySddO@FWWgKof5mlDeSlo3>}ecx0lL1KHdO{(sD9RihPFfhT$lkY7iY zGl=H|n;QmlBIbbKf%}iFj75%w>QGo^a_YSxLinWnh%pCyB7N(-yAUL6YWu(&K_Ric z(kFFRY}QX3ja38uy4f;CJ+nn80uG-`l(KkC{f$nAerRwD0g{xh>DZ(eYi^)WFfFyul?-@ zcePy5a3_c46t9+yCqnr_SvIlQmm@|_0iyXvH^MdO diff --git a/double_selector_8b/db/double_selector_8b.pre_map.cdb b/double_selector_8b/db/double_selector_8b.pre_map.cdb index 30045679b038d7c5341547c205da045734d9c44a..f516281f95b7af0d1a8b63831d6c2dc27f1ced31 100644 GIT binary patch delta 1076 zcmV-41k3xD3zZ9yR)2d000000008X)00000005N*00000004La>{&^R6fqR;ZdY7~ zxhN=C&i~fr_>dlK6y|@3yU!gz1iw6Emzj6)+(7_ZK9>`ZH=&W&?hPD6G#P$B(0d)%H)UQ)tSGKD$CKPkKx#OQESp z^f~3b)+WkR3V-c=p9y?3Z%rvQ^=#ftTDv&%0A1{xGft@3cYrSTJr>7cihT#@V&BW1 zd8gF60#SyO~TITPDz|@o5UsnxvD(A zZ3=cicYjG?EboK1M)xB-DoGh*PVj^6O)x${l4*6l$iSHUA=@wYzC++9`MgA1pCx zYv2vqSz;PE0iA+3cw~ub-CEQmf2801`Idtf#ebt8{XupOHGlT{|J8W*V+S$Q8|jsW zW=m8<9~wNc#N@XMs4CeqVR`OgpP-SEu}M83x6~BZX%@ro*{9ebCJ5p}9J}*UahBR!*<^DT{u?{>CnyNu2mI z8-E~NZ=2mSe|f(kyqXxNTf<%?HQO*nj0hC-FSWf&&u#w}QJK{&^K;wGZ*33=?tWyO zIF?x;jT=Nd#O#Y?%0|*AalBkmx|%h(S()+`$V&v>K%6_9YO&`HZf2&R61W4AEe}GS zjWgGuxaUd6iPMr%J~V4^UzChzzKY1yY^7k4Z|2yaV9<)3D5Q? zFUgb-%^IHQQtOBpdRkfW=0hgVV;NkoKix@0xe?a9b#aQsF|@ak@%Ik6fosS7NGKB9 uSgxwGL!iw6D3ug~;n)&_T$AuUQDv0a%=nfj%ah4yJf4hc^cS;t1Rnu5QVNj( delta 1077 zcmV-51j_rB3ziFzR)2g100000008X)00000005Q+00000004La>{-c*R528-Dn}ff z-Y6(SgD5D1UDa0WF8UX})SVkQx-b96uh0*0<3dDqq3wu*D9&>~&&f+JH?fj_d9T2M zzC|kc-Q07BOfOZbs;cVudc8GQ|3uyEQ}P4c0JW#@PQyLVqksMe(JadL2DXOK`C3&I zl#1mUc!X)lKX?3`d-gJ4?^SZ*JbsUoKXr1}yOsQjle6BXlB)DqR*{R%aa~c%2H_R z5q)0yuC`6zSW^m3J&O+3 z*j5b%pF&g5qJvdZj1J~`F*=y3#pqzJ6{CaMQj8A9`+p9`k#CF__PgFK_%4axCmajv z(%Z#Lm#^4?qmV@tx0{p!>hh&T14HQo4yC4?1?q|g8G?AhGLrzB(~;$1cu z0^1}BnT4g@;FGWhIwc_!qID9o5ZfkUhq6-=r~MRaorLT~ZIiGwx>FKo+9t6DK&~o} zZ<~Uh&wpJ~7|Hvf?KmvTNVZMF&gV`^$W&;Zgp6d{By2BqN-XwAO}XzgCwnsy4_zz0iA z+8TI+c9xh1PC%#N4IWuy+OQV&$RFu9f4=2lMSt<=M}LrAN6nwT{(m)|{n$aw^k#Zx zq1h7E(1!*OEHU}5f@&;iXNgHK8JsC)tBLfqQ~vpaW|o)+POy$s9oze6PUmOp^mQ|* z`)2C&WizJ-XX=#ymSIp#JW_bpW4bU?rw^MsJu*|L_nSFA-pc89KV{Kx*x%TtQ;8FQ zYJUTS8*S5j<}dFTgjW;ebZgj)q-GnYh!KHe{-w58>ACISA}X_*Wqxj(`K=8C!99p< z6UQ{T6H!Bmq0(ps`8;EmfQ!Vzq!OhI%Qv!D=vgJXj zvvKD76ZbsHIB{As%7rY-vrTl%L?7Wy3JWRGf*QjD%=ZOq1*PrerqTC2;-nuwN;uzYS%lLZ-+`zSCekml0 vZ7kQ+*&$HoKT0KqU^upfAlD`Qo~SZPY-W6m*%=j02 diff --git a/double_selector_8b/db/double_selector_8b.pre_map.hdb b/double_selector_8b/db/double_selector_8b.pre_map.hdb index bd5ea217a6617168a326670d9c6f980587b2f457..161ae71fc4a2137786aee3387b45162e8c1ddc56 100644 GIT binary patch delta 7850 zcmZvBWl)?!(=Cz!2`<6iVS{9Gf=eK{yMzD>2~Hre*n_(T3GM*`1h)VS1a}s9Sln4W zIDC1(_g3Be<4$$esqUVcK3!dB{>-^hop`(&fR2xf@}Ffx^}m1;i1A;nqx~;>q8^%J zCsFIBA%k-@rJy1H{tf+hA$Hhi`BJfB;r{&tF+5!&*(Qf42!KdV0= zKh4sa+TW5dw%s3JP0dT~A-*A~wU};L&ibChHxV;GjY2qIA!sLncPBsf{A~CT=VtHZ zwGuUIKGcdKunCan?=Oe&GrxT;Ptr%iBdo$kMhh}Fk41D$L~0>KSkTM{a#XhCvY9`Q z>T#qE^C@~$V#4>n4P&~km4Oj*s=U2|-_nu?#Gb1oQ#*ss{-y+RtH|yLOre)xYT^H& zRTPi+qQ8{-zkxY2v9XlBE>V;elXrI5Gxh+jp?E`uXqP$7wK#I-lf2i;7r$JmNv2Eb z1&j&7_=bQw+(RA*DY&04+^Sn3?jrO5z3$KuALh611hImg-r)Sg(-F#Pr`7qS?x9N?Bp)}r zZocNI)8~_#LahACuo*ZO_&JNr@3Fxj#BK#>ID=MC>ZREWL^$FoL0?KA6l^`wZ(`hTgO zD?6?>bGsVzM$27K3Zu*2@9qcOc=gEW6oVS)I0G_W5S^~^e>Tr#@08UV z@DFhwN>%14o0RHt53-lFEwJ0=jK8aoqG^S^D!QqQbT_L%(}Wlb%s>q9qVeaUjQmbn-^J&Sbp41W8Lzy$bpNOg?jlyj` zg*$#tUt622X^`E0>YIW_I?8@AHu%ds0K-G&a2 zt#Pu?B-yJe`_!sLN$O}jE?#Q|IAWdwsH+{n9mgEJ9*Y2t*M2+%rXLgLb%{ zc&IU6Plqs}EJK-U#ds~GXZ!0uW*#yzTj6)12N8|y zwKPrX@S|`*`_t1qC5DE8J3Qv&?vCGJ(2qCn5v8Z`^aX}qj^)AQB;})xViu38Au?km z4m10?h78HJk=y6c?qoxTXH9msbwBdrd*9+fLMUU$UoV#>O_1bH&liond{Mx$@GA~u zbMX0G$iDDiHbMMMc0@i#)Dpw9x@9Am6{XDM;M(m=iugZDQoPRs`Gro_J(b(jE^wvQ!TkZB!piu?bSZtyIL95up(P`qdG$di+?394aR!jkNiE1 zEN;iyc^SdFu_GhVeHSnpBrbr*pu0|tt`$d)(nfb~U=jHp8W4;sh z!7#Ej_NK%_KucWPcTNjprE-~i%XWz`z8XrA_TwSSH_MU){=2=WoX0ZV)u~Bf3#h=n zPD&5GO-gUQNow{u4vk}9_(k5x{K?$%Do;TNII)ME6zwGSBCUdN^1BX#Lk+!$uVB0sb#h96AW@7c!R)(J0!LXG6HVM^3@PDm||OwLTBy%D=yNepslI)GlC*Y-$u-A zCUR zW9nFY#?AZ&U~&K}KIq$+M7!_GpuLNAp%xAVa|1xVP-Nc3s0q<}`oLn$LAH&n5tE_>L)|($N>sP-^EjHeV!`7E5HaJ?Sra>o}7-bOQUkz5jNVjXhOi;V5kP9 zM%L;qY1m4z8Q=JJq9eaLs+E$w1N6nJ|E*Pj6%Le*{_B-F2VawXsE>To7b*gK|3`vY zPj(+%f2Me?ebCi*Ao;(KTnW4$c!5izK9upB`UOYrx{+}hU zfJawEjcY|?qlVGHGgjH$E9NG4)EDCC9^ zUaEsP4JpoGI5)L8Q0dUa1O^igl_`0V8fH#jrcVKB?D5XqZcC=dT+J78nG6BefG06 zEso#%6xMcyJT0&)d+66(NvAf8H0%bq{4FD2e)_Leaj1;*Zku!6EV1V#^(IGgDGZg* zyB(GF0ElZKjtDM+yGW0z84oLD`6utwijYnvy_z~&HYV>Q_;EsssWFa0f0vXlg+aeR z9%S9mX4TJT-7iRSMj>^0>6JLV83o=v@OMt=ZMiy}kSQF$Nq@v}KD^|bzsztq+PKO1 z)1SEK1kJQRc#en-xbglF3^~OIZ_-)!dsy`YSEy@3nL{@w)x7>ID$)Ln;j@o#T}nrJF-6=Oddf0UP}@3kmhM545=&7TchCd6ZRnjhDxpn&&)i z`c`KW^i!hKTIZ#w2o%{NR87?Je&_cpOLvy**G^ccDTY=i@HT$dVtf>~-}^z}O)!P4 z2>nFxRo5F{x`=?AMe@|RoTicPF8V#)rns478WpI| zfbX%;^5IQw@a80VlMlRE>+hWThMU>Xv^C@dVhRxZ4_xWDM{A1i3nZW^kCy2j4;b-Wihqc=<;h9(D~2>h)}TRF|t zMb!}PwA7ik-(Q7Mg2uyL>g=B7?K%|ct6cR$G`>=&3PY4&fGA?od29f^$*ewZ_N_tp zV3$T?6P@|`Z7HnB>qwlNZ1x?56{}tk7+D8jy6r7M%ww_)Vau15w*a3+N`^NVs8vyzWy-avUQfdzWx_t<~Ud_ z^?siYGkVN27L$2l(dfiZzWc!HjiJ=fqfXKlVj4P}$Z&4mk0$j)Z@}aBP_;srbc$P6R89n2UamOYfEhHg zB^?>m8Xyo3-2d@ZO z@W9DxxDft%-I`uCoDSZO{z3!hSWoVT zmlZf!D>kVrR_}B15a|sZyW(G?U%*xT&AZTF&%2RH?%>2r z#zf#1A>yAA69T9*ClA~duKf25&LR{9Ewg}HV%jjKoEeu90d`Mi(*VrKGH>By-hySG z=*K+LUK#gZnPs#j4R1JVpybfZ?m6Q+oN*n+wj)K=3)^!#AowERy+_*#3l@XRrV35I^_OA;Be;%G{0~qH#mrs|rg#y=;MBT2ROxqtyaT*RpgCG5*Ig#xoyWM&OX%`DTv$eqnuBpWJiI`z+l0`iE?gJ`EAOj0 z6Iet~j+%`zHsuwxyq_7wXvV{+MnLF zv*6~O!vix5Grna!5+2qJL3?t#6|Ak9=*s!3Z2MT;lZkOm@oaS8AWy!~5&&Pw$JqZ9 zK5CCkbNv@h8-*d6rvltz?9i|Py9Vi|N zOQys6Eyul{{eFBWCx#ciaQb(JodyX{20dRi?mWhLdL1jszgzJ)q&$k$qt3&fD;u#e zKR!P{v$8V3vM@7GYINm|f&fkn^n4Zz+1hJR;$Dwjl19p6=1CjV0w|eO2~(AoImcXC zVS&C|7WIFIYwY(_k5c9F-eIgHapL28@W5Xwb=Rm~ZYu?isQ<1)(LET&b=&dMFg}g^ zS%@6ec%zOamjNq(L09!AH+u5nX#9aS)AOp!^Tk{9eZ7XR8_ih@QW+qY{JK3OaAZ_Z z%EG~4YQ@Sj=$;!FCk6GJAAk5IG48ikLMq46Qw|B~jhGs{nM1)fGqv4@E*Ji|1x2^( zYE?nw6j7JWpOr{R?->PFn3QWsH z$@J}*9I~=Zv0L7KW{Lt@n(uuRl)0aJE;T}qcS?&JPJnqPxtJ;LbYQ%>z7O)%B|Mg} zA31wSpO!c5yh@ikJxMVnd~cCD>gLBJka)wY>--a2)->mgJ05S6e19*xNBJpv9TDxZ z_4wz{&TBjwJU$8o(HDNKCl~F;m=^QIBTOmmenoEYM}x-V!t4OkWxekO@cet$Q~r4s znu6;$%a-2)3|lcO765~t>FISdF6{7 z9mfeTfdPSfHex>~Wucpxr?}GU%Jlv589jR_ammh)N51)#B=ty-W7OTSfwK@}A$taj zFiD&SL5ou5Mjjw%bR7>M@9&%HQ{5x3Js^nua^3Y|60rrpoA>mbocpLGwC8k|@q#W{ zf>?=9{1ffNH=VW;Kb3#<=JzE_Bv@e_4=JAyVZbaI<^H^CFt$E>{c0@c z$PwqK=Eb=6bC*ScPlAJv*so?n)r(aXq3TQh_wM#`4QQai|LGzV^PIEQLhSVP3bYih zV&c;!ym#($S&UJklKnnyGkrqUM`aJ!XG+wHqHJLPZ0=@7>x}n1?h2OfT&gGkV-!Af zNyzG8XiPMtaB-7OeJl=$y66Z=0fHFAU;O%D8>%$rCpCtrK+zSx!==hL`r-{1q1B#k z+hhVU5g^*sy-7)?EmS*}ier|~TZQ8;uo4b~@zz zFu`=klG})}i|_xa=-)3n_C`uy8bOqM%2@Zs*q`>9FVx?U zZWn}hA-r3L&fk!n1;^N(&UL~X6BrZ1w1w#%0X_m!mCqi^QI_#_AebJ|a4JX>WxZGDg4uKVUKK#&9N$dUzMof=Uv z?h#|~*9OCJPw1mZiepmGX&^(a+2;Uq1Br@;7Oz9DEvknOmjqO@xrg4~)}GlC+P`m@ zF5wrq#V)T`#UcW}WG|Ozyj#iic%uUJki(%g=sAH4rf=PD-gyg~TdmeBTdrqQLHCL~ zh1H{nESp{G0*qJeAjhDqFV4pW`beVaD?WJ)tU)iZrl|yKQaJvizyX0Vp-`#Fn zu$Rg_mT{KTCzDz8E_y?pzB6#D=9ozG+l!5j48pyQZ3fbVB0fT$7NY3q{h+}% ze)u6-gHYqhL%gHgb(d7m^m*syu$aW}bqUy6kp>m^&itUj&Jq5lzEcjMAS8Nkk!!vW zElXc;6pwSF3mHR;L6P`de?8jg4wcUVPgN%*{#~Rw>%x=$ z#?WK7kY>&iXv^Ng!@QayI~%red}H^!T=G!WYsURWy9RMKu|S-=;GI3x9XhaYk$?21 zbZGj>?(ckCg3bB7&dw1?BIC2T!zJ%@^W5hAMcvlC=gj$Uz>sceej_z3?l2g+ma1lm z%rYZCE(*K*oCOD5(SdqkpEogYRMH3Nce{FA?nVT+H@yc^;9=~eYxqGi?eCE*-;p!3 zDhuoE1!65rZi$HWN$5yKDI((Z&1qbYgyC0~Y4gsmz~!^rZo27|GpFA|R%K~s?IWM} z&5=gVo=4uS7cl&Xa0OOAHXYW?Suy=zDc+>k2M+WmYJm9hPGg+&3g36veQeRuNl&jU z%oekymyevn9le=ECtmyUQ<%GypWde8(N&$xdq{m5)zSTK7}QH0`|IDVWd8nqmYliG zF7#t1ZHhFZp}S5O+NHcu%UW+!xZ%D~JCVKR$*pK3;4CNFStRiRP+XMW52~XXLr+}5 zqdc~jSqAKhB1#e_ce9#bOy+lNzRWUlgyFi=`i}fx# zWiu%&am2%EyYZQ^tx@~&XsXGbI?D0U>Hwd$7y*#@wD#v1MK8zjtD}^<82j2iCo7MG z@zQxmcBT#5eVpt96RK$cffYVL=O&8dKti+7aWG{}6_@BAwMfISbA;>?Txyj zjsmw|+1l4|rqkhCiwZ(tmAkxcz1X1~RJRc|pSijys%UXP3DUSm8FD+8+8Qqg zF92o|{e>G7A_lQ^=!_C`l2>w>ber?UKHAydZOE^)efK^X(76Tcgug>!hW0QwTJxpp z)85*vHxgxPG1ea^jEY3HF@63ro!$O@`uAV<^yG=^lY~$v(In}sEGmLDB^MQ?eV^eB zHF~cYI669t#1ocGZK9&=kh{<#)x5};xBxU-?=*DO4K=YsxV+vHekwb zvxdG}z$OjCej(Y#8ir{u!C`%_^K2~zZ8FqJD;m0(gh&{oTci-73?R@|MW>}nIrr!s zvmr`3?jjQH@Ja}cj0#dPG2Olj1KwJ^x)cjDKyM|(G9lJKma(~m;Fa(a3yhPc;}?>; zqFjxRR{>6P&7L;LHzc|dxNt+M@X|L-a}rf z3kDMAOPRarauITu{^`sTqS6IwJ@Z7F*r<`oP-<}sK2n^9r2&HV5z^$qDZRPvvc~G? zsRTF530pwpMFD|>7hV7E6 zXNB&ZSuH6fnlQ)zHIiEvD4cSsqk$WNm}kZLv{~#w#>1X6-G6dZLN(ixH|!aH2Vx}T z?x+YMoV|X0=bF55DyT6)V$8mSui8U3`zK=Z($TF`3d|*V!odw$jC8gvhw+!0FscK* z+V$p#N@AEUz6IyJF#f?n=#_7c(W*-Rdg;Ug4@QalU;PsS*JO4e3C+0jJEK^ejWJtA zV+}V-DMjJ?D_yMN!d`g2GYU!)nF*6mg{7^ee%n}-#ZEaI&&ayorp2XeQuZes?0E5Y zgW#W*%D%=Q5Fjt67OiyG-M>-t{1M7JXyF=-ab-{)+s}`C$vrs^OS`;fp>eUxXUn5) zz82S3I;ORn1(?lD(8uF~2vN1yI;-~i4z=$@)f^4RSMHTmTZLs%8tyUwqpAM^J`QF; literal 7155 zcmZ`;Wl$VImqY^ucZbE@U6uMUz%6lC_cqrkFLvt~eAANXT2$bcJ zu;bGhn4Ty+@syPb7k(Y321)IrsnFp8{sm}s3cEnqQZbZzrA2{|umrp6Q=<$%#?tiF3Er==^mAz?OJYzDPB3v%I9qgmnh(meeJ4B4H{W}u&Z2&R-8Q%;rr!HD{nOCJ@IH7o`CYkU~igx%``a7Ff@ zOmlUS$??4 zm1dC!Ir+=~@8-YlA>!6C8l8ee8$+rb!P{vM&hKs|7)^|t0C=slDkQ|<3ju{Lia+u)a%dSsBr_Pf6&+5yF}I>9US>=6gNa#@Xot6 zep=l#i2)He*DLF_$WiQqpHmd4+8_A{;(NOyor(7E_ecQrJ33*D* z)h)$Vuw>A;l;S{wpkdsrvn6(pR`U65OGc(wKo?#IhB^BZF@aLi;8Xy<@NyYtcAY91qr_ zB!VBBD|3T%XO7@*ZDQd5HV&yYSBvvm6z?dPf$M7wN;uPp6ggCpzHc9Si^(KapzCX{ zTh6i@oWsFtiSBy2UlM;H$f_-b+ni&eG4iRXS!F`JWF{!8#=aQi^!AD3har|~RHz^h zWWt2o8abu6xm?vfj&t>-E)196*5(E(ZIrA0x=U; zyZf5>IdZE9%0d7?4e!5x21#m+?+2JeiSZP5>I{b-ZBexZ6)pm(JwW;h?|fWRQUuBV zfidwcvBmzmf4AK;D;Um4C?8dH(U&397`HV&5aG}weJwC47yM0H%Wi7DrxQ5Z#SnUCVF>k_UrF&D6iqIq+jbx8kn};ke zTf=%{1-o2e{68+nbQ?_VfuY4G&wZXot^OoCI(F!?pU-L7ftLn%5 z>Ed5iUMZMrFX5q``ZPFYmXB8xo%&MNflCx3)7FPMbHK|GN?S(9BlE)ps-Ipt@N5^y zn8`lNqeX67BNb-}s;TvYk)D`N-zTfkf*)GHtFJGJ{w+E85+ZYIBJc-5nr zco12QFGe~I>-B!!;FGfj%DW;2D^joD>gPh_=icP!*yNtASa-~T+gh+IQM0AlncgJ< zaxZ+1kG#7TWcek4lLN2Osa27AT`w$aOC{YVTKPOmogb468dKv0NbTVkqyjWP_t^9^ z@!Kdzn7oB7e-i0u{5%+c0`cs?M4`;h?lovW_D7)lG8N#K$C9Pyb9sFU`m|>?BPlD% zcyLvP<%@#%e#^93&(RkHOkyf@RoHyLpZE4ofc%^c;PoY86HXHe+6pj=kVJ;G&*Y$P zSg`W{%TXzONcuY59ct1NKTM&t0M`m3l{X9RkUi;^Z7E`bnx`EOQNT_|SnEkuuSh5F zM!oU~$N!xM$S=8tNFZPZ?ma&rZm8D)b@~fqsLxPyn0Xz{Ey6vpW!7olx?65__3YInzj+i%X^I_^dF#yfnUht41Tx zaG7b}asA-jPakss8Fp)uw^P#pjw7{YasMDoe8bHpsA(VQ@TzVFexnonhA6ox@Gpt; z+PkF$0j0Zkg<$YcO^6&qb&fX{7ELyD)5g19cO0o|B(=@z z!RL?8)e`f`qdRl6QHD2%znr5u`(tou_TeB&Y1;|YqmcXI zRm_0iCiCzr0)u9E=Lz3@3Q7Gn##eRm)FY)6-sW8x z|7_<#`~#>TnSYCgf9oCjc|6u#36}522uXT5nq)d)5?$OtJPfh=W;E3%F%{G=5t8}6 zAza0NJ99`%Gdo9xNA=p0+Imzbr(}fst;W$H4I6zd0X__g&I?9}xK916LUyMuFQqU0 z7*m72GI^j+A!cTP@c?msH+6(m;A`6*{pDtthmDh?x=~#R6{~LAoq7Wz!lR-7Q-w^! z+Fu}v$}W-w6lZiV>5c16TQVVC(_OZKJTnTmkBN6Cpna1(vWWxa~)*(jePXS zeUK(-2w}#=NXQz`FZ^BCPtoeDQ7r3pt5bSvgfUiec{Dqf;Hj+>7EkS|z|2 zu#B?MfMPkM(p`Z1)A_eJ$vuSuyo(bRV+ktKoN}Ydbg@_}$X2sHq3RPD=qv{XSEbs> z#Sj~t3g8dAr;yY6>@#Auh?H{LlK2neoJf5y5j%e(0>ABzPm{P2dVpX-r(N&u#sMCV|C zamp>XpK(59Nl3}>t?#Nv^)|})HimZPjPR@yssv&5Rs+^u#`2sye#Y+&Y(?)aX@$3X zy)bZ`q5vcZZmzCLBRM%Rcm{PJEU%!XZ>bHwSA1-DYYC zTt1&`&~Mn{dqhKS3GluEI~e4S@wXpK)jd10d}Sge?IU)h6JQ8=C(!Q#c`$|EPJb)y z7{$bwD?rNjf%!nZ`B1zD{}!7}Hx%kKILSXCU<(CP6S+@<{{nISHlzqF zjwbFdA+57JPF>|0T&Vsz1xw60B9lQ*8Veo%EzS4W1@#VPGhDG(4Bul9(u9idL5=T` z)pf={SbIGDP^Y^M#(?`1?bKIc%J=3% znzZCi80k}@AwU9rT4H>TkofmF911DZGU!PeLi7VLo5Xtltxx%xPBw`LHvL!pHb1eN zKSV4s%DG+xG|m1X;F3E|qzh(}JAM-`aBgDj!E8sx7lc4GlIr{$rise!yB82)`n zu)bL-hJ2)_?+d|m^ee$?R*&FJq8kGBzm++BZ^)KZMxgR+DE}A@X;PAJLknMfDCgfo zzm>BPBgbo?VlDE=!H?R;GTKIkks!}Tze)o369p9rRl9z{W>7gcEe01&N3-6b+s$wdBFCZiLLCp|jew?$uUe&1?Rs?SzQR z$WyV>;}GDZMMLD|d-Lcwc=7p+<*B&oWAX5nkm#n4KhO`1({GUBdz6*+d(f+B%Li!5 zQ}NKhN02?8r}rXTVaNeC;`4w|Dm?>49K;-UN_90f?1US#=I7GXuYX}uFAwzj7P08W zlvs$Zd97)DCT#Ds=v2=(03wm0F>LOS{p{ZYOjZET!oa*`Aw0h$>0oFJ2;nVT2S*=m z%x0EQh+q%(r3RIhMgna<%je!h29@;K!^Lkk{W720k-=&a^WfwnuN&i@9Hb~Y2c5;X zhLk@2t98mO_$moM*-cq6HKGPfn94uqKm0BjG=9ku_i#dk~--cXd(_I}LZAz$<=3BT~DwiYHa#C9B z=~yQOCWJN?z73P3Ufy%g{@75G?G==JPe(+O>qx!#9P7p6$YF$EPhmqQnpHSB z4F0A;6Oi|5G0H-iom4(vRw7<>s_TVv1PMF35BC0!HeO`~-YO3h4R<{ZKgqNgj2D%Z z6yc4Hsjgqze2;kC!q@|b45Sjj2FH@pJ9^plEMapPP-V>x{*jUeEKeR90ovzp>hC?p ztuZ2jSP}wRIcjQ*O$Zc)nyWLXm%H~bIUALIt)6$q8fiH9U9QIqd!@8i-x=+S*iw060MU)rJif~mE#0#DqH=wB*pc7u`s^F z@wbw(arJiky@J%F#Ve23FrAmUR4E6yn`#V|mE$HXfU5Nc%;) z49hjyTuCv}$djYOtcUf>r;Jtu4xg%S%Z2OX>J|5Gzg6NKX5IY4KZr-}Klr|bS0-RW za1?YTv|nC=C+`O^(92KW1;#`dLk;cazpUz{&AaVnuF@L(l`bZGgRJLv9V^Bn!^?)2 znS7^oZo0Xqp9w08pV4Cavo~!ycOd#g`8D>!EH3t<;b-iHl?u#v>@_4_V^al&d->Pb zSgq(2stL@uQXl548FFUOeuuv~H?@V9M9f|L+nwROM52dj&SxsP0^*xImNkc~Glcux z5wdeqb;2)bQCe22%K0FS?3Sfx)p*mSO#;J-VD&5cN@j6447=Xv(;GCn53^?ODXP*S zrnZ(U)L)G~u=bl#+Wy!jMu-dtE*is=momfn)ceTB#AuLngy+m8yzW%}ML*lDHLF?Y zA9FNcPLgH<6}RW+MHjlR`5SD&_BMY{Z(H&ToVY0!k-~aNdb{RpX6hy!N6W~WH{Lb= z8amMTVgv`@00*mBK-Xgcg?{rwR}Jv+tn3v=5+j^mSQ;FJI?);yT>#gsXD!Z2gcb>%MLy;!_b1@FU0 z?on$>j2VWf?(3a~<_8a=OFOa37Ao2(ciQjh(wXX`p4sIl-YZ_kKRq8FhuDKtE>iUC zmpy4#QP5|4Y#u;02bInbm(GNQ<(+%Sq|iVQvs-X`=`ASVB`Du|%!^gzL(UQVwzJD; zgKD~r>`c_7+fPm9BbrQ7LoFz@u0^TNF5R{{T$P-a4SrR7+B;?0Kh=H2pxmvh*&}vI zS&gN)&NWwc(>*o^R!Me~lPPwaobWRmJ6}$_6FVB|Wc;QGYjIHXSU$>`tDpN4>xCEP z?X;ABWI7h;dF_gBa{1shVJAkgQt~tR*fbIR_3`L)OO%+NSiX16<%;DWtR2!2xhogX zf@fCUXOYEy1N!;3AO6-0#=ymF7#br0{^r@K{5WJ?I^P@wCEqp2{s%YMonku6n(_5GnR|Ofa!Q?CQ_^3$hz2WR2`@;BWr=rvCbp zW-uv^W5ZbZ7qC9EQZIw^A@X%(6LqgNWD!wHYt~20my2-M)>|@-Q!IIf4O*omSX}w< zz9!wYerOAYia@l9gyTvO*_gii&nn1AbyT#jX(#Z;iNk2@+o4BK_c)ZhGVaMgi?l&x zJ&h)!QYjBLoX@*+l;!cla9j9XqT{$>7WSxxBIbNllX5+zB;;%&9#@&O58hsRK*`c88sx{1OI9E3 zgYn41c3#jB5MExuhyl79%ETXtIx-d})y)e^9BhFzYFPFB@hDj$0&Xi^P+HsLa9Q5* z3eJ3cYwm@q{H*AFBai|e5rKk5I37y5=VU&pRG8o^K!IKX-YRf2azSS*`U&2CG19y$ z2A2>q?Xf>%JOB(i&1-#V-kZxClAFA4wkq|rH*)<0mbj`8)x+E6pgD8QGY#0H6kh-I ze)kj46j6nj$zf}!Y3{zYGt<#68W?y2maHRI!>xC=eg3;eg7}*~RJiwFrXk=BQARcQ z%qX5hcgXQ~%ML=Yyq-7bY_&IZ(wJ^oeBpMtyAqS_T>#lFxWIu_K7Y);e>}lJv-GRK z_?z`q6vq}f?buM4bGP*AzsN|Ql5f7~GLX}YR}`q)+Z$6nWWBkX=~otCTKe_Wo+;e(W-MzA*^SOGkk7Hz}$|Wv2)C|frd;z zpL}D-CsOS~!s(TfdlyDLhK@IDp4R_3$Hd#dLH<_`H|gzC;0@;?iP;al11Vy;Y$rz| z*l>D_LE!cPZ!wDts$$CWEQ6S~h})a7A`y&SEQqIU3;rLgnN0A$$w|;hG#2X*m1J@f z&;f#2T|kAun8GxCv;vC;rHQq|_!4IiX#{49?!A4b4a#Com=ctZ&#EC3@$w|%&!!M0 zv&2Qz#lj>|o;{DLS}Tsii>}=9Rx_k&SxH4qDd-g-K>Cg7u3Zfj!Lf6?)u^;fL zqaHKTWLy=IFdoY_AUXlo;>-|R^XM2WWSgQ?Fv;Ohwe7uevFpqDY8UbBl1ekQytc4Q zo35rO|B)b(2yI~$))`=ACDi>n!%9X~^v`K#mj+l#&to}H!~yY$C5=;fCy#3W+A>}w zifY_re(yXwv{Xl~euJV}m9<2`bwZCQ<~YFT+$2>LFYrq!r7JpL4Te2!7!_jt2QP^# z)qJm-MZR3{iN{!73_-uE4}Am?OW2OEm^CgXs|3TrzrQI6;&V*Dxt05)|LRHZ)9v}$ zy4=Bo@+_MQQZ~OCwa*GPiBKqcs=jmnwXP*J_7WISt}um_ZgrTeEH^vIB#BohR9|C_ N(v|S?`V05+@?Qj?yKVpg diff --git a/double_selector_8b/db/double_selector_8b.rtlv.hdb b/double_selector_8b/db/double_selector_8b.rtlv.hdb index 3a53d9085c86dd619387bd4108235bf9e6be854c..67f86885d086131ccba38892507c06bb4d0b257e 100644 GIT binary patch delta 7852 zcmZu$RZyIZk_HB6u#n&|NRR-*Jy?+7?he5n2G<`QT!IF-;C6rj0R{;WB)Ge~%RmUe z=iYtUhwYcIs=KSL`m28ED}iRwBozRJkBsymv7`7eAcdm;FE&&EFZv*#7-M~<)XqIe zonVe6a29eToP3i!k~V}&P{lJX?{|1e=I8ecJ+aW=n&yjB(fa3aCA*y?xeB5lU7&&pz^dxeYo8 zI*Ls?bhQy%u^gR0hz1^&7iiD{PUA5 zVVh$kEF~pRQ~cYh+3g{QyE+;0X?-We)*3J2U~z?n|+qq&hd3Yh^_U})ffqm~o> zKZ-x7_}5nV|KN2qWK1pyyYoN-{aAMZYr@O*s4EudqdJ}wapiG5O{O09rc z{H59{m4!m2b*!_#{{LkG|1H!@6F-&P$5Wa^%dxx`;+}6F6~qKnBqecP2|!i}Ao_K& zr$q3}TaA=@fE%Qkq@#$hByAS(+C}FA9Oc&=dRW-49cB;E|bf zSHm9u&33x{YtSXfVDRO^yhk}Ww09o8Fpjv8Z{zMrYL6ur0JdZEQ```@c|0{`PfP5| z$BioUHky7GLG-m>R4%XO8rQ>u?2Mq&JX#&S4sDr4#$_;oFKWc|R+NgM6P8YaZGP-h zI%ol#p zd@_hXpSKSHx^%W5n#kAdX8#7&^xrzX?=*Gz*A{y|ZxGNmxAo9Oyk6JzuW2Y~HKB0Z z%67KcaIUUPaHfsN&%aOE6C+j@M30M6VMdePMA6S=;6&p*Ofb{D04w^(AU0f&-%{#t zvalnwLS;-cR7d`7!#1VJc-k6thwZ(j_M7k0Xa!)utT4D^ZmcGEXsiwmXiS$onyKyy z5YtJmR3+rHoYl*=auVzmHZIcFF&Bf>vsdbfRW|?ES#(_2rouK|dm+d~JmZH}jZvz) zPGFPzoLF(ZJ;?{ZQ5GeH-J4^${ciC{gMIaA!ymF5mG%V>dmZyE)hfMxZB~d?y0!)2 zls*d>;8p9NyUuUJ7WyzaP-FC*eC-JUEI6kYmd^&Vz6tzriG2>o4_F~>NDkV<<#k+_F z?6VI^k8F7TY5KJB6^dH%k*H*&Q|=B7aizANotsz)7nawdrM8W8sH4~w(we;aC8?!IHDfwXI&!4Slh%PWwmRi1b}@VI5&W!u`)k4a zaaBv$c5qOIdefUD-%pL{k}P2WckI7O_`p_AV>2coh3` z^P+V0vr*=osRc`&@^R8pY(A>2qFK91>xl5l*G_ZA9~&h-RsQXxy6ij9C0$4<=VIST zs%ss=%b)q?Du1$CO`!ChL2g)+KCL;gOg0}rCP4Yk8LV)~Mwf+8q)o3CkbpY-C{!KM zG>It3%zy}eq?<3_257!$X8FPb((hb$y2$r2&?v>bN~|t4`o{e)eef{mpPaaZ7^*wtPKIYys5Wr%Y z-#)avA93Q|Quzo^3Os`mAw0}pa`n0n*_A2AbZK*^C!ZzlekiY#R@y^3IGyVW6xQwd zaQCI%6y!~6r~tpY_uZ1*#&({Wp3YnmN6QQ!%RA!<%_?72C{NUX>rFperuyJm-x(Mc zekiQ>Ijut7xZcO}@Ik1mkKIA$?p4j3jpFKr8}&=a83Avd(+?JxM{xtg244&w80rJM z-lpqj^j#gja;W-q!+rmZZ(C1^2Q8uHH-SZhyam7I_e=sv=1@)%T*=@^l6x%Lz~KxG zMf7ca6e!ZGC6Z`a5QW<0bF7}w%NFyA ztzV_8f#kwpi?<``vvEdRe`1SSy{1Y)`oy`78@zzlp>BO;@@1$jMD8GTd!9kKdPlxYEKz6hr$PUkTZwyz6_;s zr}!R-IUWvU#P+lQVKGCkqhyy_qm_bL4Ymd^tOB_sJJw`ZZ`8+XB>q9ZmlE76yx>E^ zlToOsTghg%Zh!E5!1-lVVn*#1M00#YPX@)Op*#bOTWQl!`VvJy)!;m_YSZY-0CZA8 z*qFIyJC{6(kyih_G=Y6F3H)os!F>VD+=5BtTN-L(b);;2zZXN@{sy6j<>75wy$h7=fg$a(VTao!Ppe<# zwVaq4b(Dsr;y^Y{=De8}>$TN`ds-SuA6x_IgZm=Zey%x|bPXtL_u6mBu4j*ApiyE+ zJsr7`5=;fWxZ+RevhIWY!i!{)db0<219zQ`B4d6zmZ**3Tk4Xqur?06N6>L_q=ovY zsWR4mkBlalL>3^oHd^f5}MCo(ho|Jf-oj{rLqpv=%D81^*jeEyO;-nd{ zv|?l$OiDpk&(K`iz4`okE*=|k9w>E#y_NcQ0}W}LW1FLf7y3X0jc~rCC4<(0TUB5I zdawX#m}44kK2o1aoxqS%C$p3@C_IICt-~gRge87#{(3&dqRwT=nRMPRet$XS3)A%a zh2Y{GPA3@%aodeKx&^Tu6#t#%G6W=@qx854W*}NWn`@Q)C=Gkmg00|0b$CCkSYL&MeW{aDCcNl~Pgah1jsng` z9L4A8iNSx4`R9DZz*$Raq6X4%18GW(@Chna)NuTKHje#q@-y2*V_%hGpfYQXjfaAS zFDoh25jnirv`}j(Lvb&A$Qv~9rKX9&Ve($GK+SxQbx{UsW4yu7o2vnh!-S5z^)+Ub zO4AD5{!yTN0xF>;!OLw#Omv&~Rq&ZY^3f`tbiT1JYUog5169|UL8>;Lb{>gN*=L;u zgm@0_t_~xqNA6|iM#`qR&cwqaCbjRT-Y3bg`{N$ zD8T}ZU;&b_fL8s1zad%l4Wct5tL>c!O7M!?K#Ql_x44c$gU*}yCJQH;n z8oe5ii3(Kjki_-=oy1Popasieky1&aEd!x6zQ?^9Y)PqUv}h#Zdjk5T-m45>OJ#HxVM2F1HdBrb0bE#x*%w=q#K*L~lc zNHbUVfsbrezq^uXyHaVFtp>w_r3C~|Q%#4JsJW$hGh~q#@gtpNF5P%2cFHf_7w1vW4`>I+KRZ9{tyJCY{CPM8c1a7~-Xx-ZI^Y^W zes${f{;v<=CAq#5F@b&ik6$a8rpNVmJgN_>1c0Y+ZuM|<9(!|)xcuvDbi&I|`e|LS zGU4*p&<3!O42&&_7RPFkPU4%+koWzGa;-M;47-%Dv{0;!bXk-h9qZKY$C$AG_~epc z*MM#*Zqcw+pFVEWvstQtE(0n@&Une7ZD8Lq~jED;{nASG>P~{RG?f!WS%H=lv z8Q5yG*zybBc1ri;5rU*FLN~N*exj>cFgWfYKhbTmsVgi>&EzAwq;k{Hu}EBe>M1`c7$}+ra@y4gSrTPrEnua({%j)- z<~FgIoi!G9E$-5NhBAEygqJFWZXr>607kfnn;r*r5i^{#V(~4vZ^npaBiJ{edH;z! z1%D{X!D>*44B7#1{Rmq*_-HUn)H;s**`;yWQpoh*`wB? zHqHe_rjdkw3M8?8XV_2bSc0a!gXT8 zbwWY_S@PmZg$(-ok=9iRivVb!S4q!gLzOmpZ}1xDS6%B6+`yu6>2u+dMWOKLLgE2Q z&wj~OaH^XBIC7}?@Z14{Zfl(GGsO01hO!@y&uqjwE$(gF2tJ$|iPw|cg^_BLcEp=N zHYQ3_B-|IvHzbnNrPLcKM8&exa0wY{9$CQ`{wkH@hK8JMZ}Z-~4hHB(Md`*wJ%t2I zHY?|YEC)l1a>Aths-*jV(rpnCa+yj~ve4lX5k|&F(M$Jzq1$36bmJwEJnb~FgvLb) z>PS;Eu|na4Z&~R~Sm{&<2t%lw$HUsI+u0k6g8>Q{h9sL{dPkFlQAW{taZRfefFGC+ohXGTFG;;XZh;0{boPw~b1 zz*65)j}L0_ua0X!+&=pdr5b*y_u58p5Mu0Bl!aXQ;9cWxjRK^lVlx*`$fcr~uLln2 z#u##`-p4*A@^f;KQlr!^qVIa)|KTK9@nheAh;2O+$@{?ko`d*e$C6;Hc~3kJb6MQ6~utuI>q{mssR3smASI8CbVa$(GT9NfCSc$;|=&MaPa8 z3fNZ&Wq)nw&JABK6qRn&=x^(U1@}V%y&5(?RYTPG7SDK(rrA&Z!o-PQx$~{x4Jho6 zPfiS0_%r|t{iWI6^Asbm(Q$E*_TQjdKYN^)3{lA$xznNuk{VNQC2OY01JaO_pi#VJ zvfp5g=Ma6--1@j)2y9VlUqFK=bGaf^%9!-%KGi$a<7>Z~studTeckI9YdGtzKf0KW zD*^QDQ1_Jlg?mWZ%c?NuaGoP|tMnyLi6WFbI0z6iIzDP{pbRA56^JeyvOXgHm3UZd zN>+7jrkFUD>67AO)XKzvnb@QFXYuj#?_y_pr^KG+qhE~lF&Vg!U6O1-`R_Mq%3PIv zZG~v&MZ00!kjo>W6(2aOmDt?;c{p21l<6jasPkKZ2HYQCLR=}0W2Ma;XGrkT{GTQ) zO=F-f@a{FS_upO#u~IQ-h5Q_242_zvH2JFqt1-<#b}tl1_Hq^v9bEx&G6%Q}=t z93+0bQogZJNSqqdd6B>2+EhO5(z4j+@Cqs{%v<&F<2HJ+Yg=~F5LX(*Kl7PbsZB0z ze_|Gcv^)uHr(AJuma(jUvzfX!o*6Ljs{5B;&bjVgJ zK(X5AneMb9o_8rmnr+dE?>a&f4I_d%r3yDAWG~9E<@fn5g0B0 zh*n44x4f;M`(hr^``uX7;@8R5Y{(n%s9N+A4(8G(vjyoTyyUP?h!&kTl#OcCTL*wj zN|vR6HQ4@qWKB$-@>B7(^`C5^{gUm<84TQBv+|?SHLm?|(hbR-cxBo(z*=>DBs0Il~&IB-*mLAqkBM zi1nRALGLur9DKn8K@ty-oc3kmv3Lined3k`2xXKz$hgcsLuKG@FggKI4Q#J8Sw71D zNI;l!s46>ik?l4oVY|qRotkaTqn949AD0BAjhCHvF_k?xJqRr9O^#6g(S@}Nk_XO5 zSpn7?=|S{fx`&_iDLF{D4oZllLUy<9+bajoG&(0CluTr4$=2R z#F|2uV!@>UQ&n%1=j>(lCW1fLR0buim$*BUs(;e&)W=d^^NhCunVT%GCbG`Ks#}<7TD{C}v^RG7ZrBu+pUz z#B-^d<-B$7T)=~4Hygz>jO}Te(yJYOjydR!9Dn8q%!b1n2 zL}(6owVIwgKPm@#9a}-}`wCr1ZR0CCSFQ)ZKDFl3mu}}QUx(CgUxt+NtC()Gg9?xx zsjjTc5jJc&&OPnGv)$bKtZc{rMiLpQwe7E=yzryBnO$kSZ`GZ1c&`Wg-WT1}K)bv} z4o4T~?DiCAkAJC}Ka1$O84(ftu_XqF8kEOJARKqI5Px9zRW0LP#S~P}oD&>3a75OU zvuL6VBw~2>=@KJU-!}pIdWn6x7+#X)b z6VT5;eYflAirgxA2 z@I5%H4mI96mLmSV&L91r*X;Mz&e-wF(QoO>QRLWnrK>t|_rz_aSJq4N)>WyD?N)^& z&U?Byun8EmDGBLX68HRfM*EOXamzOU7w0XP9v6qH^<9KXjQihSvu&5;_V$wY8@`NY z!Qaf|>;kJhEqfj&?nOu5&;X^!?K0IgWBHqmi+{{A9@#EsZ%Q61&Ttp)t>;}H_-{D8 z-wVS3h?4lNMF#cJrwEB?G3LyR=vHU=qgx$2(Evs&qUZZ9iLPtY>Cht_;W14gzgzzg zrf|wfZP(CvA%=~c8QKc`3BD;WP6{!z{n)eMy`0QSwnp@LAxiy!CmnvGj>RH0s_rb; z!Bg`7ty8pJ)fFt`yN$BlxWd5kcbgK?;Y*{WG5d`uk8tI{?&qZDuo(=y4+R*d->G=l zhJo<5#n-QR7|JF;coJF5gjKu|Zdw_sU9x~m;&Bmy^b*UI1-UbqL!WGPpck{bBWN5y zOiw2jRQKYXuw)@^@X|1wToOvRFl<%sh{|gn;^FKJ_}t>pLc1p`M_^m z|2vwD1jT(bp&)S7U$d8-1VQmZ9;yJ%ylGSK_9KfRu`m(GQ*QZ(-Cj0+6X8UTvm>AF z#Rt-S?XrGuF1z=dxfLL>2SVS#2jJh2*~^O$azN_3;%oKS19hdP)~#u!rJ3n-EHDFk zxzMq(u`@hD@vp5E5f3VIYXl-0559~O~4TO5I2;Bw* z;7BnL8Ymq%V~&39{T6J5Gf78|ri2Yzr8MtMxs|It)2p?hX#Uo+NwfP+HgXRzX13V` zZ4@(oWW~V}?`4d{Fco`eh0sFX%s`ojxoE`sE~l<14?~v8gvdh(w3R{BR2c~Go(Y>* z8RxyPgn#-a!(w8?WQ~mXfZNCq=5KC9BK1HWB$!4-y62KM57u}UoJ4$+Bw6?+#89N$ zv5CUv2ckH;pBC0Fa~A#M;Hvn!Gs^b>-kadYQWjL%?IzP5axz{ z1`i}J*m1j#c*wH5vanX_W$n1~L7Libkjz$ScL_h0n}ufr{7uooN773gQ`=Rw4f~m7 z5ArEnKn<&yz)1ur1Ufer#itSaZPSW(^{Z&(jCYby+LY=wP7RJhLYwVOi7w8sv>dwG z>A#ZNzo%BZhmwX5W(vApvr)e3p0P91ZgSE&Bcz2JiaY0Rl8hIQ$5BAqsdOtmcy z>`0YlCGxl0m?I_q<4vweNU0=7^Z~UNw&J>-6S3y|H7FdTTRJ=DH_+5PI~$xN(Jj63 zatp;kL$h^OKl*l!Ea<_XSQ*}EMJ<#lwU)#xUoBhv=fkvL`A#bboK>*Igw?CnvCcsA xzm{6Yjq1e=7;V1K=f%;&Dr{OCjzvz5pM+JM^(NOI6_h&!C6SsRG5*7;{{bM@Q!)Sm delta 7138 zcmZ`;RaDfCx1~dB=o&f|B$WY48Aciu0VRg+l9GlWNR1%f-61I;-OWghba!_n?ft(0 zf33ULeYodkuXENqXYYr7&daHjJcC550T#Dt|A{)9{~{dczj#LfU-Upffa80BCw&bG zFt^%Y(aaE|?ao&9{+;ffq;_c$npZ$7u5Hb3$^8LJI~#z0tZ~liiEw#8tQ^Bt47>Nsu@5mCQlF4CenS%wm>* z)h@$yd}@XqpdIX&Pl_l9X9DkZ8LppeVU$s9d%|8zY;!Rozkrpp23Sd`-U^Y5LIQDD1{oI$?GOgdc;Fgwq_|2%$QBv zSdN$Gr&Bz8?abQ^S}Kl$^4NIWGHu)Ge7ll(3Wu7Uf3hwmiD5F-j|BM?^U05C=9HQm zfMsU>2tw=Q*Zwe#%crb3<#e_+Wkf~!YRy)O|5WA6!i{;NN}iYY&GFizc)kl8z8HLF z=U$nm%-gL^Wgf3(XdhpTvdT;Cjg&H>Ec8t6dBs$B#$8kP?-<`VrQ^IJ8Kk8G!{h8z zH~&j}nWbH%bI|vX;#4kxW9rtCJL*Oc+iLz9IKVKIL#!(G;F#!bfbS3UTMdT8?E<=a z@wt@zz|4gzwfnf>ZAXl4>t=dAHX(>{Gn!MX;0%HZCls&kS2N+fUiK?cf0I0fG$32% z55|olnxm=y2gfH-7^T^7c^^eVhuLh;gCnqlINl{fu_1k5KME8BG!lwwt1Av0cCu@{ zLx6IuqgM8p*myKq<+&iUGeTT;K}BVgbcCzS7~K!l7d_&hK2g#@^itIdMRa7gW+Qb; zP*dSBc0y|zrJsH?C-Z@(Xa%W0N$y9;8b^29gG8ERw&xKnU5NzOL*$DuLF{r@UxN@& zb``SBpE*zGHrO37mrxzu^D#`L1ig9xQ)lqr0{iU?*trjblesq9Yd8DE#1}NbJqSR? zL}I@kzgg@W6b$8}m5;o!*OsAC9kqb(LwMd&|I?sfF#P01;z&5$NlOnsqMrHXP?-!$ zIQ1oy25r2~3s`AQAGrwGe<<8pMp%N!J$hHG^n)>n0q3V{B^4OFnmW^z>%WTq+f;~z zpZ&H}dGiwY)hnXWy$jE-HyB-32$8+lhVYlzd+CK%jPhxeE2}4k!zTJEl)q=pw6jq( z!%to?q~=aqPgr0FjZ)Z8S+wf}yefK{%C-Ac61KTc6T z5-?ZhF94+G4yR(vS%dQ(2uq%Fk&a5JXhys}1xM!XkpvAf=v2ptozzmQ(G1YlJ#ev~ zQAiI)qlDUJ9^cJKbF5syCVOrwymr5WUteG4WZuuYzAY=&lY~)(D{iAJd$im*XmrsW z*xhv4Sztl0-*LAwcwBn(WV}JNx0SeT-pESfz!!My`8C9o&*F^|{7GTzM+IGO&{9q{s7yTR>riDg-o-g=?ew+HgOP)5h@Z*t`i;l3HB@ki$iHLB%$ zja%pkcB@)uWT_+jgVe!SQDK$AP>PW|Pk6{ZZhUU`QUxEN2;8$phYc3URo;RVrRDoc zpdtW2%Q$AQL56l?7ST869SWI)FKP*jZJf|HK4=>Y)FFvw84C-bLPfAbhIrb-3{3p5 z!4jNMk9uB}=qPjSH8=a|0rnP~A8`^-hbf7d>3ToQf8YSAABfP9uaER z%9zL!h1T`8g{NNWIX^lox3qBS#Ro%4O%{L?%7_`HCg6O(u>Rv)y82+WC0f<=ZH)|= z5QcLrjC2L6qjf~1m8oNO))nr+PforJ@cjO;1OKS-=fdoqAkJ53ou-Yp;7a!UBVh7s zIfc)am5wem3UNo|i0hxjzqp(QPglIMd@}p9imeUp#RuJS1{m$ViRuLZr0j#U>O^}v zero|0%;5Xi+sV}5m6Jh!t(+J1G6dTx%gAVm`GC042AuYcky*Za@si6MH_R8a*UXZo zIT>zloa4^?=>Yq9a6-=O)tY!R5n&Qxvljt_C;)6aBTYn- zcGWYpp1W~1kIjPbbOrY_Gvdp8m(1MVjNPl-`Ar>MLIV@kex|QSq4hYd$H+{GM2IzQ zk@b6o>003T|B7BmtD29ESBy+zDMHUx>u!kN?+mKIq@-#G|h#U0sJo+ldOp+P=P<>-k7!5HD@&k)T+Nnk;hEFA720szbLW-dIOAnST zQw~tIT=%mgv(R#YsrsfN<@zkNkVMQ}jNF{nI8vvqFvL3Wp~KK5)9Kw!2|^_cN6m3E z>sK^tAi5O2(@>#&*Ynac=DF%uX=-#ARji>bSci`k##R$v@;;l91H%6XL*O0VJT=>z z$eW%;8d7(1N7?sHw4nRo7Z{874l#;t?9fO3kcDT^HbOi=o^_pU(-6(SJ?N(Fl+rBg ziQMI_{HrYRz&c$S+kC>XE9YGe!E?54`)pGEJ1uqUbGlOoAtXJcV(I7xL{e_olLhWn z@Sw+P!l^=9W*x_JC}h?~DE2-iodY_8gJq#NcPS=>3^gBU6zYj#v6eZQxy| zsK(_^({RyKADc+HqtEkqz!)%i4#9lQwc#0)GN`FE+Fy?rB0Vp;U5Ti6h*NBP26ey< zqNB#WYnaJ-S+=muQsPn+$}I_oE5=+WBCbV1*EPV+rZ+2+KR}dkH%90i4dC=17 zNravQL_}X^060+; zM||kv`c3wsTAQNW0xzorDXAfb>`A}7*??qjI*_1vl*B?Z5RE$w@)bOzVZQKU{pL@$Vg!btm-oC?A{o^i{iD0L%KAb=zS)ohepPEsmvVFj`E=tb$Ms6ZBo{j0nv;&NdeNO+ScvE_GbYh%EijZ zKw8htTEWIzK`v@wp>wQj$r}3^KreblaP&e;yIRVC2EE(;8Am3pV@4bvg&6QgP(3(D zYghj~z61?_*U*6FtIMTxDLwT-c=p|>v?I7NItlReaxocUaeg@^U7++V$*{x{F#oDg zR96d?TL6NFf|NQ85iZx`OnNHMx|YXkf(;r&8W#*y)8QFw)VyK8Nmc=>wL^T=;$0$C zoHGa;4MObVSs=fn)652j8S{}6F?V##S5;fYIwSRF1NG(uaG{M#vw=l_$2XaSydxXm z*!oEPb7x&p0z`Py@vsL>W(}qr`KUe(?7!^LqnLz7YLGWv~kV+nlxgxqU+4!w2qBgHAnC2T|kHLC^+A%lfBSZSRFnKe`m$k2r; z&|SWljW}YZk{TT>(NmHt%k|KJWL5bSSdx&@=0awTggWSm#iu;xv0AK1>2L%-vYdvBa?i>wuVThWR<=6udI?2}OPeRrs@PMDrP4w>ni zlP5kadVFD4B1p-Ln@-%a>ySH5bC85Q-;+mMH!=hCA3P~iz-DuoTz(*u5XuwSrNG9u z488x`B%fA>@S(xfH2*b^cDKvD&rsWt=?c9zhnqdE`~X_5RmG^9c6YQ-NCEoFv-l%F z+w1o-PTcl3Y130ZW)-92;q}^y5Oq7I+kw3WUn6aEwc6} zpS9tf2;gBx0afz0z1tbsxxcy^Q;mjHK5W{*4gPdfB42%iI+c}+h_p*?Hr@=&Bi9pa zkjKmSPK6WXL+;wN4b?1pS5HEXEenBj7wfNB)H2-{yYqCJjPSpI-``JqeBfiM#gFr* z3gr(?A>@SQq2E+-EGvRd^LM-@++@Q*Pf*Nef`$~y!Gl`volkme7E*j zd98u!ZSq_kzVzgns^2`t*ay{1D_fpAiTCZrmQBdE@7g5IU!>|zZCF2VO%dsk69Y7M z5`|5!cW3%k?$_NijPIEs1?pwQS9zkL(u5)pa6bcl`R=qns`pKbK-{v*^^Xf2?%@mF z^PMQ&&9uG#c*erG@<-dr{vZfY(0nQEJ^w4r%WZrYY4ngb25&AoExhnLO}>VEmtG1M zqRUd?FEUE`V4`r1DkN7o?KNMeoRXi;P$k9ykr zMZWx+;)g>L?>G3?^SM)@edzDdgwFD_{Q(6HWryBLTN;?7n#&)XUSe%PRAqiia*Dn} z|G0T=JVxu#|P6MOSczAC8OVlV+9YH6}^Z|S|?5}W2C z5Q3yx7wb1&8ONEo4dpYmCfSZ8lyJ9JnegRE^1JeTTWsp(e-8#hAPmj;@j0MdLL-ywQ0hQF4wzzc?s<` zd9he_-;y`3difD3)h9=}szPr1Yd=Va?-wf(POvd$f17GoxvwouyFXqkD>*tYywKfD zJ>h)JNN^adG1wI!tboHDTJQDw8UHcY!$7`aRABO2)z59; z7INE@^NGeP;ydHw1vTL{&cAkjyX91AwV>JlSH0BB5%{UyE}5eZ%ez|+a({SV-d-Bz zwKa3Pf4tT{n6L3lS$J_+JPY*{_iTXDf@!eV(~hmvE8lQtX+gwYI`YdS@3vObI+XM% zkhC*24yiLzlTbR6M*LaRAq&viL^&^wmDK5ttf!%MdL`SntGGQR*k@(ho8(?X79UJ% z_(uqsfJ%4Dc9oyQ>aGVV#G9OZHSmJ;^24KNB68z#HQ5XRaeeL0_k2s8q?PW&i`a72 znS+|mgw0895)t^X^huX^B%kHD`3Gdpja~J*8)cSiU1r@sRB*d9JFmGjyYx+orFGt@ z&4Y)b?jj+KX-AbJ-ak6=%uA{yk@V)74w&sgQ~#boT+R?viKt)laEa_x5Vb-`14^~xl8?H>QCai`mj&YJvX z?>(ihr`=2O=}*F#52fh0_fH;z>HqFGw5wvBmuv@ZI)BQwYpe*|oTvc`+(1L#iyDBM zZ*7++gZlMS{pb0Fl@Fb#JTy!l`&CdArfl1uL!&2m{=P3-vQk|*OVx(yG`Ael+^)Mp zKjb-zH$>$dS+3OJdI}3<>)M+{{7$G6TU1#8euHJNP%@IGVG{;yhi^PrNC>j$tCkNcZa4soz4Ml27-BQy1p%}Q+CIy)mM#}6W*Udv} zNZL@U>6T+Zd)U5MjHJUyQLpjM%POGqyz7b+Jl=hBsW2|I-wBIk$gzF?YN_}3;^Oz_ zUTeSY=U*dU=W6C{;Z829`+p*6FKhpT9hXVej-IafliqBj{UtEE%rt*5B?+7s2L%GC z2I$@%h586^cfHMWXuMo?Mg7N`1Ylaj&56h)02?&5RXdBLHx&EERC=su5y|!4dEU^n z`xuyoM)3IQI7#eS{tOMe!e^2nbFx0>??)|xjz5`-vyP~v4)B(eUHYdNuWpl>!k9zL z;ZtLNH^m_l%G;-x?-?o=0Pn2qnmtV&&b6vo#o6m?*v`!T-+w+2fB$-*`)H~vP`;yR zOPw26F)a9QZ6Q6SOjs)vjg=PYnhm+jW`;l^dLZE*;^+v<=V*k>NJoqhYRad#$X z5ITO$h+?YQtyP*k|;A2heav-eExI1aCI zJ*1C4Bz{sVlhoQ-Joau-p_e=h5b61yuEUH&ky^z!H3EWl`5%2VZX@@Q)B4OiUG+I_ zT#sew)!g+?S0%wy;I$9UwMT(9wOlUBabPs&gL-MO_bZ&$BrKbzPa2T{_Gh0`CVMe4 zoF#C+XtL3=zN#o*ehSY}HS|MMr@->&Nf}aRk81H6K8|wh;8~bakFAYK0O==9cW9{p zv53*I=15)Rr(jZfK7qEHG0Ns%7elZaK4oc>ZI5$F zX+S>Vpo$>)*@OsZ*(TCXlc{u%J^f?9kGNc>?<#4a;%P{-NKNJnZ!y^9_DBUGBUS@< zh2916F2-;_0LS~hd8uKZ!5uU4LMl3=ngZhLOd*s>_kzZl1YMJmfJ|ZfEaJyXaTqAP za_h6Q4r9|&5_)1mk1!cV3A&?3l{AFd($R{H;#s#9(&;AyN>`nI86vKS%KkucEL}r9 zMQO_aUJsTD$Ex6fl1{Yj;@DgEr3PDuJlZEQ4lb?$b305a%35+C$zmyR=SKS5eRNG; zcDbjT$S8?+o}_oEddO=zE#^S1(GR(jc}2Ezz_VAz(U36ksMGB3S$II{duZ(%U855B zcVUMyEsBUEAGb68BvFv>mjHSPJi%&wE2cm&dh|P2@gGXLuGRB`*&fGEsGkvJ0}gJi zArxGCfm-`X5!&xkUf~ diff --git a/double_selector_8b/db/double_selector_8b.rtlv_sg.cdb b/double_selector_8b/db/double_selector_8b.rtlv_sg.cdb index 7aaf4e19a1cc73d1edcc8184c3794c3392c0c445..5bd482860832bc373d3f2559f84677562bf5beea 100644 GIT binary patch delta 1006 zcmV{-c*6)_a8SB^L~ zy-`qv2cn<|cDLg$`WL;lcW&J1{`q720d8D~h%THJ1yP*mF3(BjhMV}3u2em6pwpyt zb92uX`uG+K;*@+c(KUEv`KTscuK~>E|{0`MD@_*J2z7}EgwP_~bz{&g! zGP+|ZKX>w+tBX#`-AYfK;CCtgQ>SOSQ|X^LJ-p*khYD6mkkp zJBto>NijND=f&t?r52-uwN{J{R!cEDnD5(|M?NuL*nj`+X~Abn{5;`Y(3Wf$FI~Q3 zCyq*Hop{`&9MEdT%l=DDa@4-(&ykT-4Xe&Y3^)o04KNImOo9hDGd=P#W zmJWjiVI2$#!Y_#4LHLc>HwZhGgMv6COQ?4c{w(Sngk8~tf;ihZh)n=;R|&pv2zEUW z31P`U4}bd3!-Aj5zCqaaJSYgi6nY2YXR>b)b`%B$;WuU9AQt^xa#`W|u+J7{7KT zGWEQ4k$O}b{6v-Pr+aaUAhya2qhvo1Ci((oc073rG>we7&zXMmf+&UKT1n0O;S4S} zp1hPw@qNtnGhVaGhhZO6{Y-o^5}qATdr789lxX-ym)b|X&{MWrG#@hkJeI-b#?!rt cXdaQxTh~ufNJ)Ql8NPSG1l6wn7n4c^`vR@wIRF3v delta 1007 zcmV{-c*6)_a8_Z)F- zdZVBS4Wgh3_G`yo^e=j8@7%c2{qx841KhX}5nVVd3ZgjAU7nN54L9*6U8yQ?pwpyt zb92uqGP(6qDYV2^FqP{=7X z?JPRjCB^7qofo5nm0FAr)><(-SS`iqV7_l-9{I$0VSoQOrv;xS@$-apL0ht2yma}B zoj59)b>eZ8azI-?l;~jMhk#S5Q_cfzy$3%9@rKEHpsfU9*H5n?{7l5BY_1Dz^FjDk zSUL<6gmus>2)`gY2jMqj*C6av_6p*RETPUp__L^M5OziP3gT?nAT|NWT_yOgA=vfY zCxj*cJb&mq4~u>#y9Qy`bFU!$Qs^9npUJL4*iq;egx{21gP8cav9KmM_a&1mV94GA! zvOzm@oW@Q;Qpg5X<~Xf;i$>&+jGMgQasF)*#h=d*6<9eo)fa?MU|w zO8T-L>Ha}U`D+;_#l$m(x}MX8K}jF9BRw=I>AiNOM>~;TlO>CB!}-P`ote1sXEs2& z-di?%Wd8DTLHC-(e!4v@Dqpe7E68@#OSLwAaPZ1SaBQidB%>32{g~#2Gd=tk! z3#4)5$cC7GkxuzY`Xr8*3(8iD2KOmbJ_31(pc{yD@1|NUvcbK~^pmOs9Dlix!7+aA zNM!1H=_2*0H28@s*-!W45i`9!&HF$n1FX5@;3~ai25&1VuVl@G%{ruv!qWF$O0p7xSVktosdjV`s1c%i3kwP-$M`gtsa%Z;ad d6VW^(o42l?qL7mQ<}!TmfCL-6_Ft1p1pBsy_e=l) diff --git a/double_selector_8b/db/double_selector_8b.sgdiff.cdb b/double_selector_8b/db/double_selector_8b.sgdiff.cdb index ff166cd5386945b4d2ce0b6da057762877e176c9..c37bb12e4e4837e9913e420897bdfc32daea5f23 100644 GIT binary patch delta 856 zcmV-e1E>7S3CjtPR)4Vr00000008X)00000007Gb00000004La>{;2W6G0H2#C-=} z+=aXd;)~u$p8L<{bNmYZ1r^*-L~$3v7jf4+o-e8v6>QhTsce*Qc ztE#FdMN#Yu{|#PDDEWon6kO@2AmsTZ_z#GNXa{@P+5zT!RezNTEk*6Ej00D_Gktxw zoPFXgXa9}JlvDOvWXdUfB{Jody%d>p%3g>}Ic1Z*n9Kx!O6+~(0QgsMu(SssGqh!z z8m(p|(xu#!T~Cfhx*n%kYcJC6^2_;JigZ1WIzE<2*W;+`?M1pCH~QUdZ}|L;d2YVH zJ}-Z}uWmPI1Aoob6yN#DGS*j})BgpAmEMq=k^w`bmc44i0 zPIrviW@^l{)^^;3wd&OHBe#Y?lSrNZU`{ z4TL;0)>=T*>1j>t4qAH>TeD8lPw>I!$y!4{VVup=R0MR2e!?T0r_q|r$Un)OR)}0I z7mt0^VsH&i>%RXVrd1bb`Y`@x=@~$nB&93OT1ye~DYm9%6JdDoLQ7rN>_*Bs)nbT{ zkFis&dw&Q!HK^0~{hXd3)G6Q71bMSFoek>rSwE+j26g(lpVKRYI=$b|>D57<-dT2f zQ@?dsXWq(Soh09lam;04i}Qle8{!;31Z&SRY>}i`%eQGPv$yrK;V;~!d2)VicFv~X zj^Pej!ca#}e*}dU?dz1SGo-C=jqq{8H-F^YN))`a8YqM~9Q&0u_H> z0zIjd)ql&WcP6k2P&UrznK=D=t3&$3e{RA!QMo0>T28&Q!5DDaIG<+XL@5*c!@owt zI8lg(dgp?%b#d~djMlwl&%#{uGmd*RTKB_2EX=1AG_@V~k{;2W)Ibo;T=yM( zaTk0M#1|be<7@v}KF6=nUr@mfMHF`td=YoO@tmY8Q>jVyiRNhNFaMcG>*JsOF zC*E?_--%2)Wp72MoU%6}Q%>1yktwI_mB^G+HrtEN%27bV9$|j#(_hA$dyV&kzZ*FI#0sot zye}-}bUl`L{ZL(tWgLgBBI-H6eORlW(`B2bI#V%%oUX+(W`D2dbo;QzZMMHt%yy*m zYhxPc;d^je&%@W%n0t_3aa7i4CD$wKxNFP%D0oaL#ebdd;mYHN1fE!~2&|E|-x?($ zPmHw|&{PIm(|nfJp2gOzQ`8fDuz0f8P)}%Q@w6cVIz>Ieb($k$~e`_gOJa$ zQ@xJ~JAXB*(~rZPo*&gI-_r!+W_x;RRHrY7IlVlp)2G9nULDox!(mRZjq3DX*XeED zb(m+~%3!@Ddt+*IBe09d1)(>@K70!1o^4nnNwJpirnb!5*2{*!a6A2E|5$9FO}%Zy z9n!&2M^1kOZ>z0dE0GHIzOAfpR@7441sbBg#z3NAsli>mtf8PQ< z$&=N8%c*xJunAB$&X<`u{d}uK`oljrVVua^l432V-r1lHxNMxyGjSr73H{-(kuXjq zqM_coplw~8yeOl2?^&}j*Zhp*+KlG?a1aZ}Qxclmj{C}vC-clG&SM#WUo&y`to1r1 z#(5#I2|zZ^2NI|28z0k&z$X1MH}ehlbvmBWyBM_jI58(7v5n=H$sIai900|33YF2B gn$Wqm#8(Iz5Stm_^~L65F`sYVyg{S?v#bLj0dsh=3IG5A diff --git a/double_selector_8b/db/double_selector_8b.sgdiff.hdb b/double_selector_8b/db/double_selector_8b.sgdiff.hdb index 4d7a3d3e15a60d5804fd2f7db84152b8fb6dd8cf..7984dfd4ed26ba62487a78305ad2f0dc219cd2c3 100644 GIT binary patch delta 7865 zcmY*Ybx<3Olf@}*uofs3hhoL0SRn)mQlwDegW?|CU4xVm+}#Qkid%5E;_fa5N`ph7 z5cIy^-5+$`XF4p-NkCjX(4Bf@ndNtVr6K8+fK4vkcdz@-q?Vng0JDd!6Q z=f*A;7GTKVD#JF<<9?Us9nn|RO$&>vu?`sqB4WS>7t|N02VBJfyp~xp^*J%3!9dZ* ztsB9ctzF3e7_sh$CAZriEUkgODG?urgZgbaJz*|hZ+4W~3Fd@M_`SO~7E3I~w0Ip! z&l=yG-8~3Y=xrO99N8e5RI>`(Yr66eMBS%~=(US2v?W&VB>f;dls6(Br4_j&5nDg# ze3ng%^as7}>_)$|4dHJju!0WykmauN$KmfCbK)DOhmB!p;0q92&<#n%`!JwDzk~m` zP$S}PGDX!dj*(`-dJwhfNM$V8(zyVos35v0@;a<%`Y@S8|7Z1R$UnXzxPs8afx!;@ zPKkO7LBAqM?J~~%!Rr5tx*Z0maou=iA*AvqyAMDPqd%!ZKb0hU)B_5ky0*_Tx2UFMp?p=>Z^N~h&!bd2b^Dp_Ba zpefm=w5d1cD1b{^k6V(DH29pLUAIS&;ehZ(u>zLhd{17u|0hym%xtG?TpT9b>7sFT z4A0l^-m@j?f#hK(1z5t>W1CGN|tLo$rj_oe>t_2Mc;q9q`QXPwd$*XsnNjo*!-Oq z>-ksui`891Gp)28lD~?4Lw|(})$hweIWVrL?VIjjtY&U0l&S9gEjWJBSNMtc3W{r#%Z)HzSN?>p4)L^2M1& zDB`wa4?W=q;qSCcdmW&&WNL5LL6;#=+GUL|d@ldMX+~VFcY8!hdknK=B;a@r&&5^~ z5G3Wy!EYr?wb=2WaYra#5I9Oo;3U?+g}fqNQ+n6W>TLY1i6%1Cg-M=SJIkQZYCLkB zR-I+xy>Dlkxa;;*Ei)P#DK+DPCua_UN^dY zCDOVbyVRwD9O)yENR@sUDiBp{4fPO4_l9J>5BtWkQn5<1AK$x5@w0E=75CZr-W9yO z)%LUQBKf?Iyxiq`DsrSCYhmkUM~y}X%-RE1|C!WO2u$r^RZ=C+Y`Y6-Y#$a^}1sK^j_8jMo()s-UxmAtT#B*PQA_9MDn%*k1_Gg|{9-a}D z@^)bApy%0aINbcTG8r5$rt@{y@ z?KvD`OX&okEFSam+oyhiKQ=x(q$%_sc;I#npqfqg8m;7Tl4STc2Ne?fHh0ARZLUKZ zRQeGLDs6EEm6qCqN;5Kw+o`XDB8O0(w;}Ct38yZep{OBLalNz=IJXHWAJu+b8x*;; z@8F8-LweU7hisK@@vhzTUx&) zlSY2v4trj!(Jor_7Fr$aD0xU>LYe__BnUfLWsxrFj3G5Cds(WvRQnwn2P?Yu93;{& zaH2OeZ6!+8v$WN6VlwKu4V-vayQud$7;J}&!(MKVttCKxHJh63|M0@MpN*Q)Xbmx<#9%p!{zYkg0yEXcQH5G4w0`wJlTSh8wH2z6OiZQK+ zoKpsudD;(C>=}n)RO^I64rgVRwsOKf$+;CM4kw3xf_zk#dETHwL&B{&vzp>ownQfh$k+`Lcn@M4Z++N8ydL5MKz@4=l6S?wMn|IZR1$7zs1D^l5v6GBAG) z*cz8C5ck<57j&LKc1={Lkj^8W59AJF`=Ac-SknAd{!1DG{# zM1`W@uBND(l7p&kiLv{ck(d&!{Sz#DIDQe^%SpreLBDUxv6goJGwqO=et(>+BF<6-iczH4lDXO+TkbxUVU z7AZb;uIe#UNZC;w+J~elH{9L8WaI&@1Op2^!OahRrQ(NEw__4X;H=qQh_ zYYfgJZR1MqaFbN99it zsB0oXjF!Yh?5E)d1}h|>q-JYFM5CHvLJ=GI%H&?GXGTe|$%|R%55?k0{Gr9rmB6l~ zz;1_tMHT?f!0^|%Ll<(vL3M!(68*Td?&m01yK>~O3MCc&d;50{#w}inzGpGn9llHp z_|$k6QvJ63o|aDrapOmIK`gLaF0e}t4LYNqNRjE(qLDwf_KYd+;y~RJQ(bUVT{uu( z&{Dbn0HCV?=v)B06VRdzy9dGU)nWIFur)$>hu5Pv#{rNjjH4Dqx&pi80=xDglg1+HP7R1_EWjrP-~zQ&q$6o-9JOS9 z2y!N(J^EO8vLhq?`zlSqf|3O2Tw}T3zm!>dB`U2_j^*i$EllC-+F3NDr+Y9jU_s5` z-``y5Hl3K(Fm(3HQ4y<^l)=VHv8D&>OBagkcBYjl(h|VPR?kzm>n3`G=DV^I|&6yugL+c-|v`HH+^zg z8Ob?Vs-Y;w;^irG_Q>&bz{8L{d-HQa~BY={%#)94-Pv(9Uw z-^8e29~Oy(XMy|g{>@;L`*U5Y3y;qeT_Y-;EV7;4vL`~amn(O182P6kq7jX4a{bU- zGx60JmB0g8C|CK2R1%|98snlf|ort*&MPI!|^|Z6e|-?FPF0n!nsP6VeV0`sc<+QX|0y__r>sJ`DkjE zQH<@Ipm2*@h1)Z@Wxl|-4<;xySH?Q0#n7_AZi>Jz4MR}WE{yNW$Ur!QG;?sNLE|YE$P@y;d!>;6eFKxD97hm1IRNZ+M%J{T zk%u1u*7@8HK1+I2^2;3Zf+)C7eE%)qGFEteArV|f6@`Z!f4aMQ}v z=tUa{eC9yw*I~%cWW>$15D6E7!~eaR2Nb0EI{b<$Ee7-~DN~gxNn}_>cr@NC!nG

wLYsh?GO8nL*(o0x_2LGTPr_GS^lk7{BC(J z>5b1g>w`8ntgCEc5`ULR2qrPD`W@a7K6b9%5g1ODxgReHxb$&hK}es(BvN(#tQqk^ zA<3`?vo$O<>GJOs_@y&9U1L{-&0@7V9aP=;eQ(uHi*m*#dQ&-XhsCd)Wjx47{&se* z3H-_*!~rAnr*^JR>>L_?6P158hcEe!+qy)~>O^m}Q-5zs?0=sg?AOkx6M8#4cTMy@ z7W6uHE{9@^Hk=#i#wW^GZ^|=`g=ul@6c&+qBme!0JyDMQVM+^K2Zckg^vj;B%V}r- zjKHrw^IPy6&sg+j^qytQpFM7Rx>>&b>3T@@4o}9u`g}Q7Im?1y;=>Yra`=ErAC=%! zLae0U9Sjsd`+u2IroNw;?3WwDx|M!fpzH5efiC`}ofNA*!#YuPO5ZftCeJ&`IvEn^ z@{eLeQ)SBZTqiF7PRTl17uJJ3BNBRCKhk4y?ED?dmiT!bK5fa9((ep$kSCZ^@GJ~- z6uKRniF4;DigMyU6~BZ<_XzbSuh(kVQGbPGHUNRI@qc01fX~}z`|5y zEAi<{lGSDK{~`5htpEAo=C{+Sk77+L?`-hjW6-JeUl0CwFV|{w;GaW#9rCr`GA!`_ z1w88eg8K=5MJIobp#P_gJC6PnsK4BxZzlbBLH}1SYlpxyHMD;R{21ulaG<&r{BTalrhzl1J-^!Fv~(_j88e7=-^OraVe0Zw!3%SbqSgFsIJ@d+?Y)eis`p+(Qa|-wpL* zX!c(mz7=DTH4~qH9NH)IAsa;hpVQtz{W5-94#D0H$i9d6j*K~o_Rndv91HoQ;BlO1 zyJ7U-3m>7cv4v{`fVuE*5B);c8E+f4KmJAePi&rIzsTp@Dt{D*KIIH%;i!K-dsq5+ z;(tIh!>rl>cvlc_x6z*x1L>Co%DoX@+x|Rk;rv)T0f_jLf?Cq|Na#xwI&Y_4{KqAD zJD#}-&$2|$*o6LELifGHrnViE;43}?Ps|6(^Z_i&UzNz*A)&vV&_^WnmlFEp2|a|f zuz6NOe;FnV#rco530({Pt3OA%7ej1-aFD*APdocgxJaMKMX!_6+vS zi}5TUgd~+?g@lxyH>hm0^*U3!`1vRiG{B<#`R_OLmA$2%g?#uT?csr?d{SB-X@9Zy zY*L(068S4k3>3QZ0!jY-!_Hauxc&zD+B5HkKG>7USQ+erlqci@U4>F1_4gKZhLT4l z)|ZdYvWGm=CiZ;oxesSgD}P(rv-A2s$WP+Gw1@b6rY_FaN&FueReHx&rfEG~y=#^| z^9l+(>?f(^X*LIvnt#Pq2@rh@sIr- zdq3CDeLbun??A;pC|N%T_EUab4+C1*-)cQ{ggX+sw<-RQ68}3q3n%L{-hWk~<}og@ z{?%St_H6vO!Vr!BR*ReEM*ddoImU-+&m&)~TgB&`31u%ws3!Zz^CQzReqc)NfAW!L zz9_#ZdvGg{e6en2Pk-kt`rB&0TFD{;2up4YJFTYnAqZ1*AU8P!L7HlWk;d<%OPpV-4q@r^rd6pjR1 z!$-tcX@7ikftuHQO6*l){cYECDB3feyH~q1;CS8y_)$3)`L_mQyRja693S|7>s0v< z;-RHZ>5k2v!kY;TF&6ok4T!Dgdi()d_RWy*?Unyee6Vd(ep1P@*T>g8_hA3B*~DjJcmCaOY2GJt ztVdR>eAr<;waLqYz`?%eB|+$5L{Z|&z92XvrpgY73ZAtE-#qq2jG!pb-$F4`U*aLD z!-lYy6(!R)6E-Ii_PH8XET=;sr%* z7>O7GeCenx6QsL&1@RrAP1>d8xtG^A53z+h9I3nh85&Bz3*!VwexR11X+2s5H9d($ zK^~8xg825(CI(ISpvmKDp~~c3rhSZ;Sj`6p%$Fv=Lngg^lgwXi0?F( zhfF~JchN3L_kR#7h);tyH}I_D5z519hi+=k-MA>o_%22W;u+=(3VsGR@+QI{H_71uQ9ZsEp3&#a@?t{+dBTIZDPOXHl zjYG%~3uw#Z39!0*i64!v+l(oB-u4BK%-rEqiy8Dg30!9a9h zi5I~wsA)M#MBYwctokgMWhfaJ&$daxh*9(yv0Ipp{!;@si-l z$yk0t@Z|TQPY<4KiiLLtPmaR!cY-HJ;>m{K$rwCY8a(k-dUf#R96Xs6JlPVRPeo6t z>z8Z5sZZSA{WZb*y|DNh`jj(a8aYre!8bZE%kG3v`l6igByI5{@OE3q z$m1qjK*Wo=qON<`L1REl&}GYyaYt~tM@d1k-^E=)`~>>X9$DgRant|(RQ?g*0d6s=A zxI6>;cEROW(RrsXyN?C~o^b^Y-<@x;ps}8Nh2pcj35+p?+xZ{^1P6KO6~qTZn;5d& zjfBj@aM$->0iA-3>1S`lNHhx2`}Khxf>pzS_?2^M%tN~%z6PrV74AzGTZIzwNq@}K z%g|N0DGPgHm^Y8t7llnXn`}0m?JLhZlz8m!O72&)V+ED?K^A08lY14KAkR?JqoDHR z5Znq!VpuTnht&T<6y(1RdNlAzlz(Kwz)Ps7hFpFzM$N=1`OmKtcfdWCpw_r;=j#7C}LfLs?8(jeX z0NU~lVM{Csx$X$I#99nf&f#o{^|T#3iY@VE*gD==t_r#CEVjhNkn1)_=YJ9m?qJbo z=*gaCfS>@cEP{gXWw0Rr1N#340pgPm=D;$u%#s+wzDK|I)DpjJEvK=kh;;98=Q0Qr$*d8>(}% z?5M!A8?xW3Bo6%=Lj?tRr+*S0w1nsg;y2KLdvNp+oH!OIyX zFn%u#4qb!;H_<2mkD#9mU7r0gbWL#L8%J@@$60krobpAmi3(6vRKvU_rsF$-{zluZe>AL};@D&r%k%*T;?_m`_g0KF|`tIGu`6 zLHvDIsi1)A2zWir&$NTG>`mw@9GYj@ztNV*d*=6;6Y&#KJo>i6QcAgx4d2u{&YNE5X2@p#1Ex)z(s96T-fR z<^T5>;Mj*S=sUEP?~VB|R;T!u(3c0ETbW*O(p`z`2P_6bMqIDVg7_vFB`A1bj1r_@ zj8TGyXBc&V@cc-OIuoPhKO6dZ+VZ@Q5jTfOO($wE1p}vH;2X5%AIj4IS=cUK-RHBn i)pa8IVHD%#sbR~51m@FLuE!v(>+ELNe*v?M6eI#Wg{(RN delta 5226 zcmV-w6qW0)EwC++R)3Hb00000008X+00000006KS00000004La?3xL*T~&F<&kKY} zAQ&JML*5Gk5|R+6OhCLB!i+$v!V*dwtza1~23=K(zDvXwi%X$cSjg&Nn6!a~7;43$ zVTy*d%u^7^0760t&`<(OAh*BYIeXu`-yPn2DbS*Iul3#ioqunC`~MAlID4P>s?}@{`9)Gc^ikIF&R?@+%DrFA<#>a}ZcCr|tJ zM<>;9#iugy+L3zwA<~eRb#&{?YG;=tx(s%%7PUkSfk;GcotHSn;xt}^l3(Z;>B#Xj2y%(QwF+&7@L z(Cg5DL1}x+n?H+qcT}t0@~^2sK#pR=z$`1v=M5XlQGaZJFU#i*3M@@MQmD2)dNiax z*o4Z$Hrc>Vz2QCdYQv$@$a{G8!EacWj(LpSbnAK-8Satx*kF*q%?$>(g2=6z?A<+L zQOJ^M-{@3r+mn|?`<}cO+BdRP+c)-VlZ~RiL%lZk3cG1puhAvJiZkD)2Xkbo=H82`b6rv0cSG&;J~-TU4;%IdQX>S>*p8lyb`$eL4SUAQRDnnlhD@TW4SP#A<7Gezh7} z%eJ=dVXwA5Z0na@72iF|DTeWX;Qh7lzxP`BUw_P`=HBN_YTn*%vLm4Np8KxQetgX`E1gyKdFDV)^#hTX$rs^)dJ6a70s2>LVxg< z_QSt@iw@wn?1A^?=uLPcP;lT_LOptg58+E zp$&M?(l?FQ@!;Cmr+@4#mhyHDc*c8w;vaiy_2quxv9IKKTuFQ6S>HG*)Z!IS|N7dm zwhVaOse0fwj)$Kd-{^;bqXQnFAA7}H3f_z0HSSlg!Mg2A3BN4mMm_feV}HwA88>|M z^LwA;(N%OP`;{ZzhdOy?Ol$e$Ea%-aAM;et?x}wx+u#-A_**G4fBaNb#eefX12xS*&s&8~q33uEpkFJy$_w2}$r}ZwX6T7X}ukohqjT~9Zjr)~C_a|%Zf>i~x z+jnqkm;9G=mHekt;ys?n>j&Ia&*u3Fo}U0IW%kP+cnUomTgP$JkAKE^#V>RUJwHqv zZ(sRyzPQgd?gySfQatAYkWKr6ciaOK|C|S6wX&>;X-YjM2S5uygCVwj>=0(-H(YUYG=TxEB-}Mpw6SHw1m@m<^i`lm8!@g1PY4kk5 zw8~4NQ{bJ+fZ$_=bAMQNu#1Y~ni)e!dCoQ26-*v^-_`0{Qn`I&k^fAM;RUj5YWM-p2n1bzLP z%c6<;y9a#z=le-67jwdg)hP1wC<{nl{GAd%A4u>&9`MDvv3~`=aov){bwFZwhE7qm z`+DMMF677NwLvb9}+x^Kjb)=aEsOFpr=?!Iu1z z5SRQZA#d_;CVza)v+}Wt50{5{mH%XU;g7jW*UQhsyj32iKt4vNBx@ngZS(WDkR=Rr zOa5}fDC#^M=35Zeubji^3Sm zPY!vIkC^%yRC1rAJjId!9%FGo^?kfVuBE<&J>u7lgMVv$AiVQ;9h=hkc|H{$QSSf! z=zj;f`dgyEF=Moz_H+0H+$rb`W5WY3`wQB)qwn-#S#}-#-CPV$qu+U4BA-Qn`=H14 z$o0RC&h_YT74Xi-j(B3}-k8t#0R3<6lw~jB+q9r}CkMLQk(-~Bs1L>dweVkM?>LsW#qMb2x9L2^Z9+dhJNvwpT0iNQmsdjVo_s>k zk$*gqk5A-}C33!<=9rCrpJ=0Ve4_tUqO)5YIrrWg{;>(aH0iscI!7cp&nGxb5_u`% zf0gL$)JD!7wB~0VI&*S(B43f<_}$M(b$<>?_*IF{_KAFTB2R6V6P;Jzh0gQ@=d}c9 zt3>{KB0ngR`>z5PV>vyMUsZ^6`OHKDdNU@&OV$u-CvVl2NurKP5#_AIm^E5!9B^(5%)Ih;r#Q2>xa^6Kfj*$^?xsXUx(s){{)VQ zQjScl*LU)N$i81fQ9phMK~#?NSg+kB>_0)Ee*9K~s2ufT{UZBMSHu1jzo)d)AI$zR zI>aZ2`0<-dEB))p^=;w&67^r=ZrrY1dNkh~_o}ywe@^%oS(nzicMjuxflslhKaGhT zpBwARW3ucBVva@qfq#C`ZBq`I!1sy<|F28Nud&;*saf_|S6wRp{=N7=V!tdqHk>c) zrT)wH`A`Q6+@@2qtTeJNR{x)R(Z69D`ET&&&SbvUkqYkggZVbHM_nrZRX=UL-o2N% zTJIhD7+4lZg-HR zlKl3>IXuL@32{Fk%u1U08Gp6p*P$=6+9XZ9$ei(XC;x?A zvh3eR75bj~ra;QKBe0VRSpGxQC*Yo#ZzJ#Gxx?K9>}(;EQgRuch5<>%dDM~s=_s6o zF-iFnV)NK2ew$AT&&nsV(H_T#U-{jrkKzQW4?~%gLwL?TCNuSU6GyW)kr@Ac0bNF5l2DIfN)qZs z+>w-DgjvaOx^QEgp!4VOXN)Oy79hJm@MHJPvVS8d6!P!jlIOKCC@kGOfss{A%*M&GC11wX==>=35*Jxt*h zj8{HZU^j0h@r0R&j6!~V^a!bYXJJ;-#9f3d$zMyzt3${uaeM0!@)?Butq}5+g#6PG z@_vMJWC(dS{G1TxV)`x({DFjQoA@^o@_(zr?li*e4k3HIT^B-LOvpQjkRKrA;UVNZ z2>E$K-iTlZLaR0}NMO&plEHcQmGajvbvA*BBpW^GN_JYX6|Y)I{`M`41F$+bv05)j zo^SuYoxXz0l8T;sCHdK%ga+AZy)~q~i%ydKut99A0IIV&({Clu`R49va~7bIjeoaO zOK$ELkS9rim+>ra?q4D=adY=b9XI!3+txStL4)g?d&ch8V{^Zk&?V>V=@^hS{v&Eh zgD>KQB!4amm*kh@xo2|ubHWyCU(f0jA>~^R$+ABToBKx8$KaScuOlDs=1y8O2DJF^ zSb%N3mDwi=@H#R{2QMSSuY}QG3V;7ZNbkP14?(W}RT%zPW{kY=b}s?)S1|i-W!8%C z{#6N=Q>6_+FzPg;!_Z z{`~2jYlHkhPvcL<_@vKQAAi6h2YD?Z?@^MC^N3Kg(-vefdXYAXF&hE(NFCsYGq@+m zY+Oz)xp94$JV^o!#vAXD^8Y~m%b0xXd$&9#(9Bg4>mOI{F$W)VZMGEAB~shAfWlXQGX zj7!RoV(LpezJmJkV0;qGU}7+S3H{Cv{HrXXL6Chvi5;)y@~aQx-@733y{=yjuly2{ kGMB*RXH#F#D5`TFiJ8Uo2d!L(L%7%7!>|7avx*cX0@De{^8f$< diff --git a/adder_8b/db/adder_8b.cmp0.ddb b/adder_8b/db/adder_8b.cmp0.ddb index bb7b0b30610cf2f0abf1c8730ee52defa8d11623..50cd6fac13c047b3d0c87efc7e53b32945a021da 100644 GIT binary patch literal 60009 zcmeFYRZv~c)`lD0A;1C>+}+*X-Q9z`yK8{p?y_)qf_rdxcbA2`ob3I5f7Q7@SLb5a zyy#gyt4DWrb$87k@AIO3`SPU-0ql$2-$&r@ySk~9v!%TqAs0O(p|Go^jR_$$3mYLL zI|CC312ZEd7om!&lbhuaQ$j_fAJ&A-geua68m7jCVkVX@f17oh!T*i%<;%s(PUcxz-Vt7+PqXSR>vGe2>YLqtygj2x8Rj%FWY39yLjPI?X>7 zo0A$>8r}*_7yv6OEAB+s{GZN;o@P0%IH~J?C;o@Gty8DHeH>gG@Bl7vfX@UeEUk5T)q4U_AOH&(i!+Wg6kHlY5xMcN~5E zpcw8Td*+U%`{@kuVa*N`HHBBx)xn?ahs15DQ6F}yYQ$f+B$e%5ZlhHP3Rs!Nzf*j# zqih1;6Jtil&eI$(Ml84AON-W_wkPv>(FXro5y2hQ)98{=0!O_T9&w1Gor z4DoB*i$Ra!ArTEjYT!3B@j3L4!x8gfLW@68v*9GWD3|}b_y9aBt!-+km=Waj~OY;HR=fSHfNidX7TbGOU10yCP z^-dwe!t9s}szcX(NHFS-YwBnM$VlSpXDw!U@$iYM9jraLI?(EldzUeOgX`4@22wVJ zuR!dcw#45f(qWnyaa(nNeixeMS)upo_tnM zjM=^6&P@fcG4eCn<-S_m(^*}_Ueun3xOw*AqCrY2%cPLs14iQk+DI@vo~YpNvJ>8; zMvxn$8~jA-gq~FFgj$NoWRZ4s7r3MjS})}D)0s1TjVg7|UpPv5v8_Y0GLO>|qm>uI zFtt;ROd2-bkgKuc^QT*Elob^dj@q0i^HnBqH<7HoP;j*u86=Ymjn$n6D=naSsFrT~ zRaRa=dY=+kd=YB|4=8UZJuxxqSKd%oMwe#z)ui)0NB^_w_(!xKbu({4y|#ua(e8&% z=Ob+-=Wl6^g%jgvgZmguF^$kCdA%f%c6rpfk&QVnd)7*Ot7hRw4)VKnbIOt zJm5DXOcMD1UitQ)EPeu%<`7Bc@Kw!-OjX}!eAG&6A+h=JpJEz=$e7tglv?SYmW0>H?7@mq z7El>5+g1wi=UBcqUk+&FXv1d2A2TYI;VTu}_3294JTA6)g>uv)WHiekDL5rOFDeXc=$5phWY;l@K zZAcDVxM5=#LZ_a#QmL>F-Xp;6dK)ZxOnubMe%WN4BtCVRm*mEzPZtLNIJ3Gm34EL= z_ZOhCIjv)F{NhP(Rju2fwfb*Tz+UCvIJc)BZc1gRT3T@!=8_`T_HDl?FQSU3PFIM# z3szCgv9Q*M?`eAH{6u!{E;#C@e6q(zGC;4x{pc4UzOr^pJGhV)p-2pO+e9WK47JbNg>sux#Xw}gC;LmzSjW=R#~Z?Ch`reM zH~lle>mO83tz-|{Wy;-no|yfYbv05E3)GrajnWT%d-P_$Uh>NR^?Z+CXM-RdZ3RXL#j1O}EKy6vBx z%nWkp8)9Lnxjzr0a)<4F>?0tSk<=O=WJr8S4rA^o+8d%C2Q!>te@cl@i?O(V&ZMe( z9FZmuK*zAP%1i4uPx+pys|w$Li>JUfCniWv@K$kk9TE$ZJY7Z*ohnEwCMX zys{IT>j(iauk)kZq}+Ux80)+JKH~4nC*1O9{CNAG@NK`Ibi2(a4g84P^&Y<`J?$`k z`>VRkbD92TxPvw!4rLklA}4eLbQ-%o#L)}ppbilg)TjKnM(xpzs?yC|2$ z&dk$xyxA<{KqE)D7!*e#ERvGbVr&hUR;oVks6-YeI5u!?#}c^{_j*q*9aHUh(YqtC z$7RRLYBSYhEnWouj(wgiq!wl0ePDJ}Yuxju%xk=Iw~Vy*Nr6}Q12=<;{=zbv2cNtr zDM%?|$dr+Ai`Y(pyrFMT2NB4d;nZu;Sc3*gIIe()q-@BVCjSekk5fgX9Ri)VADoZt z+q(*wXcq2Dy_-!`A0^^QNpdNLuRW>|4>SvAW( zNUruZ(DP)IgQe)E;o=7ggOCNM>_WquM{gc$V*rspe0Wh;ApmMc!xl4dfV=XXyv|2Fxd?$)mSdgyqrMeb~)3hvLw%2ID#flNjWo>WTaq4cS5qC?_M%Mw;)84z1L&x!- z!WhXKSVW)F&gBy4*D-C2PPQW6h1lvd7U&;kcabuV6=H?(${U z*1~RCW9s$hE7jRLciX6+#LpKS&u;`+o6t2)p7|dA`ppd}W|@CDZfABs7>>?^HNwfg zQs3Q1sO(qg@IRBn%|%KOk|Q7gyqf=Jj6S@C=XHZ!+-Ug5d?zi}2BBa?&lIoz+Zwsh z> zzTGtG{=9|j5Bv->`DBV4ug| zz-L}W@Bn$wKD6`>^5tV@9i(DR8}*|--2rts^O0;5-_U0qf>UyUAmg-;X-!QB$`<$E zQ;S@X5sD`Swz^0<)N-N8&eq=DX|`whq1_mx3;{kO5+VZrW}q`xm;yASS&Yep3i_}} zq@mulDHd-~ABJ^pS7B?V=5KSN&q=(aeJ{uPOm%pr68{W|eJ|8hWXtS}fl9TAj|7g~ zP+iCNN4>X>sVdKK=HZX;Wo#CAub%=Y_QS7vCLx#$(JyTF`GO+_T zR<~7q!ymNQUkm0hyVg4^;wpKIN3ES@Ds<1wLIC_E4^t|^Vz})MkA@bCwp>G8DWodF z59V7VIWpWbb9gW7A2Wuy!I6GxzWlrk-hd=8@)?I>Oun|KR*#0 zl`Uju1my4{Ou2~Qxi!sn(~h^Yl?5@Q>iboLISuc5)08Y(0Z-eK%5|w?U#5ia&ETh2 zVmZD0Y`#0aa;SDnN$YM+N#~P=SZO|t^S_loh}ij8>)59Z7T6@I_46%}zKnHvK*FQo z?oTwTKw6B=ZLAFX+S-fD9r1sLv0YtIL~TDJ9VMJL)pi;%?y#P-C>&n7pSA;-@xB}Q zoXM`vhgZkB&Mao82+hrbgkxAL5>le;X;;U-3T)&>9eRT(8?9Ns7iXRC(yfoG6AqNB zEj1T?!e_MLBEXrFlWjzDfHTysb7|U9RpN4>YO{MUdqZaz`Nr+A+t*tDa6?G zjKSyo42KegXD`qHOli33KQ-{#fnofoS2am=N#N#IcZAcTkP3-zgU?S+G}}6wR}2(Y5u1*-D8)E zOmk~ogTnV6B}dX0`)3HrPWyM0a#xVE1qwbRbroB*>+gkLKiRBi3O6eb^pSPmeGT_T z?mK^DMl{~T*_N3eaWOWo2uq~*5a^59c8p5;|nk;zQC*+E9*PJ;>?6iEcQDm!;EOf|E5hxRi&^% z{ay0G{Ip}jkoI};TxJdMf0d9>J|fwk4lZg9D* zeDmRbSY3R+^7x~FWwg20!NcFNzcZavv$&bpfgEcsbmyryS$QPOlGCXKYGc<(1L2*jiT$hFxH~vQ-zx!+R1XeBrq+R6|ZW zWX0Hf+C0lj{qdyw)wWfcTm^mLe6)Q(3`bS!C4+}FQMBs}0?PWf!Sp`O@Qc>aZq?ed zwZrVv-(DgMpQk;I{fB3s0@r8vB+G?y_VsLGycvLjz& zFFA>$p8u4LvaB4&y!Q92AE8RC>bqf5|3EIDd|JN@~pROo_PTtwp2j%vu(1HH#Is} z;q%ekO`!T!5&QK)FAqm1|5StX)CI8kD zER#CQF@Up^wXPY13qu1;ThZaeCG`c|wif#2$phDm6$0q0C``_-g}f>lWf3vtsQut- zGb9qKU0PYqyTa_de^A2bvoQ4>`;427Ma$oRaD(IDkbdP)ZM4#9*rr*9^j5y@$WEm4 zA(pZJ$@67}7a=XsYSUuwu}^UNp2jgrtKLm{Iy$?Pgfnf&Fs+!q;~X!2bKh^hov~VI zPqhJMo=&uo21j31hjr$o+9i(g^QqKj_~N?aKFbchcjn-yC_2M{GDlx~g|M=9J^+a0 z%t+Le7khkK!Q{u&z%L_9h1jk6y3%ra6kl>=&5NetJ31Ex?dEHt`FrT2va=Jn{J96+ z+z2-8WX7@=^~?7p)?u2%d z>8M2u?yRVUA-Ou;}uo%Is}H4m!SP=k2Vy6t)|n!PuOSA^)gDcMQrs}WvVp;to} z36B-W)#)EkMO>cMl_E zjIJ3QubBonkjF1rmy$Ef6$S3yoq&$qRWVC!SQJ%d+_j`H(cSeppPl|z@X?CV!>lKr zRy2*HTnfUuWF3wLa8g+U>;kfqjb!+Usin;Y=&wiNVDfdaFFOTd(qkGN9OD&M-teA* z84eIHL90&@HUUnSVZ!Azj%gpVE!Wq;H(3;T+BPZ3E>i1Wmqz};aLRjcuE%|A|4q60gc&gQG!xk?3*DSZ5jUMmJO&*3D)JJX5<x#;__#Rd?;d13M z4*DJDG*Sa0GP$L88vi8aBdg)ckKl}^1nwur+295MX3~f)oCr+pK7uN%`0!(X2+HOi zSBVu7DPZ$tzR(HzX6?JNr~ym3bA=c7-|w$U-61fqasA2|O&DGS0P8DGW3?Qxq>PLce>Nn_aXoif)<>k zoc4%xIbHB$N#peT4;D29_xD~sx!Jy~kwKF+F8IiRMzr-+ZA@iyLhJ_*C@XqO$h*SJ zfruDMUjDB+Y?`91o>T&~c)3`|y}4HM)sj6Sp)kgMb4C|D4!0+{2c73p9^UFHPf1^& zBUuHK9kFR;xQIcNNF`OYP`cTW19|{H&sULJo=;fMFl}AipE63{xn>YY6uB|^9%QNP97IYMZ3B57-m z{Q)aG$g}41tZ+&NRo1Uq{(jpdv7HZdab8I#D!97*Mfk$HoUhoNCjy&~#>cRrl4gJu zO{7a<{h=b@A(kN?1%=JkX;40)J-I+9CmWXQ;gcG)0QwlWY^NL%`w5Z5?;aCUX4^8t zVvl}rrE4YdJIFjC^=SIA zw#LuDSE2i(%co1)T0Up9?*V+bZVMDp*>8{>%c5FJVm*A+mnBtpT%y&}&>qxLwmd(A z(O^Dx+ZThW{-^yN}a zaM+fA5pzdQhI#kJz*8lG-3a^G{{~gXZ=+1NNJ;05qmWc zl#8O4o@bs(`6=ue=|GM6GaQ-^sYC(^PP_`wQcR`z=%i%m1(U8}aJaVy2_w;?WoDU_QC-=k-wdfeXVXI#rL=#FKd* zvan$n?;fBdus@%&_s%S&Q9dK+aUPX?lOw@2m;$P8UP$Nud>t{jT-+zNx5&-XJ3DuwacV?RqalsD#=~3Hy*o+h;i^?o~Tz8V1)>$yEDms zDDyDq6`SU$;bkGnMuecpk3*Sdb){aj=Ts@y47N)H%XQ-+vp4@N)~(j5l-#wj&~OOv z2n5R2^0GzYlWsp3IioK>og#YnaB0>JN-X%3zCYM01fj0zr)KWQQ)j+h#AjKwcA}qy z-qY8ST-8nb+C`}_U%CK}dp~WiBv(h#<~QI~HJZp9u!Vyg*wYuQ9WFKU1yFu!7iQEm zy~vBq9Hd;NVfVy&uoCZiIbvp z!$QH&uFM%_y2w9@4ZmTLJ;>c@VIlmRvBb!7Zo9#h?2s7Hd6wB`Sr*r1YG)AE)S??k!hyjzU-m4;cxEevv8bAN;Z(cX_09jAG%=^OJ4xHT?SnP zi>tHYb714DoKoPm=@)!FQi37RFL1>DR8~60FCZMSwb@-dwhk~~86=9#`bq++rbbik zUKOpdF&tKw>$Q@4LCl0qQKhOQEWz|GlrfPm(;e9jr`y~vBo${FMF|?kZ1$^*Vs)f^ z-yAUITUbo)y@R?gpqvdtcD1@LrxTbXiWt1}OMx1G>xf7^P_m*4pyqkjVNX7upr9|| zA=}|_-){6{aPvlJD8Ussn>&7e_M0bs=Lwkg0N;%x@FS8gSc?8qM!E99yEq_uukc(m z^D5^4*#-N&d&5j7B<8(k0CDRB_z;kCd0dy8Kux-a4 zdq30PojtQK8W|gB6{|Aey7-OL;76`cfK#uH#Hm;L6T28vLu1$py7@3pKzcbH=)7?wj(RaqvP>K$>j-)b^RhI+KHAR@ z0=l!y0KR4A=+NkNn!&!O2j$}2NH6<6jg|>+?P0y$9PsuqG&L*Dy*;aSh)7sPs>ic4 zBiN9+nH1}g%)JX+9RtcKkz!N1HE{$V&Yp3aHk31@*`EI#6ydNAdWsw3ooR)t&7&~Mt};z2<9 za!P@{-#4TKr+4z)m3Qo^W0Pi_Y|!Ty)z!CRALYv~txH#mgZ3v%!1`m1&qG03-#XpB z9PnehXxnH7l<6{`G@@+1+NQk8|L)!!%<{wp{c`^FiL&e4Oz`{>Zbv$wjsT zcgCLGWWBlyLh&I6HcwM(+&53gLe>&{og}5iL7YMtt;m<0?@$a1pIZE{ym#U&IqOPL z1H7|7OUG{0t^>5s9otq1zcZBUl%MLM{a0~EadU_WT+2erx3*=9WgB zEN4*E$|kk=wSc23JF(yyV)j*GqZ9SG_rViV-GS5n;|s10pB6tNw*(W$lpeS-nOMZ* zC$wjSd|XTgn42tj^=I7rE2S6cVI|J}m86&CkX;ebkh`p=V}>qk7bEM-X2@>BYiLd& zUM#&7ybV1d)bN8foZWhN-Y+Sm$QdRUTk!>YDmJogxHW(;HEEO&8Pko6Dz{`wwo0Z6 zluy~8VO(3O!SIZDT9zJT{94;=E2#zY0MpmMkMOZ6M}E-3XE_>-bR$q-*MWxS`{8fI z>LKVD{Pjw+J0+A^Yb)iwIA+DYune`a4$#?<7jrKZ#$8pxvB31wrG(nVshDnIn<>%% zIQ2oD`@ujyb;k(8IenzGdVO_v7nUy5zlm5$EdTMSl9~%_cCS7`M8NdH>~M1kZJ}L( zk*E8lODjW=;>=-&7L7Kp5h-dp_s(6lR43ahp&Xq*bv^z}%hnUtDy7k7N`CRJwVwBz zD>&9;^KWm;t>l8f>1Mx5=gpaSAXEiEN{Xb7!CC9%Dz8zjrra5Lv}EOW(ogcZo3oecegrdjQtb#Aj<@CcY6HMMFf9KttAY>)fW?W*P zrAjnKHE;HDOM+Ta?>p#W4AH#71m;CqmmR7+^Zm8h_(M5y^yQ?2stKdi?xEcvIiL*T z9ESbQqkZMk&j)3k@+Ay%K^P)M=Gc!_vs<}P6$lJWh2bgf6b?>~CH7?vFz7pD85(g5 z^>=+^(R|;lxv5}Wv5=78u}gU65$m4#MAXLMq$l`Nv)VU_u{GQ7V`1jA!4=2;gIj=4 zAYH^LCnAGRaK?9tAarJHZH$XmiziE@^}!?7VD3xvLW@Sm9^mkMT>;-_`Hz7VmGU3b zkzXU}?)Z8}cknVTN0es8+xRek+XfA%?y zF5jw-%Lz3T1TM*snETrG`>h!yO+Wh*Si*9BzRAXDLRQ}ktbd*L6i8jkb`RnEBNGcO zO~pJRSHL(D{hGQDAp12&T~?na3y=CdSzC+xJ;ack5ibq-Mnfu@>YGDp z;b?)o>x9RVO<3qjkNCi5Z!LH+#9ZJ>&zh<}OS*cGetc)a2+St;)nf1Vf9qYgr4lHl z`r*@%WnqdTK%|}&mfB$6Vh@qz1RiHSIxxW~|EZq~q>#!XMWXqqvyR05>_SVZbBq<5 z44>^P2zxw4{{IX@7AA+(Gl8`-;H1aJko!tL!9AfEqgKPD#^NTh1-ZkO@##PHjCdXi z`%<(2H!vQlO{t9k4eWn2_P;guzg_wNIxaqUtNN=rXSFOFL0H6uvnq|1<8I3;F3kIi zq)&h9l?QSGNW;Go%4@s`Vl)A&T|QIEc61#xwjnw+SR{YLA|{|LJx?kp1rdU zP5y{rx0$ShUv@Y)>l*3qnDLu@qKnl9k<}T+OYLipcOnOJE0LD zq)sBO$20MSuIH4v$vf$Oan(7K zT&w}838e6OI#t=)sY92?2`bHvvn5Di(8N_*zYAfwsmxQZy^pBBbl73e5);BJyWIZ4 z97*o<TNar~J<6o0xev}%3!o+)EvL(o+?>9Z=QuMj7o_t>p7dwu(s`b>!@QR} zAMl|$QjvH^$<8^G$gUKCE%jDRsk+1~`KxpC?t!)c2yRQERxNphc=eO+Dm&M9r)sUjN@Ya5=ZGJ(7N=!1+myi6jQo@b=vEw)SvzgXvO0uz0FCbei zrNAlsv8o#+TkY4sy01E(Ag-DQ2@XeF>-FQNi$LQyxO*J*R(ww|&P63Gc-i8`nFeX1 zk<8a=Cx`-SscZvp^P6CT8u`U0Y31}#(&T#mv^MT^T4}2XGQH$7615Fl9JMyxKuA03 z^<>?mfmM@>JCo2N)uua$_Joop-ONh7`0<1pgzs)-{zQ-M z+Mu)j)GeK~ES=&a<5d8UP1+!VcN4QabC1w3+9Fo8K*{?kGnnsI@q+2ff-@A%e)Xnf z<)mvb9_CKnRMrWWZgHmZa8$-jVr{B3W_ zt|}W^7s-x3oW<&M@Yc1lkgJo=$uJ7BIpLrN=m5T3mJ&B*o)x-*oIN4r;L}|@G5h)G z>QWU+9^)jPu}Y$%-e(^ec62ROe6365uL#v~$csWsriX7+*ZWQAZls94y)iB6tW+PsG)`x7!66f)VRtC-Xz0vjuj6qcg%6elH)#*(P7RNU_g$#1Vc z?|%dLQs`)nD7dfXb|9H%A>xm2@mreBjL}u9WEJK2KmvO$YKtt8Yq=~am$}ju8L@vs z^S~rR{DKDd(!flBvBMxik$*!H56%ug{E`_Q7L*+f2lbye&Wv0=7L3>(PSd!C&eMv9 zK{JM|%Uu5p^uIa!-#Yr=7Wx0jG4j#P9WKTZ(UWR9agFtlnIY`ESl%Vn_>1h%016iI zU0{@T{zXCyA+UUUye}@A{~f%7Xyc;aa9@kYlpU@E!ytzxtqQ7HFwj+UThu24Jtdhq zqi8(*^4_ZzTxL%#s($d@pEl$K&#Rvk@B{}pu3$x)fGyomE-f;Swm3Df9;zO-T}%fi zDMC!iD?Xzc$=gC76afTh)UtfSR}2Mz2E7dn7c0 zq386&EDFUZ1Pd96VGmRZ!E0ZCs6hD3=C- z(RBe0RNNklA9?7Qy>eErMiT2UuMCIdgYNgV%I>3*?vO0AbGHpRya*RmkA)qk=O9Lc zPuH`H1Sj*3ulSGf{V!-Q#qn0LwSC{piEwvb?S zvWKv}jMAwah@VUXKbeh!vUCFVAI;muo*BN;p%lV+qJWm)OD^j;X)+3w!08JFFo^`4d`rk<>$?*!{TKO=Qk>qRx*gRlhcMFsQeClqF!7qiHNf+rl4fy z@DEngPDGOoBy3-gU(IOXo%z(c&)rctg@al-R4h-vR4ov=NXjL$hC_|ZA`rCjk!zCP zufHr_3XG_a`I=bD{fwdXT7=q#>OAz4!Odk%F|sPHR7E5K=JhLZBbUn-gG>unr$@zV z74zG9I+O?iTS3WHD%19)JLr&ZNcj3WW&oemnyhKzZJ*-HM{53GExQ5%?hUu05{sKY zFqgUux#Xp!n3ZtSJfFCUs+^fzfc3M3(??wg(hRK*g0z-KtlWU_OhpJ$A<>DM?dp}N ztNGJ+tb~n}!4izk!}!^_R3M-1uY@fFA0NAUyFI3|Q_U5ZQN_YqL<0y@Vgad8Z+T1P z>t&8i?{d9_>=-443TiF>OZw%doGwA6U3dnRvyVNYC%sK!*>!gn!yj`(TF_G5hZR z(qJlo;W0&e+or6%dS5cN_eXo;6<_Rik}*2?9WkweM5b2X|9=qS#1-w?nrj@1Be9Ft zaguLJ8|fUI*;H_!7_=a{lNmsKd#sO&m{9#6gvfP9Qo?GQb(f2oUqIHsmh-J}IxoYR z+p=`}n}Cu&3kj$Zuj>X2`Sq_xUEL)=@i%AwFQi{8FeC(_R3~ag{iuD^{$?N3J+t*f zRFZ;QlPZ-OJ;L?2@tPfAY?ajAudQx=s=M~s+(*ZV?xz8KgI&;bMV(;g_lwYhTW8(&ueH5+nbZ;c+D_V-~AmcLMQ02o>I!b__+;O=SCAU2v6>`_LvD zW!uNl4@N?(cxFHrjtRIR$DHTquGMrnjwT8tor#UMiv>{E=8NGQ5F6Dn-w&c8JI%TyY;r@qLAVZRV-ho zOt&C!6AXB02hV_1A`o>zWyWQIXbVBC>$)jt+k`C6sYYe~^xM-=BNtN9{Ji+VMclto z{O>C{t4Q6R4iRhssoo90-gK_-g*;`jO0#a!_AKMzst7m+nBSmd!VY@5Vobrm<{N%F zC0&AISj#4NS#2;12rzfWoP7A%F|)Qa8BISO`=t{p0fz9GOoBy`7KP0a-`TLbrlYdK zUw|sf43un&hw!k7lqw%&D{FeOG6eW1?~OKy7?4X+$&FjuKkVQHS?1_hAeB! z;{si2mDyck%gvkf!KONljhc4>dv1RuBduUJ2kotpWj*Gn6_ z0|aye@#4xd=MD%YgEs&N<;~ytDesVZ10ZPrO2sn8VL7YF1p8T#E%Ofb$MyFlWsW<6 zIBy6UK2R*?T)#$z>k}xs|79YLv^aqsT3f+^P(wWyP)Q_MTbtTlkgL3?4!$*+t~M&* ztRLl^vKllnxPbw`!-+$U@LQRBsDYBfO@Iy7vRKfwLfA`(ljVVQziJn%On&tOy!0qh zA40aIy5%okIpm43Rp6S4Kig=q6lS%zN@bgAj1W^AtZiTNRI4YANi~N+!i^N0lSpe) zhGH9VNdKX**Y)>PBDSiL0|HEc>~JKiqRjmNS)3-1n@#E@B%_C@MsvKlf$Uz zY$~3@NE^%ZAzi2^EL1XSb|;o!2ChPwvc=(wPkuDPZucJvU#iwlCas6sy=vi z)be}jl7bH)PfX7nWbDP=c^hDB&Y6t$=04O)Q|1ypEUwZKko_(7_|tyMR&bD1lOe8B z9ni_tQ0nhBt27*)T-wd@?{3fAwiRY%G)(GIJR0gj9YU9ly7Hf`mOeaT>&u?B;PP)$i!Du!{ z`Sg;NcmPjr;L4>Vp`Y33`Tw;G16z4k8k0solg5(^uUR3R~Q%a-`o(##LHQak2EE*<_rhB_BL*$-9! zc13dU1}CMax0(O;2aj^BToQb!h6_$D*8>M%Wt0I3{~HC_1OAbAf2(y5*F>qH3~wq| z)pfC4)PE#%sz6^}ry4$(U*;}#*%R80|HR^F`E*Jfkn%CPj@jB@PPO)N zPNq~D%CD3@4W6WkY83$8k^e}$jK0@RS2jSZT5YcI;(1XjA1wwHO@!$7m@w&Oh7(+e zR`P+=`R!fgme-~G)%^!+JUv@EC8%MLy|)PqDRsBdXq2m}TBw1+01Y5ciHbO$xaf^( zkTShHot1X&6jGI*6`Gs6Vqh=-i|sF3rQPJBFX`lhmV>34Wvg!E^}Oclg8dvXLL_XI z3Q8=$<1unTi3rcPUVd(|gC;tD<1JMT^$4fz>`0i&u5k5Tkw705HaL@zz?k9z#548P0iJs4v!mnfP?UYLINyICou$bj3dU#U~{zM zlb)FFngv21NDNZ$|J?KTX5&KAv5s~9u9j-GA)@qOYfn*~gHJSbSUUWWvU zzSy`hiJ^d8bU}$|wS^bjze4~%vMk~Q=X8nE>a73#cBgC;xLv6H;fMBwTeecW;^k3& zL+D=Z0_Bz-w{Ot0jiTYHdjlh?9*M87JHB<0%2G{IE)A}rl*B+aBH+2JMVU_*N?lko zS>{k%F;|1Ax}(rw04jf$NyaDu!wvULmJsnarLtQLShzJ5FPfQFnlP|i%y}8x4o~dN ziy&T;gA}EoE&7+NZ!d|_smtJNKbKaS_FuaL5k_Zlo_;;EYBvt`=#B>RYL%Gd-U}j0 zw^3vT2^i6xsaVnYCo6vl;A903DIuNMQY(h80{Faek=)dUy7?1$^3t z=7(>OSs14=4=C+HI=)?`}EgU~nogaEd?_!9IK9H=p z*Ay^?%y@q%p1as+zVM;$rP6##$N zh*b6PtPTD{1L?&dInQ1@g-h{w3U~j{+P7lCA^ul_z2_18KbKW`bFK40%bk3+vaGy2 znm*qf-oD~DvjQ`C#rc122<|8XQ~9)O_PiObrQPfM2#oSZX{a=s9K>N>v%Ez~sd71D zh;(eD$^FT>4~;_t2Rkbchei@2kG6*+xF7PqslslBQQm6BUJKM02A+4&pV8$8c7A)z z-ud3?d=5AdGW6gZl?~1}uL>o&Q3KKT*nleqO&46uAKeyI1)vJp8J3Ac6E9RtJuT*< zdcU7JMSliL%dGHX_0RG~Gvt9D!pKEH@nltr=rC5*6)I(;QhW$kF&YCggBiFYX!-=; zJwj&WUq|KAbRMLa2#YQvhXj^KMDx6f-LRVNh>FG@H@Vs(ibsszbI{k{I?92d02SnV$?TXw-W62D2RU`#? zJg(^Qy6l|z+wF69(^A0_cs+R$FL@71u=8c@ zOS*N+aX35TI#?WvFAHGE5?Fsru8zWL{$Q>7{T%Z$TN`hQ!3>vSzy79B(stwMCiSd0 zDPre$#=~?Gf>X=ib-sPv?H#T|a9tTEZqw_D5XJcn+E>CTOzi!b@i{!y7y%uc4`_df znsB!BtLAFwhL1ax;`|9boHGZlu~^CEU)Qt@DJ|)@Zp@ z{LTW0m+5M?Sgn?-p3VJ=R^DPi^DvlF#ZA%ZU1yuhj<@C}XR%Q!mWrIsP2qA9H{JT9 zLY)qsyXj=P&bA^XLrp0OSrXTA+#)N9JFtkdV%V#m!xTFND;-&huwbwFgsG8n1xL+; zIzcYpg<3E<$whiDX*=JuR()_(&Wf#6%V0w6g1gaX0kh^z@Qh6be4#iwI^4{tT(3mv zZgl=fgH}=dGriJC3{=HZL^ts z8oK3C$RZv$**s{v%(o#0ue3nheNH;_&^e>TQoh(!eR*CIOOi*Jn|2PDrU9Xq7=wJf z6r`#M_T*C-M5>0tyRS-!*@0Td=qk)6m;53|WAe}_TPQrwV^s`q%KuC0pqg&g&0?$E z>pq0K318X+E|tWVyOAMLaeqdgp{Xu0G!Z?L^sNL#pZ4IEA}MGeO|cKekQ}G@oo2y$ zu3A>Op5a;F9~>S5{&9x_sTdo%PvSo0Nv2H^(v+ zE%qItO0Gmto0ujSInDX2RX4DO1f$2g0M`^SM447@G}dV$OGXD^jZLKW2@O^D7!(;> z%TUWytyZZ}2FJE*sD{(aU>h3D*He=r0EtjVilA zYPf7|zPVzSoRD2G%P4ug%f+$fNzxSXi^5~QYGElbHI~r`>g1yLpjEw6Q#PU*z-S{)22*J6ow%jZ-EU5*Wu5yooK)kUQ37^}7HK`VhzBMUT z){-PD=Z%6M$)I?lq;;X@PnQMj!nBA1Yf@63j*@20Maeo;s#)xlT%kh$ckBocv}OW< zG_R^=rEo#8xqbSrW}>Grv1H1R{yg#HEJr^{IS@p%v@I`C zn>+HO;>{}ZBs7kzzhD%8eMP4#PbpjeRKC3_<+E!!&r1R-)XO|G2FAkh-Axw}AlF+X z5QSp&DZG^R$ZW>kKcRm zpS$MDI`^#1xikCh**PQIy$j^}A<4~9f>HYCVk@jY`Cc64(3oMO+S&-{bH}NXXvP?};e%P3BNM z8?8nQEFu4}trASu{+>AIGQp6n4?KSY3M{g@vm+d&N=l_zkg+!fk&1))1cj(*n!noO zWrlN=S%vdJ)+#uVnNF|U{~V@6;gp0U~kGddX!t%UR=K)1HD*QX!#ghETM=# z{K=Erfn%4B_q0sqNfpBf!&zoa)SFpEjh9OZL}wNYHT8?eOVnpGtivzDgw$AgSO}d8 z#qU%bs(9A$IHdb;E?Uol}+;L@>s%a!Fmtz#=eg42cS5B4_Kfro!Tk|XP zQKx&lW)RVUliBNkRpsI*S*Z8?H9DtMBtCyPAuTDU zxVNY7l>1FFe^Wy}?IU3~yohYeAbF5QbNv&7&PXi}ogt1TQFvMN?%$yMT>L3p`?p_r zN;WeXf!k09><2xZHroEpqXmSi zF_4*m}d`oIPN4?OZcg8vH=ApASqf6>-(MRS^uUM|B} z^GEf5_2q`Um8lchSm>t#En^L8kKtzz&5_^)&w=4!Wh?8Fk8ELR@L+kVi*94GkKIOL zJ{Pi=r!VHD$p&AZmu%n9x^oYgjF5;1y_ubtw`$40YG1}s`tN_PuDHHxlvcLF4{iRD zSBS)8zm7@9OZ~H{Bk`S+7?*~HXdFZ7|9SYP>i>FJwmSLgc5da;8Q^xy*_D(pJ0Xur zvwMQhlEB%8`#FBufVNL%_)m$ua#s$^sTh_gLHc^j-AcE{dCW(tVLG&VGV_~djpA_8mK%n0ZXtXm)VP|BZ=h}{zR43vIep?zJ)?!8bm9NGZo_@trrKUG;-koOJ;^;; zEWusR>rH@Q<|;JhjQH7^v4&F%_p0v=_}~cclc(f|>&bp6$EUgf(w%LfxW+R_#6Kj- zi!}x4rt3d=g0Ri=V(Opw2bPM;|7_ny*>1wMvIJmq+R8?nJXu>t+_43ub@+FDTDV$0 zZ(bss2Du18fzR2N^4b_S63B-k|JX77a7*EH!|T9dCsb|x1J>pY`7gvS8ceT=ey1Gp zGAiJ;tDD#;;7l27>9e@Z`#kf?<$Q&<@A|)&SX~1hTfMtn91fnd$JeGZHYegv;_YPf zlb3XQXQE|4R5h(_{b+~ZB)+%*rB3eUV9~8Q)XsqnlzXSX-`<>lQ7zi_2jwB;9(Z@k z_;87QuiBUrN|86auk%u(Vu}uG2rPyM7c@le=*xxLGVn77hla*gCJlRN7Z#_Q^{%Q>k#=DwY zK{9@MlO7&&a<0E-T$UxzKyhI&r*x`ET5bvuyF)2b*msj+92#ViOD^E~J&5QRDj|KM zXKj)N7GcJX2DIrE__nj=mG9;D0YKhKv0RUA8j@KtZzgm}_!dJBli7>N@5C~zctY|@ zn2hm1+_C3avB;qD{ELHxZopN!!{adgVP&fxp^1{pYv{wtW9ru4B(a&kFVAmoTz zZ2Uq+!EvI=^Fh)*Ed5{EDZ!)sgQVEY^|2lmm)|!bK72k|x@G750aC+ z+9@+$$s3G9>JO5uq+;V_8;o}B z$g%RHKzsoX+q{w-`0xI6v~2;Rg4Q6$_Z3DZwekJQW&QIPW@hmYNnxudexA;#n@L^p zTbMzW^q=ZZw@J5@Lk@q$o^ z>!M*q!F5q*FXR?kfe=Vqah>Q8&c013Ae7?yQul5(JaUjV-Z3?5ZI2PTpYv<@>eDRA z^k}&cvwLck-#nFcpaQ#Nr@O^A-NP86l;Tu}#%;?>qN{` zZF5gi5c6&b0a2jeWqD+YnZ(745x=>3%$q{NjD>TaHWF70O@Hx5mT&I z5MKM8aKPNPEykj8J}46)&1p68 zh5NIOG=;az6kqm};(xp?wl|S`B#lOt!zQ=;VG#v7y{iAaRn}Bi4N#qaeC7?Z88oH& zw=2#=yG|jGX4*AK*kdOPQheu#<*U1CEJ(%RU1nL*Kn)lF+P1msKfP+Q^Gy8z&aY10 zPxe+PdfNlEefz#YQ0hGDFZ?~th(?SUpC&z5SKJ9V4B2&yZX<}yq;^hC{KgdM=)O{; zLcTh)oAu~aaz=lHNJ;Eu?a{Ovcb#_^)OVIuBR-a7-Qn}lT19a4_&>xapSssLrgr#X zO+O0%c@$n~2#hj-s8(Jsxo}HdnOLCC2PJpdC0X^meAP*w_V;Su_4e=W8ve^i=fgnv zOi`j(>VL2Si13)=8%BIIN)CT#0<-AuEf%PepxM1-ZDX@V-!nI=Y)>Qf+M@FMr-0U+ z@VNWkqdEn5<-E?E-Z0p`GT<+_22TR3g(uj&S=F1^ALJmW%CZoD9d7ED%oyG@ZbKGN zF;G1Qzgl;DSgrhPgy^2G_2{8(!`y9#d55>6b4*e`vk$bG>P~XLRj4a*Wc*d0gO}WV43z3SMJGYKt~hxz!w; zi+7vC{h|=q&;8V`u~DQ5;G$JOVbY(u`xJPMxfTh-8X`3qqFoUq4?RD{WvwW&E5Nb- zTSrwC8Jsbev05I!>E882Xb&Lw#HM&=n;4JyjAb?z1PspA^9HvR$bc}I&2(eI(lWtm z0zxcv6t)z-9)tOgP%}R<6-14?_MzU|T?@eUn5vTKzRZ8!ykHZ;B}?@~Go~+d_Weww zHo9n`*7m1?&nMBAL|MB_EN@eV1LPvm!c(~Zi98h1k%UP&jTJ{7;H3xZxEH|`J11;C zBo{x~pBn}ne(z=lI;Y^mqLVvBM4V`*R*w7e4cl8p{}gal@7)Md!@pNu#y8l(VUyB+Mys@3{{j_I+i)RTzH9`NS3WmavKnKB9;UZ5eJO(m9S4^w=UWdeIQT8oYPl_ zM3NFzSwOA=<df30pX)y=C)7Y3r(XvMTCZ z@_`o`Fq-N2(}L4KD0Ys9rki+5N=vPT6N`zO-pJJ=d;Vz6SJY{B|IxZZn#+>vR|(HC zd7b=LcoFqQ-q4v#+3D(oH@{1&1yc;!kUI6yetU0rOy-aI%VwFjbC!Ik>%psJpE_nw zzAUU2{oDR8TyM2fAMNQGYM^Hp$ke^x;EOH_WRAQrzpqPgHAbt`>@PtX&&W3LGgdKC zgiTWv*JbdQNp0t17O$LxJ|pBusHd|eFX_(7rH*`33dgAEC}nwE#rOa~%NBxu23ABT z-#Te~CT7^#A-!9nFVU*6&|CYgL>+h@{l5k!-ptJ3mxf&`dhu4s>*-fBRWieA?>=Yg zqo3XEe=*_N;530b1;)?gX)$OCGW5Yosc9G|bl>w)bt;}+)pzf&MK zwVnG>YcSVzWF^aalHSr~L;r=H=zw=1y$^C<+mYIt;yR?NiMCppfJ@S)&0?eA zL#^I%lY><#1^YGh9Tjh|WDAcBBJvYB;q`Agy1YGg+^Yu%s~EHZ&PXOI zhMluwci?s)f+XCEspuvMG2zD4xBWI(qe36Ya1E17AW$!}_*>+6(w?`G!jBRy9`w`l zB;0vmHm3!r@f)MUpyQlO+z^wy4vEU_mL|J4c5SBr@{gm6HriCz3~s7%;T+fd^3GqL<7_zy znUo1N!ci>9;NRzppm-0ilHs}v?((4cM$949{Yjb}L~Ec%*4J*?oxge)@!IF1xS25c zfwn_3W7op_V{InUBby+Xs!P?KUbk@w@9&4z}I|S`1$Kjodj2 zFjuVeJ-e6a?5>GoO}O+(!pSFaswPR8X4(vuiIPA~w2r)j8HLo0m6B@NTFbKEGxGRv z4P$pz7zI<@25n1}VxIocN&fQ~f!hxM@(<4zqNN3fn=*C(!yQPd<@x~WaoEFf?5avb zFWkZ-0hj4$9pi#%4(nKgre6wDDFi`?BM({|qP^|Mgm-I;r zPGCPHs&OH{R-RJQ?EBBEH6>5Led@>?v8y(erI;+M<{bc(PWG(?35jG?@E5I^pFiIhS%3PT5Jq_>K32QcwarzNS9rYgGKsL%4{nU1?vy!tRy@ikVk5LLm;MBpwn+ zqvA*zwD!xz>Ofe|vsT)Iex#9Z%^2M}B{Jae&@iqjTc-RngfvriKOfz1#kHVZV2FPW zyxx*UE=Lu9JO}N_Vbi62Lnz$4b_`T{d*dj}t1U;cL2hUOhGbWrt8+vF zqh^Zoq!656c_*l+6}UsIALcnYcA2A$6r##~dQU^}XeR_RFxCMWOgx9LXwK7|^lbp0 zy)pg*Y#IcjbT0Ht%E^k=IR%+(pjOj|pRCc~B$=By(3Np{6eH^VZmB!dM031Y=!5E@ zM~2{);nGq9A~jDC?N4DID_!dc>rP7LZQNm;aA$s-G7}y{5f(xxx&jyldhRkT|Gt`%#7xKOH z`sSr!JUdb$=PRiR=~R~Fjw31dbfe4GLZ3(Z+K_@~5hd8XNyB_dq#*SIqh(Hft~P?& zvGgeV)hv^>`Jn-rt!(@TMTVB1{qm={;rS_h6z-Kf6$h=LT9=;eP2`1yfhTXTrQDXG z)3?sw%**AnFn{X>xN|_>2ciwKDe~GRb`A7&Jm%t<7g>on8J)qqn*8?SWGo5L&@GMjw+ za}zIOn5LSUr~C>D(KxrxF{;)IOYM01awW)&6a>;CadL983g{Vs)g|LhNsi-vVFkFv zt|^eY#aiv(4pmbKSOICh;|7M(_ir|0`Uh2I;$E7y+{erQ>oMg}%@kNmqKFMa)@{a1 zr#Tyh6K~w7?$x5%nAH^HlH$qRfNVF&On8C17%Z6!}b)ZVYa0ZIyty>lAYh;B+ z5hX%;8@41AL^|t2uSUS&XAnVguPcZ7zmUwE&f-JjrlsC|Y#9{MY5#k^$!^)GmSydp zAt^%a>HFw^o@Sh{On~j`>USa0ub(FWnIw!APGG3l9ZZxH^)Bd#|I@6oRFseaBC^CZ zi%(z7``ujr_Bp98)xL7P&EDBVHjc;LuCQ0=CbLlR06~SG>yNV&FM`GV^tP_dO)=Ic z5z@|NxK~HK9&lIzbPnA&HO{O=DO`g8Hc()ID-2{Gxu(c$C~=_uc2G9`-5M~IPTdRz z-T>&N+XqeQ*kZtBmSpERP5an^p`Feq@>G_cz`GHC-o!m7@FgU`QkpQCq4bbIV^L*(rSQWP#D?8Sy|c={(Z zGrd^p;fbVv2Jsf3M9-V`8lDA72*l0Al=rRyx6VZ)PJ3QIqtL9)&sf$ck13#(_c{a4 z1;cOGKd~b}$$u_vF37JCj;EW2D&Ww58eAL{N*gErTC>9)y6+Nd+ohomev|VBA>3qS zNAOM7J4=zLebNPPvFVDM#|D(?^bC*)`J_bfhn>k9*HUz<@9SrBhjMhs55Y+OP`F=h_Qu84sai7*|i+z?-E?UzH*w-4yMvW- zrD!y;Qx{x|8o~gdDzuZRo#T-Hlg<48K;xJ~(Tl_73|@ghF2X`#tHfm#^zSFl6+_D5 zULGmesMcgk$f8R@Ub!OZm1Y>HkSK8JU=U&$u6u@IV!ZAgg?Ayqqa+byx|6)VgKLR> zIsHbB{VE6gOpi=Wk_NFKF2Tzi(likK@&!nXcWVYThS?E5u3Ct;ltiNb?)=s00q!e? zmRai#`!~JcnJ%Ba+M+ZEmD5DB(AA4l?Hy4x1IV&L^3IJ*dw!s&1IFqwdh)fyl(@ ze!Q3T0M2z<3K;1?#8}prCJ)P3JtP2WGqC|Ic9^P(=!Gu zpym=jj=svY0l;X^g(mlog+#(f{)b=AMzdopFp|#Mx1y!WtDN$rbD|2YOdnDp=8b9?I{)@}~$d$^<7WZ|5Gw%rYB z)zaw4Bz3tW+*dF9YLc7?Z>&=$VGSzB+XNiwN3J_}BAHHA4r4?wNn?T{dNZ_`oWd5v zZ!WWvI_d64oak0iu7BT+u92nHRD`AHo0sVlpA;&_e;#xt2^O(DBdIaOA#6QQfadt( zL7x2Fzj$AxM`grAi%IJYsx=qbKs zp-`i9s@i*R7RR|Fd232Caq%WPf6A~|p8_8YRE~*~x#i>L-6}$^?3dtmx(9EYGv8uO zL51f_4TzY3!oBFizixy~h^Q*6usB6b8ea7{)go%rVMrf2G`HCLF?1^VSvRnUgg+I1{7Y7<}O~^TzC~H zT!aqh@FMaCs7zA_Ba* zZU^f`xU@qiFefBj;*<5!&Q**5TH6q{Om9u%*2OM;{ye(0(h#%Y<1QT5!z8akA5$Xh zf*xts*U(_4a~CMk0-1VGAD$Fv^)0tSL<#+q^oUI8iTpNcq$}vJT9B#amg>^PemZxP zelI<3?)`N3OlJKM0oaDcS0tAN{DurexDo$nUl*i7D7P@+{o41lCK5J-p-Fgp17A$Z za3Wm^Y(;&O8P7vuYG4v>jGKN%VN~+_`JP_Bqx>a#-^oP^Jy*D_U7T`F~mdo zBU;@%q?TQ{4C>yMQqAYS{Q{ zx0Y(&lB};0!!&JB-*l-ZsYSKb=&y+SOo!TmPJn*?UvKQ)a+ptOtnM~pZvm{0rFQt* z!Jcz;fvPW-qZL_!B6nk!oZJ)rxU;2k_3d(7h@W>Yk!0GM3vir4wnC!7s_dbk>>ze~ z-YWf3`w~u{QV;(RWa~Z=*ES9}7F>-IEjceB-J!qtGCWW}zpWg~=T4LJ+GZ5J#!GjV z>WXnjoJYg^nw;7&uD+2B=Um-})JQo7L87ZK^JF_>(U>>ZDU)(t_e^ot4mIA0MC<+f zo9j5T1H$sVcGgJ{2;*W&{{3@$R_-9H?Mu#7Rx$q%zMd`Zv*F~{@!efltX*Cm&U+}! zd_|;;-HtsJ<3vdN4g9Zrmljnmv5uHAjg&wUlfI6|71Tlrsf_|6(>ikYx_8 zpW2634frX9C0-bIN4 zX4J=oQ=}uK!l5Gc_?^esb)G}VJJj1l;XcyCE636aNC&pk;_jQIo;=tPfs`~9wfKH; zD!KAh$l)FJExvqu<*ei9gTd*t@kWTXhMoGXXk5atb1vOmarUfs{PYg#+XQ;i{b&(& z*)(Q4oc)0D&WV?ql7W*YE;!F3yoBaUNWWKTvAd~3m>OBiAhb%j3=)U)&*5XdS&Q<` zzg7>^~w9=XnOa9JZ3&yF*PQ?3))D%S76rGO2LxWOm8 zN!$dWsM?gKQT{67r@qN5Y}*0{abNaYHx>6lgxm18*K7~H{reh&3mL&h@__#cnPu^v ze)zMMF;FndzEs4;}y-KQzSs zytRPAO(kW9CLyA?aYYLp}j!S1=qGuem zW@5=Te?4&9QbS4^evqriH;j6cxC8P%F7xcO^1teOzuBTz$z=5_e~!f zSoM*059RbND_ac=vW)*(pK{|>eV{jHq6M@gwJmgld)p7EYmSE#QgS~qdW_PF`np>K zn{5cNW_lA-e*ZL_r~l$J6g z#-KeTJdHDqRyEe3y@=u|jjQvVi_Wa17=~T~sRVrMh1AfYxm-56eP5@8D+*wywzmBv zaIf^9(!1HIgP7yEf0Sy}6Q&tITsO;I4*B67egP;}4X&2sY~OK6G@4s2j}wH8g&DaO z%$i_%gt%OeBz~TnJgCnP;2L{KYf=7^^kaD~;4HL6q0JFVE*5uet(JQ4W1??+D%8ga zA5$HD3{}ANv1EVw-((8rrWQthX7qnV1_w&tiyfrV`*Sk+2$gIx#EyT6R~^^CWT+oO zRX&P0bAEGML#CkZ6GPb%|(-hOL1uvr}ZgyA}fqhDA5^`B)M`XE8m*p zA4D*ch|w4w^^TI}m>bP=QrBq|<(9`!epv=BBFdq`I7X%e~f4*uLE4 zhFlt>++=!m9xbP2%MYu8E9HD^bkG0gQE$yYx-p%-ZY-sL2(WsM{A%fG)`NSS)-b8C zFvm;?X0i0*%-CFlH^-#%q-!_CXtmKw=bmyzNW1xw{*<1?=vB@n zz@8quiX80U>bB%v=P9?nul?XDHb};rbqMV~a?nKG4e0th2QMxleo7}y9EX4Mv~BfF z-Ew8bn(n%2@;^AZZp6yesY)&BaNUy7xXWZHdUR0FWR51~S0o-G{!PQIGtqxdM%mel zKV9&F7r-^BP18aWda!qFs?E;?;o8Cd=A6=O^>f-wiw1tXB$>iR5Q@_BH%KW;sw3Wr z>u!Dmw?Z#F!n>-<+IKqr7!Qf$;Z`S;UukicC2z?h6U*t3!N)KsR)S&oV^4P;Iiv!r z6eU2s$XZxVCwA^`8XoH*t-ZUvLWA^w+0rLk;P3}ojbc1|OF7#t!S3)}1e{YrN#iW~ z6qQ+8L2~6%Cnqo3yKb4B^(+9}F@rnqz>8)`({>YwE;7B86eBWLWQ^Wp^rMHe6KH}z z(e=jd<4ek>kWwpo;me#^Lgr9Q)xJYRMe}+ex(aL+mFwSyx6A^Sgh!2?i0`BAMTP=m zC*$`O^%%wo&#;x%=$_vMu7)AXHw|z)LI`x>0AY=qN}%uU9W{@({|Jgh~y?0 zmzUsPt3309L+9;rgF4UZL%%3_Z8w<-4|p(oic&&pmcG8f;8os&`G z2TXoUszC5X*Pk4D)W`OmycK#OV6vGxG644sH@~qjo6v%B0K&Pvn};HpG}>6Tv;lAA z$ciwo!@T7swML;x)9D&6?a_SU(Fds;Qf(ziV9uVaBe?3Qx+?MtIO`lznZCkwRnL4l zi8?t{Lt4Wh>MnQ)ElyKO5DOVhpf|+Sy2@~9RlQYi@*I-B`hIIxDuvi-PL|p2Q+?`0 zPUyK~Uus3?=U}Y)Sc@kH9}pketc~9QNbY3?0&SwQQzFBAcbb=$;gf~4b#&IJwte{+ z&n~bjs|(@!Ncd{wgvuQvV$ABotaC!k{ROBK8Q!uTVhy4lyK_hOeooD!HTk>~B(gxe zitD&#Lon*Zt>EJ>izrSe7}R_``8rOS;n7foqzG@hPLwZItY&od84O_gwpHG{wIdJy z?^@l#eAEE^t%&Zh{HzimA2*6L*Z+`G99a=w9XZ?-qluSuW#koJAlW3}FH->TzL9?U z-6lv&1p03F;2)La=j+2^-@@o9hALC_I&%x*2bP3Gz9Z@@;*3g+j6;@6_hdak1mmMD zn;wWd9W}rlO7xpP8sKl6XNd>PJA-iCwlj@X|FZxqZJU8nLybF$u8E?CbkQ?LZFzWw zz!qmatuA6csWcM!_A=eK8A`jR|DEZb`J3=f&Yfhza!X|PmRVLVvB59+C;E{-T_z9v zp<5e*I%lo$Q#HBrm};;3scCGzUjfu(pKd$-K1bhm)LhA~R&7?V6sIITmHu}9B zEK|a=7{AXv1TUG4m%l`<_0{pU{_W9&?hM$a0&O z9uD_ANa`sp9*|Gg=_J-8R)azEbU9=ZY?&)6l)8iHMbKKK;s(*L2w(hZ8$i3~j_eI@ z_)*!R^fy>NF<|*IH9I=~3uxg%9bk84dEsdsvvbZ-CLz-5VaT}t)&?)@`&hNRk_mb?xAOGkWKTE-st+g!t=;60;B-1EaHq{ zZMO0=pt?zNM5Tf$l@P14TP##o%DaqZK2~?}`HC=I+c>>6-EG>``hUv1FU0SR+XkQ7 zal?uh!fI?bvrRtbI>%?Syg#to9rUC`TzO6S; zr|lPYzCRGR>q=DpK`FPj7S)7nE~T0p8`X?^cZq_ zwSPy5Z;~fE<(VtNI%E8&@Y~YOpOVp{ixcP;p-fRzUK~WpSehQ9$9nnPbtNyKj8omX z;3Q3r{l-knY!-CZWzfug@HQc**>Ti!>K8VMiz9_@7$inmgD^Zo*uAH3PR9uM10-sE zY=uPkO?q#8)ngKEh+qtWevQyeOsZMvmhQDkZLwQVy@bCtNJ0VymO*mtpJpwd-}I|# zlcE!EG~M+>T@IObyP6&i*B#N5$HS+gjaffXjWR<L;1by0R6FHG@7&&|Rb6{O7Uo$-z4=5^aPJVHUey<4BK?Zr{ts;J(#z+?w_KvP9q zBT~GvAZ%^o2y_vRo(yAvzt&SXRn&BS$nLH$X0_p#f`8M^m(cfw53lG%S?MVcG zMuXszZYn{uG|~EtUVljx>wZ;&G!K8hT@L@+ge{#xLd$;#1RG%kIPF_jH^rwwS$czM zG@#cIFhxaXqmm9sT53;YCE3(BG8^OYDeB}cgZ zyTXh4h@bdS7c@wVl`LP5%i$uMD8e7*&Cm?xGUBL=BaW^87s2qyJ+a9xZ=pXx%_9cC za7D2EpLCIt?F$Yg>bTc>Yk^ejWbhj6;bmzJO3}u%$$1RbC1VkLSCrzrans$ui>)g! zsw5x~&-Xpc%Z(~K8P79}&Y7dMz%itM^rbwF7H{j|Cl(WgzTCjuvgF=!+V>s4^p*mv z8u}mHU%-XS>W7c>MUg@{iRFG!W1P}0A;PvM{W~3HlRwr?$A0a*&~7iP44M}E^HJg) znRB%>qt&yi)MngI`vH97A@HAGgWM>uQx|re3-hgm5qb*Q)b0Y}v~9>6(wO$o=CYHg zfd{iaMc>otAG|~7!9Cxpwg#Ig8xqE4Jza^(^sUMUU!oC z!d|U|eoRmP!^3n_a3(0+ZUO{9j>U4PC8rYSThahryl{>!W8XYe#3b|{Laao==#kG} z6Y;OqEQCfIwcofQ^R7y%A$P?A_P|l&485f5+HJk>Ubj&6VbJuT@0shk@xwWc&C@a;())cYh4cO}dP{&kQl_ zMbrNwY0wsqsWO!gtLhBEuT2q=+Gxe1Z^p!@CIc7WfFz8(3UTKl1HiMkv|{Jq6*abu zvT~xhSQaSxmRLYC0;DEII!AKzmLIABh+mg19xq2q;brZCSU4Z5KrRuvo#qE#R?3XZ zb1Q($stXfF(=5pa`Zacakv^ zu1`W`6tWU3`}&QK?&mRE;f%7Cnn8Dx`EdI1;q556n4=e1M~z#DjHTCkKvYJ(V4B%& zl5ijsnI>I%e~jc^6R8?w?H6r#(TXp(jfUq7Vr)?w^~uca;-#wy3lGiKQczn53E#sV z-rvso!42fmXTHa|C1_s54aCu}r7K5^w$HV}QJgjaX=Mf^HJ*Rzq=M)K{|h`!cYC}p zTWMNl)@WhwV0<)FHE-{eJqTWe!`H=mU2o%+p5-$P2Y;nkesr3K4ng$@=|Z;QZziC}S`I!OzL9lOnjA>P~dk4|| zu4PJJly>VaHsp?ctJw&pwtaAC9t5s^6%JMM$>O+$e8;H$b5iHTKBkbXptZe)EBak~ zL}t5x^ZcB51Z^Uo1EI#UGr}y~Y06pgXzokaP8tTnmns`2ABx8GX<%%1<;&?2* zvkj+Mu0z~$+k=3{Zfjs}Ix3sBbSI|TfQ92g8(GdSm_~w3zU^WSmrU4ImcWOdSC-5M zWSY2)Z{4Y%6IdEO7RvaFD=fU1Q=VlOq$1~`L*v)WVIH2G9de3ClGviZM)zT@Ubz#m zD8!sTvzXG{UNgVB#Kb`soyl4u8TO1ta&P4ot3aviu~lQ$*~+X@H#VSL9;m0pU8XEr zR~pMGy`uZ?SLd-4%9 zHou<>Zk1!w%AFg_nR7>bk+)E_Xf4RT@RR&>8sQI-%Y&Mw<0|3pQK8F$)6m$Sz+U-i zW+#39%PK<88Cw}f6$B}J$t@w8U5vwFoKc3lk}pgGe*(@Mj58*FJ0FVMYjd^f6uLat zcFFJAd3oQSlJ{#gZAt3#US~vnS-eS%4Sj+l%k~ErABwBoy4e%$DlFq}Ip2Er1EF-~ z0o3?0cFOpL)rjdlB&<9(_fxLbnVw!gqclpcVDlF3y)+N5mNZwiI0QtuF6GK;Ou!vj z!plmS(V$9Qc0Ya9Z=I3*wY+Pzg>`Q@t)hphA?A;nij{GWyla;nSETM)+~+X0qFKI& z0xMP8Im18LwJhbR!eSop|HW<7U`{}uBy&eFL$*f{|Gzb+8^L z9Ld+2Ij8@gmeIpJpe~M`Ay)n->7QEPCGSph*KPTNsESY+)}i`Be*105PKfhN=F^ru zy9aFv4xrse*1LIA#4T6@dosRJKTW955CTgj9OKX?PHoqS`LOe@_C<51P{gTi)6u&T zd-TiUh{ZE9mhb1OKe4>}Alqu7dd!tJZ)>agMiXI5%`XM%TJ$^#r0=Ty; z6y=p{AHo1o4EAYxz>qzcj*-#}vYmJ@nw;M2MgU!n@TIPJxOcKCJ|K8lO_g;^Yt|<8 z)O7XsMBg4@kK%|soa2DSF@xtm15UZN zTKUFZ`w1>4yE(YMnvZcfok;RcOXq2H{Wc}_yyh8|v2<(T!MWLc*Y`c6w!oRJ=uN{E zxRLL@!u9#YpJ{zgIxZ7HA}U)tGe9WeX&xyH8011)vn>)yI0@>0+L; zRgF2076H9}(se8U&6Vl7G3%4Lx?m7Z6c7$NL3yDdS&y%ts&RToS()l+UaUsH%E%klKaoJre2dZqQ+eT(`r|1ZvhlPtRK zWveUtF>(70anqKeQ|mWZXzY`QZ4rlTGjK-ph}yh)&F}HD2rIMMe#j<9So0Ja#+d5{ z-^;x0f~O$L{mkKY0ByylTkf-W?S?Sh^uy-b?2#LiJVdl(c z(m3ODZ>6s3;nfChr#5<&qPw*edP7orfCiXmpV~&5i-pQhGN4C=*>B3C-Oq%B z7DN*Hpl01DDu2O|34%?fLvyTiCf82n+pqF;J3q;AG|yhIdKC;eyu&IJcQOdf`RcDP z=)}~Tu9}mubJtC`@A~s2??|d?C4Pu1OS0Aq#b9LKU;|bFQZXgB!Vwk*N=W4u32&)R zFz=Nr_49B>Au<6_?fytZWxkAg;DCno8#$B(#$QL|#xYqp&E$HGm8yPt?b#ET>C)CL z3GPc%-p?Z<%=l%LeJVlHJnY^~EKBg+a1fv|W4E^%t%)@XI2%R2jP;%z9P?(O2j{HX zfJits{X0H(a6^cmb!%YjCSIGuvm96B0@T*0z8vX%YvIgFz}SVI<4v)A zVGr;PsAqJQ*@yNPr`)z_)Z<20C*;Ahp-j#jP{@OyHn5KzOd-!+jZ~FBNEI8p6FN&* z@9A0_O5ilNYdOEg)pB1U&rXlT>^Qz#go`!J$z*@1*QkXMtjGnwV#g{&w)^~bifu8D zvZ@+3W<-wpO9=hP*vmQj{`Eolmv>g+23B2^0-6Ub=oFd&o7;F6R&hbEYaGOUq+Rrz zZ~S>I{N%3ELpDvAP9yo~EdD=2?SvfwiKp*=AD=@ecn%)bC1+6sJcPDxSL-*jI0>BY z8X0Tv*Jhq~9pvW~3RF|TnDWNgD|4(-lo$yo6)`(_l-wUS8h)<7Qer71lD+D{3PMsj z)x8h`&qC&O6mon9GcTYOjhrs<5LJ2AX`v`CbYw<+v~n&5-z;GYSD z0Cy#`m%;W$rYn|tv+R2^@@{j$>nF;9BUu)RSh==BIGg!+WM7^wsE9Y!)q8AQKo_;h zEHUrLmY7mfs`nTJ#a}uEUh~LeCSQ%_mN;*#R)TM?ky6x{V?zv<_gV}C>cy&Ux0WsG z4$U4RN!oYO3X_o?{m~-BOc81-azu1{?VT*k4xXN?Z{Y*0St37niij2EEa*Wi)k}S1 zV5vsfhK7nl{oH7mPP1~KBhfC(8s2%Xw{0tDp?s}QfCVl-dQpXi-Q4imp`T^ND3t#; zU|uk8%6?+0{X@wMq>L!k{V+BNRXX82kiRZhZQ@k%(s5tOMtD=%tBEsEMCrCo?%;ZB z4T{t*3&%SxG|htUyLh)=xrZ+weTaVNR3mwottD07F6|Xbi&~@Hf#QNrw6Psil1ps3 zUn?3X(qWpIYQdgGMZIg(;Ib1BPm{h1cW-?vyVQG@G-IVKD)g2?$)FKaA|C30J3-f( zI#9BrZzJSpyHciny4mnVGgDypOgryDgqs7I>@2s8@SQ*-#i*t+d0dchGe9Lk@}lSNp0teYvnwOkRz0J9HDkaS+g9 zjD+@s8`I9`7dADNLIFu74r<2tPX!%7MaloCaOmjIp$t7ReL?%1X7H2He_g>A#$cW8 z)L;uSuz;Ib9j)*Vv|tRc)9dE&o|0)Q4X*QkyXqUK46SP@h~a|<`2uBG=$Cp$2+^r) z=#i1pIAtn4>8Gyt>9!d-r9T ze@Ag4cKE5$#JFR@8Y$R>^C^_Igmb7vr6-O5`);c3PSVR^HF>A|2ew5FHcH2X)A>>g)E|u8rP(JtCty~qkAtBHNNYn0~XJkNPJf7rv$Ow9T zeD7FxIUr4MBzgRr3R!he)>yF5*i9tWi>(ohYDQ`PEJ5lNd`pTwTf@I?A-hW6<#XIw zr0Er;#gXI*Z=_IHQm8v+h@sZ&0lSr}YH<}ItovjPZKp#+-DkIQRRr(!;mErGQGxas zG%>!4(xO|Q+N#@=rkj&I>k!QzWQ{|}8k{fK8jmu#Sq+&_IQ#m+Q~5zR*l8LS7r5ApdRA>-GB#!RRk>SG7kty~r1zB%`5x1&&>AY^w! ztPhI^8rOzIvppeO5wbZUuOj44guF5#*?(4YG%+qC?{OHY67uNEU?VWx$KS*vlIzsc zg8RZ>rck>O;ztH#oea@@ijdt1;q+RWXbvM}ZXSVImPRwaSq!geDnDPQJ0ivAt~jNFX{>n!U08G zP1s+4aR(I0Xq@Cyun8FLDQRLn0_5pXK3gXq096Z=0A=j5R~}j88)S{;$%en8P)k$q zcnh+|*Cdo3D$mO()Y-+W0!p*21gSN}cd1G(ngALcKvnlkoqa~*yIh*eo;)c8LLyX* z*UO1J9Li^Lz3Cw#V1B2JJ%nY*8VgY#{E{Gbs2xR~8hIh@&-NjTeXw!J`)EY*$4=7_ zY;R0Nsh00|gcDj=D4AQIRO{7*x5>m+t?4&qUat;ym(#ro8&hlWJxL3M)YQ37iX=0U zrr@%8H7Fgb#fA6RG$DMLJp3doZMI8Om^rRvCH7M0(RNQuL}fH1VL9i$F>dX=E(E^^ zR|qFd?gkIz6T;h{Z#r07Tq_f(W$d~u7sp=mi4prg87H;I{mJqcp;Z2t^RXDOaMozTF{va$ ze3}*~JI+lwgi4#YIlbh4b4rUZ6U`NrQw}jTQ|uua=PVE2G_ga|;vy%H*wDolN=F^WHg~|AL0&AG!Gu-c2b?0)^g2x19=I-BtTpv;Q^O(W8~KIIr7qM8LA0*3lazp zmD$?HhDZyR+K^jQ+1uR`ZrLWz@|~L0>du_)c}DzBsv&TiqWxT_#o1IMy+Mlg+G3OL zu2WgQ20Kvuc}>bq!_^3yj_N<+jFm@)b`?RLUgC6Z9<_8wm^##)uo}U<6MA*6Y8a;b zGc(;wUzP75Na~kJjAzg5%O74nvX7L;WvCF26Jr5^%`~UvxkFl+R$RP}t66WuM_H6wN%k(g0ONGNr4Rr9tEn>=a>#hPswodeXpmp_Z{A za@A0`5X~y`tWNjsiL(s1IGR<7kG*>fUWls+-<98z^D}pqAn)Jn_a*LcmEiRGbnO-F z;~JD^Z}DfO7n}wuD5L1EJ>TDI%>5)}o$k}utIIya@_AT3YpDf~EIkVMHKN61Nz=7T zkoSW04FE_E)vIo{+l<`G>@AhlaY>Zsn@T4GDov zK)O~5@;6^@BR^+P^jBd?2tgU*pNKoK|D-{()6=l^e3b{uOz%8 zam~8_!y=L^;)?MklII~u6T;lQUXq&RU)%t##^*&6S262`a(l?lA7Hm@Asg**XoZr1j>n{{hOd zaDJbcEdMx#x-ubI_op09jISn7=$5C}>zTT8_(<7e*i59BKO7yNbSUy{8fjnA2;{0X z*GOp#i{Sx~82=*wN*gxe4e2>h&@?S&8EQ1X#LW}sS^LcS7UyHKO9u7YJ}msp*h4r( z^s13NXol`vG6Y=HJd*kaKvh%BWkVJmiX7-<4&j-)`q6(0E`*PY#ncvNjlotwo=W2h zqh!^4|K{WRFwNaun9|)+C&q*2Z<(e|?+c`srcH0hQ42LnD?^&F9&w&xipy2~c~7A+ zP<`XN6w2ZX;S`$bdl%VoDe?aP6RVV$ z@jkU3>OY^9+d5ZnK()iBR6A@TbyFRR-_lx)YFLXISB<@2q}I!aDoim3oJ+kjXP}T- zky0Mr3l1_FP2m_xo?L>0PIZ4*W}cA8g+HF-P@4ZGJW&23F4L@r48b#6avWltsVJu`95@?iA94z;|kXf>J;kfx=5Vtk7{ zERhhfhP;$nX5?MFu4#A21y|E61pjpa@lTPb{g~V z;msuB4^t?UN4~a9zUsdo6~fn(ZOlF)EI})senRq`X9kq3mK$#-K6g;4GlaTKix57Z zXiVlNe2dDB2U2<&4euo=-&sqXGtIe5_~PhTOA%ltK-YwQnm?aZz{KYv4QziVBI)O39H;4msTUgm0|uKgsj*#aqBl%GYv7-WLfT~_UcQj4F9<4Rahf^qvs|lAbB$4VN=#(U@ep<*& z%ZIS1nN+T7c^;tg5cSt^f&y>OcjrLi^uKt+6QrSFW? zaowCaTc{A8qFOIS(_U2OZ&4_w84R&Dq-m<}R~j#kedoem75RV>$W@U^B4MrRJqZCR zXkz?g3T1JP1hjVSP#XJUBTz+_HG+g_iB!j>GAN<`=P}`;Yo26&x5#A7OAaL;dyZ@W zG`^KPsISWFan7pnALwrK$kz|kUA8Lw>Xj6VeHFt$wN){LiFk0R?s(fm?+vlLOoMq? zL;JUwg~)suK?>Fq?okkFT>bd!`JzS5=U%eUpAzS6Rrb|m6CaQwc9~^x@-#sV~ipg({+&0ng-6pR?vg(js(x+f*WVGRq*AL&O*k;Tr#gRFdpO9_8B` z{0#{Gc8qDF>5lrMPSZn(Gg?iKhGc%2kW8Eq2**Tw#H*5Gt(3c!4e;7s(0AO ztPgo)cN8;@T!G{-kKlpIjJRt1Sb1nP`gJzk;ZQ!l9p3d;=JFb#7b>)0M>0@jM=4Pw z#o$VkaFP+oRYP4a|7uPBzJ>C28{)hLtrhvQbOVgJaz4&(<*EpJ{dOpV5r!JMn`X^s zHRn174-)Ze`waGG2dv6`O5K|PP3zd_CyyHJO+a3$+bvHSdw*}N%zi$l4ZHe-gZo%% z4gGEfr(j7lGZrhgP)`5??#L-kIL-*<3M9r08q;4SRg!>3*I$nSffUmW>zBIGD89!ns?^J6jb?4Lc7Z{k2QicgEfjKr9=Tl zzD^>i#itg#-)<942oDi*H6c7I``ZOFk#hcZA%G$`WRjF#4-j&J41*e|q27Y&oOCF1 zJ*^79mtx0T5_V`Pe!t)XlJHoHeOnBtrbGE$VYhNsg!hfV^-izFeH7|JLinACM~G%A zdLM*${=b<*{gIH}NYkH^owp-9zl&mLPxvdvbt&2TMHKr(Walf1&qs*Q7HRh(kZM{i zK)tpzq>*i`l;#G?1z71_)0}6wJ7G`zQA_nyObsGr-dT$K-Y zGd55WUPHct5%faYXa*i=C@vvo63=nQ?v%z#Lmg|kJwDe^TJXyA1Ko<35Vj`Hn0d5A z<0_1rWWJa};bWq8D7(W|`P@`=r4(7LAW~#yLRO-<_)XF`k?y?bFg~GV%r&kednE}a z6F8drEQnk+)JqBZC(XJRBq`MIsIP~;aP3geA1XHQQ{>gs?5=4JqjjE~yZ4~{bsE`+ z_v!i!Nw|r0>Fd~epX~+v(EV@J8(ovqf_Dk~2<2Sfv33#C>ua>0?MZQ8)WFaLR*msT z#dH^tCVWYTa%xS_C12f_Xf}MxhdP4fF|HPS2t4z6Dvd0?5d*C0P*2!NKrxnGYa=wbv>j^2uO#r1ifqdSc2=9A&kkHhg zuwTI%oMoMPQEX{k*Z#vxcmT!qTS9(Esq=TzeOI#BSrq&2WS=7`uJ2P^zag4^2)T*$ zDvXir{1oNoze+x>ZTO%|<@|!<5hAj^lk(dDl(swhB$n8X=&y zQ|r$Aa6;ZX6m|-&5!1;y%eyD8jTGZUR7Q+8Mb^SsM0x5pMC%X8}V)7HX1|8{7) zGoSHmeZ7qOr$r+!1EdOO+qBJ$@&$e({ivGW?U-;>O|QtknolX_0EyheTFCgQ{UBp-RF_via4)Psav zMF?y0Ao(-zRLp#yB+g$TJ5R)wa@Wf%g{GRO&XuX32UL+y5b|#e(xbu7W(I1glZuyN z6lp)SmWUMjDb0v=@84T<=C&5k`L51$k;X)>8vBA&j*Zm{z^~EV@zTZAh{is9R{-uu z>BX}ZmkJ>C%zhClrTa-VH_GEk zGL7#f+?kML3)ZT|aP^~_M6EHWt$sYT80u09i%gk(10!8_C=HiL{8r|17DTQZiubua zg-WENp_f8oUs*ep&*#YNcxR8r-AV)FpjYKnVzVB(rifl+--h%mij8CqE^SVyP}se} z4&{6z(fn~9qp;L@u|!sn*WK=XqX{Qa8P(5f%r$9U(*`;6q*nnXWY+>xEnZ1l{ID>P z(p)SwwH9^Yeiza3tn_V^UVCKK zlbz*r$Gt;2_p>f{Gg@W(apx@|e2{4FAWxXR>vE5vTJK?!@JSg-HUp`Bu;WCHe2{X} zW@Pyf5i*%pqW*{0OwP)6xto(-c(32`4|I?5J1&)*urQV3H&7drbMCifnP6?h|Bwys zIj(B?PX{_+H2}jZDtk35yt$Huzi@9fo_HU_nSe-0(0HwuPt|hu`*4M}`mlQMaD{ehjb-(w{Z;#Lnb6M)ZC~<-aJH25b-DUg*T-+dspPAZ zs6O>?Nk}OqT}=~PW!|Wd+F?tI>v&@#SLL%e)lGP-uGYf$@ny$9Di41{?S!2vu6YUh zHc9viqT$rJjdZ_{+NDF8TSrq#~-qHw-OprP$OEw&6A; zbI}w{adH2h)9WiHj9fMLFA>6TD{~8k%OB3~CzH(F_bi$uNuH~z4F3a3$nOsDzG2=s zLO7cE>_!s$)_Vv-;yh{(LfD3TQ(QMvsG{Icy7Rmh*E_%OgU=+QVHG)#WY&T?|W`d?=LSUT{7b} zHO~FiXvIL_R7-$<_>5o8xUs3(vlzF8`>DV9%b0H>&SUv5l`+@U8BAub3&zSCL(ZB$ znmWUpv9yMHF0NB6Tb`*j<5x5OPhqmDS+$>XjC1aB#F@3|hx4%SpoY}c89zHuM9ynd z-{FTvw|{E>TsY>qmn7`-{lq@(JCr`(kHyB%&VMh4D%7KR73C`n5tZii)SKXWkRnj> z!_s5R|D`qj_aaL!Rx`ejVlSzb^9?lP!!(Q(3#+G^cU~*OFba$g48{O4Y7B`+0x~Dg zljX!J1*KU-t{MW!!SaLa0x~As^!ThO2>AU@PJIrVDMF)saHj|UP4Dh!5-M})KV1Km zZ-)U|=^@L*(g(wJEkX4QLAZMe!i!au?)*f9ac0#UPh{C&8&d6#P&j`hXAb%1L?e@E zg~hOUrCDA>!G5>)LgMa131L>vaelJ3d5&;v6C=!xcjI4AjfVXrcwkbzMPyxo+Aiowi6n)F=!;J2y{I;b4b(%Ipm@R zO_nRuz+Ueb8dwJF333XqE(m1&ouE0b1Zb^@RUa9J6p*Mc3C*^OA|{;h2*ifeL6~<) zBw45blc(T@Hk4WvG~cM~_=SQd>y&8_%e7)-#3EbZ7wK`LAepP&$N~O1b!oQU;Ys#~ zWs)q{wpUBJR|y5^fo57pAy`kliKjcsnPWRo{zC@! zR6Iv)t98cKPyG%KIA^@wVR3&sS-#eE{Nsidek6M1`m3HjVT~1L${OGRYjEoItJ9D} z_Scmpd5}W4_EHFUE6dpr3QI27nVNG#PC~f_FVXUD*5;(Kj2kI&j93}h%X{fGqdAEs zn$dhPXL5j5)|MY!e_mNA)WNxfBuZ|d zb&q>t&EKq|nbsJa$-I3}W_VOR4mVab=BuzWN>TJDwB9fT6lfMIiaTj)w5=-0kZCa- zJ#!q;76gaE`W29O<~Tr~b>s)v+_J;nMsn6D?68yA0cbsH`&@aHy$B=lpqW?{;s@#Yn_mXfoy`I!q(p)6WAkPls?zwMtqKIp#S{iA!uAHz8dT$x+xAz7P zpdE|@LgU1HCg4!_>FnvTqJ@8&CB;f*JVj4U(-PsZkjv0a`%_6Mpn2pJv@eTQ_YtI$j*Q`HmObty{lojFM}z{NOsh zu?*1g2*=oD749c9m3W)T0bD*9!#SqsM_Sbi#aOKe~ZttwcLm9~m6_?N3ji zc5Y9LbyHg8o*rz5?=9h4qwh}Wv}^_+!?|$sGqkC|>47$^J$-4%Qw;}-L7t+egRpA| zXZ**Z|LhKBQy#eht&KQ>R_owdPrG!Ad9ao!w7`BEkb7_rXjQ{H+V%u5@Wl$G8bx_~ zX?pr$d8#%8dQ3uhX;Gv%&g13O)W_XH_-S#3z;4$0P8ZB0Ry;dfxZsMF3JCNUxgTj| z0*d(^Tv0;e=>>8s9qzs+d`DXxciZ4m%L8|H?_uVi!Ajuy`y|C`0_2wLO>MhY#!*1l zi(h-a2!*qK)c)e%FH#7+6RLcG;;k~!=2`$K?ts?wKbS!WsvB?MAI@LPsW|SQCujEb z*QXH551esg)EBh>k*7I@QNAO6rkCzWnH%NQIB_@gX={=lA!!TAV56M3%|>XWtGu9D zRsx|f@dvSMMqiT9k)HQ~c0WP0jdag@#0lxoknpCrrVCK^_wmvj@*OHCu8>3Z#+|6p zS_6{mbD$T>nQ6W+G_b<=TkirTXpWLUK;K{4rW?H_(XP^?g%madAz-pDP}l$^M!o)} z8wEXRYYyFLHwTkJ7JwEq*i6P_!dufFJTayY?LPpp^>Uy;OlXz?H1JnQmg@?jSO;WQ zR}Mfi3IY@*R89lrpzKfYl)LPKKau|k0WZtt#i0@&=st30K8GTSTO7}(UtU2X==Ea> z1r1;sjRR=6WzaiCxM|q{vEu}Xp**lw?IYuBpH0BN=d(+u|3|ka7-YeT)W%uQsG_C> z`lzIF_SsqK$&d}c_eGJ`JTmKI9yyj8A~svI*IhCUW9!dXp6QmfS|zWHDK)M~;7}05 zm-P*P^J-ji;%UxbXyqZx&Uot#ew%a0s=^0(2BW^Y6hBcApgZ*9gw!}|Nq~S3Xx0UH zDCzAji*r+X2=w$;Uqh|mjCxt=K=W#O+|o*z zjBYIu(9J5^cL;R9;#_!Z$SqAs^6x$qDp%@>C@O ziZlm>?!1A2IB`G*^br&>8lbt40MthFr$>v}upSID!VD?OVc6O)FOr9l$H=f+lUJYF* zalNb;Gia7iC}>q4pfg7cJr@&x(5RpK`&_X+q(o_+ZG!iufnL*-40kxd7bU7b2WqX5 zy1fq+Pj{6w)7pRZs}zOCOUe(fQz{g@umL@>heEfN63N;XwEHPxm7j&^0n4&*q64F3Z=@NF|E~BauDVk=WW(Jc zt`-^PU8c);XRT> zZT~d)k%68lCwi^pKkA6sFsp{xW~U6012&o7C?x1m-}OU_G+#`9aIM?)^(Z8Gf#&7u zIz3v@zf)vDnB4mWTDOt$9OrZ7QTE+DqFTmzdOMP7k_rvkQBm~A3m#Wgx_P1DE(9Gx2ndActLmpw;8}n6*1HCPwyU70>=U9vugZp6P z%rh4f-$I3LcWmo2(;i>BnOfcu>A|zNlN5D3!r?y>y)( z?J!?xodvt$Kz_?T9-w14Ggq#?~{b(|G2}$cDIJunzWAncXs+A~L`h)9L|2M#%eEpR{Q4 zU6R6lP{L>_4fG~C)u(X>zWEtq@OQvKd6sa&)!xrQyFS04u~B*oXU2JvoGLNGY?Yqg zA^-98Zn@7-p*N^j5fo2AotC3ltu!X$2HM4)jsMGA(AafOfC=`A0@$-z=Xo8m&u7Vocp{$*XNrh@qK7mCwl?z?A?$%q@Y(z0$o7@A+7&hp^GQ< zKNX7o2tYfp_-Rh*&kCBoU~hS@+>s)uOL$8WeWM@fM9P=2(Z5r^e3sm3z65%ZoVcpr zixJv8QMk=1&^lWgH9Rc$y~>{dlk9m^g?>MwALvA}!)xYybSLf230<{E3u)jLkTtI* z1&aJ7;=+RQt)w z|Nqi;)>yD#{gDRU)*f=u`l=s0z=}ALGvT-5)NKy^j3j<;=^rUM9#666A0B}>|1=!J zej`0a4U4A;oAb$c(o^&jw3I^_0ozvN5+Lp6DO)0GK22|d4; zS_rvCLeK7@koVnkho@&$DDNQv+HDhuQ!Co{CG_|n3VwKd8rLl=^vp!NMTMRjd%Q=>Ok#ljPj7HgIIdd0@ESH!g>FEzpn62M#!=AI`K}LSjdV zo7=0QHd}K`E1RKKp50KPo2EF=sn8V@3cfjq%$a;)*B6VNNR7IW@-Z%+SL<^QXtd9lHhPt55?V1a)Re!JrpVP68XWkenV&jL4aOd(RR-p z?3`$i?a@Lqj0Ca9b8D~cnXNZGyYTf=?L!RJiU0z`%9Owl-EpWGGLhDu;)>h%^MBt0GSfn#8CR!=R z?OUY5&M7U9?WNn)OR;|XwJ33M&dnnv6I*|H#W%$paJ|0g6}UtC*LNy&$oM);UB$}2j4+@ zzRxtiNaOFxiCzT8G&Roy#R)5o|ClCXI!VsiErX5MqSViJKyMOu$S6vU`BJ%4w<@i7 zo%@fVT~|(A>vpNN#Lwj8!uICiLq7%J3p-AIQi?vwb@Y)`VI~)7@tvpp)pjfL2AJ6R^psz}39$f&6J{@SU zsyJZm1yAuL^TiMvP@EY>>HtC8IHQmZD4u2%BTqmu#+XrV!z1Lja)S2MiuQd8)iG*3 z$2qaR;}4=CEWNOtY8Cj*dqgpyS{s?B7_(Lz0-5pA?H5TR<{a}!VS@2WjCpI!;QFV8 zF43Yua6T+Qvo>b)9~n-cFeB)&)VC&>yssBS!wa^r<}GZFqn^#MU8>$y(HdHFIDU-z zB3Iyqjgd3@8S%F;XixPU27ZTd_Qs5kx*NJ=ian!{=ThO1>qHpChMW^=%zYxtYqJ-1 zUw8wtJhT~XBpxB(lsJqfU-h8Z zd`jcGZ9Z%HK2t}&k%uPINd0)qyIk_Ke##PVqeHTt6Nh6f|DBOCs$agtGAj2BW@JXV zyNnuS{NVH|jaNu1Uzbrc&)41ytbKCk#*pEu-99<%Nkz1S z(WlZ@E>IviF-`$(9*{Gy*L-OTgOyBp`eppIEo6lKyz~+g_CM+A*V?DnTK-IWiuiPu z{hS})!IgdoIQAbQT86gq z$sMkD$cZqRnba~H=+-HWJ;mFBSyrqK2bI0ycd`7>PtiWc)6H9svKj~vTiu~Q*0&)( ztoc;m0}5LL#RzYv#VS{z7+uP!y}gHdv5Y>EXc2Qpty74YA2v8C-j~Qot)5zD)dDO{Y!-|oX{sLbl!xHR_I7VpDUi6W%!Q>0?8I= zQAmZ9yi3kux{486pN3WB!)|TPY2+rS>ml+4PsOz97yqKz9ccZw3GydUXIiPfD*gKR%=1qJMvQM6%z z=2E2OptZB{g*EXXv1JY@FM#I!2M#AEbcY@-Xw2W9$>{<;=MhDbTGMLtfUc#LtI;X~ zv~C{(0nNhlgX{f0tKx1_dU{KR+9*=J7JHpU+dVS$zZHk|6YV7x`qqTjBU$fA=r4M- zkog^Q2MIF=pc^FGi!0ibii+MiUk?@s8s6^gg?A=z;~6nFNP z9@^}h7C_HRw9qD_rzf<2AMfmhZdK8qF#zq`Dh}r)4z*;PiFn9MD@#_-lHD%G0J>>~ z{xYHZo*(Q5-L6aMN)_#$30=KHjqj2b`e>qExP|~U(Bs)YVj1W+Lfo%w~5fFgS=PEkERChpheETbzau?0;r}&X*O6arSF~X)zB4E z$a)LhIJmb_vaIJs{+c2|c0Wuxmnp*h3-x zN^*xVsEbq|pu;f)wZ(7@L4A=&Sl}~JJk4vKargR!=3EGLrG);v=Y2qJ z#`U~T^bw)Z)B!C<4sgxyjNxtvIdQFX%gYj4_mY-NC|X#VFIIVjLoKhZ>#NIk?Aj07 zI?u10(7I+Y-7ctNvs&cm6*`nx?K&RzMG3xySR19?++K@VpEviV%RF>q<&(o&M168N z60LTaxp8{<*exYlK=wUz5uyp_LKRzBHXx2z&O!MAR6ZI;kH>I0hY9I$<&J*kS# zYKFSBUnkMl`!uYd&^*qC*fvRM9uos<<554ZVw<@Z9{AcCsu`)JZ>ePHZm(NCpWDia z?F~t{+74FhO|OCs0e@bKZFbS37WtdV3Az8=0>wy1Mp3r{-MB*0w_#cvhe7?BIb5G;>)6nD1P8o7n`x~~{kJ?-6f`Jd zQLg6E8K5W$fL>eCq9*|qZvkfxZ%XK86^ik9aKc)KOlze*-j2&CMwmg1l@l4omlMIhqR49_$@PfYa!HHfysW0?a2{FhF!YDH%^z$o zaMskZ-E)DqqGWor;V5@!ZJS``GRgXp*ZQ$_o?j-Q_aErIa#>GV{0f&o{Y*trBx|C^rT z>)$zE{{`=FR8Q?I+;{`9Ez=ORyS?r9x%-}KZ{?0%7D>glQ9T(|VJ{f5RbJ!@HKm!7uM&thVkJRkdr_%JL1 zG>?wV2#TBn4)uKYaQ0lSGP`Hbkt@-57^aZUy21`~&iV$V4%T?!TQRuWUO#`7`@Hwg z2I;A-N<2a+wRA(6_0v<^3Fu+DpZZhmpp&1jm%^f`#raD)^HbX=$ev!Pc+r2rQ@m%4u-}wZ^E6PT1+I_DQ`H`J*-`2t&_Z9O1!}d@^DETW zwA3qTu&xFi7OiLz%Z&1!zvA$Z#9@&N#VS(pg(g{EYf)jXX-5B*Xy>a?Yq?>yYNq{b zqD9@1QESJkZ@@A*vC;=JC|^sP#RY*>!yEzIOw1es$-g2e();FW6~L;J@IY^`&=nJU zSA{O0(BD_+vI%{lLYGPC!xd^@XMMCn7frN}SLh29`b34!m(YJz=<^c#bcH@Uq1fU> zJsfs`t3%^wSLoC72q?ZpooWA-(D^F#iG;qeMJ=D8v>)b^iC=SkOi~qog7Q>7K^&&{ zeL&O|8AUw;T9nfng%b*Ez4kUC!oH{lA+E4 zEoy~~E|gFmFEAN&6jGCX# z-Y9Ky$x*i$VKbz{_Y%bpV$n=%J}_*NtDnKgvvvBlFFbT1`N8$ho_%q*f}FU{$U4T` zDh{@)B(J#vKdiao*lb>DO2uLQL|e~9*b1skD%!Us+KVc5 zw41hmuixH%|9+1HeE7q12aV6E(6=SNufn6YR0i#JsfNmYf!aHVYgV+^C)#r>zMBa> z$X;2|-jHasZs2RWT~Ki_oBgsv-x@{sT%F{KaXv{KPFV+G2whBEjp(|GC?-E+?iFR{Bm#=8= zPUtEXdTT;Atk8=S`qB!$FQJnv^nrvfQ=tzf^oS)t!ZDEB-N+t9vh^|7|^*{M7mjxdew2$K|U zZ5{ad(7vr5(D-WeaaG3-K7wcVMSl=wYuzedDWT87qu$uY(-Jp;+ohz*sI`?*;%4+^ zi9@}5)LPqhY=)wA&Kzu&@{OHn9h=E;ZAH6Il3_}PavKpkS?e{oe}P(Cu5MRvmH5`^ zatW<<8(LERYiLQe!>sd3j7lseo{yaVbT0=0Me7GB+CBOY=&KT%^H7Q2+@nRlm`8qa z#X5_eW3g8*P`t;I(RCAgX@zp13?b1{!WC_#%mMrA0zIWd(S8DomQ$wPC85VuC~AGs zqCKUw&>!n?fnqE!qZo?=`c#EJKcN_9%d|Fb% zOJ0zC2mPY#)w6B}0)Bg>#EonBSld07WXmOa>$-c5gx2N5>l4~fjc)CS8>-k)MnSS% zM&S-?zi_>zqO}@*N`f}&c z(rSh&7461}!)X<|O+tTBp|+|XGzf7{tkCk=*yG$)uS`$7a~l}ch!W} zy}Ci#a&;flT0u2xZMp9DS>2wrR$JX$w2_89UkIJ7RZ+JQZ7k^Q$~rGi8rQLP_ijyw zy1lb*;!yW_H%REkRczLds^zsYrqe50)2(g=b@zndn_~M>#i8Hw7dErB=x#^#(+@C@ zs^`Y1?K|DE)%^hDTkm6UX;JqMY}USRy;|>}yY<>nKVaKr-%~65cBcjR15nSS)rxw4 zhaLx@C(2o)NQ)QC53b$Tsrw8o3ms_d6tX;D=WgpW%+2c`V5?Urn+@%&zH?#ECt)2c zqv{dwEtAdaJY+rL;rbG_WVQ}+we^p4odwiJ8iwmj)MoX%l{1r{4cC|G9N_F)UxM0c zlAQ2Zl$ZJssO=y8+6qOj585|YDC%sW7!%4I(0&4nR#ryQ=41|r)-qs^VbG#=!5r4C z(CZQ{_9xD?#-Y{%tw8Y2t3#kMR)+vZ8!2hdUg&{zLd@6tSUnKBq#5YxdMa!!lLN(zC=v;3dN{RZGt~Z_VDQ=x`03=8~a()ehfIUh>Jx zOTLlNeJb?egrYXf9yRn|wd48jZj->-}!k$F`AN5%&mfUw+fwk z`>r8PEAXvXN1xD%E+vI9%Hetx#l~KT>*drsxupwRZ6znJHM(3vhn7_9}OtY-IVh644%y*qScb^fVK;A7FsPC9gkJ3h{a~*CaxA3KU+;~g%Pc% zdo}}#J}J<_Rwjc3R^pB|Us&B%JkR|6(0JvcJdOx?ZN}!Jo_&E@X`kmLfLgs-&p_Ka zVqM2t4yfm)ZB69yRct#8Z}301Lao#oT2ieuYb=Q|Af!dN_tkB1n-lJ*T(@<)w^wOw zvDE>e8erud-jHPI_SD)ZH%qkjx{7rYT6?wmdbg)esU+JtaX76)hwDhyJBIdEI}H6- z?O4g!Ow{^qta}pUu^t?4m+|WPjEB5)cOR#_zL;oh4D0?- zcgxYnY3milHX?9b)ylNmbmn_LL;Grp+il-|$}?LlT=y^5O}&G9)MtZ)PPBE~Lp!LK z%zS?9?lsrFTg%-uGVa;k6Rvx=!!a98#c7Yl&*_##djRJ`QX^oU1lIhZO@nXFZ!^2pTxQT zu8IE!%l~KZ+GFi5iZBh20;R!%Sb5V{C?c}Zam*}V94nFNKxU)9m@j(~&=&&s!w`z;g zYzwplY>-p@dk_0YulY{rn@?w3OCc4Xe%F4tQUaajPZ!#6y!(j#|ME|9TUk7vvC6xT z%hUJz(>tB=Qh5q#MgF`m=vw<7l()9uD?hzXo=#ll>GhqbkVd?N`_=G$pykkH6#p%9 z^iac^ymr#tf2j4w_6c;kqll9Xl;gxkg3|Uw9+8&lI6-OYPUxU)pI_U5c$@80t=CWM zq1ABuHrnVm8!Q{|fljxE1$w)nyF0o_&}oh?7IdnkcL}<)qxT3p#nE9wCpmh*pgTIc zM9}RWeNfPC9er5PtsQ+t(20&dD(F^@J}&5%jy@si1V@(&y1AoI3Oe4=rv)A7=rTb! zadf$$V;y~7&87;rQper2RP|)Wc9V_T^M>i34nWN(b zecI9Sfq^p9opO)tusn!+L6u;Qh^UfpOt5!4|K}Jr<46DM# zwo)0QWTRIGwDo582j9AOz?}=jR>D>?q?v3VeE(fgj>a16L%-bJl6GgOpeM{QvS_iS zC0(U3)hYDjWo2$!vOJ1|=gue}@>4=)cp3g6<;8wxa7X>?2RVAJq&?J8^-s=pR3nxc zho_NHM1Lc64|57bf-<+O1U=Hz<`gs%itAa6?VXSZTBH{V-A_5)3%V#|{UrMj-1)hn zM|;|+G14CHXnqe!M8+ZQ`#tS1gf35;$m#Blf^>QyDBNO73qZu_0_9p=Uf!!EH%341 zC3J6fy1_}%B`0Gy0#LR^Ub0w4MB1b5gN&w2Zh!aOaz5-SX>aqidEIilKa(2sjE_Bp z?(I%DZ=u~K?Lto*=j5Pn$9UcH+;$ZT!%pD`QnKTnLYxW=x{SJ>A{6d*3UNXm(sJE@ zr*2yOc%S`&#iVzJ+-@%bJxXk@`Ht=*=*^B!6LgWIM+nM0PWBS?R!^&UhVbT-_ek1b zdD`iM@;(%$aHpr$TTF=)Ioy5J7pPN`8NfmW=nIY%dge&x)3p zx4OJb(%#@@*hbKY9onOkK_7KAFN5wIf6&vuQ7Am-=-UM4tuA@Vwve>E>*cM2 zE^xYU7L+%@C1So7NC_EWJ;fIKk;bBL&7ZhjE zA?*}F?{O5Pbx^p=(cJ`H=qPN1NDF%eboUcX>leA z(Akpqw~k^|jWS&0D8}VLF=m4TbatT7=Rgr(9OzFRh5iW?x(R72yI{FDgzwhWL z1^u3*CklGLqbCV^o}(uVdXA%~2s+o%FA935qo)drJ1tR*lD@`=lD@`=(UHDi_}E?E zuV$^3_v_W+{erf{IP^rxZ-)=%y>0UFYVqDuewVl$ZvbKL*Z}x3#%uz7t1pOtnA|g> z5qI?Dz*Y>pyMVTRa28vj^yDnJCxLG2Wl(PtBlcnGMOs*apsOY0E{A@+GfaD(&^^TI zhOYv-(7&T7=l<%+AsU_rQ24OZy-;#vw0yNiYt3ql#)sE)zkr_F)iuF0-QEIpq*pFL z6CcdG(MQ*QZpbC~@ndFnT6mo43uL06NXP=-j*60Dv#{gZwV1%~D{BtLOjtm@~atcFI3;Iaa^UpCr z^*Xh^jv_}`4N!~lk>Wr22k;%s z`LK<(<>Bo?3Gfu6McUU!0Q(?THgILo0vG(M!50EYfNAAJVRUi*()Dzc+VljGEGx z8y0kub0fTL=yjGSGab21;Datm5H zi8VU3iTYM%IGS5bd5yJq(<;7J+6^mr$W1MN=B73mV>_@q7k!9v3b|z-@dU_)Hn>{m z$9owr7P`3&PB|OtnHuM08nHy#8DyrWlFHelN-FzBJ||QE!^XxH@J?Hvb27EI$+IEh zB->5VS z?Gn`wY|T8kJA`M{lS+YlUFQs(sSToGv|m&tU(=dvdrp?Oe92ihLe6x3mg^mzVN*w` zN8m80FeE*TBc$r6+^0Yay87?|r@Pp`W8AEW(Z&moP8BiQ#`%gEZ4n6-G1~Z05u=R{ z>ndV&ebd?7x!%TnplL0jm=$0Kk2Uf@VW$IyjTGn&1EhsT4hpcZg97|~KqH0|Wxxyq zC@j55i#aJ!%yI+83>YY^mOv2&`toTtx8Bhl zh-lJLJ0cn%mYKd8YuW?)z3Cn3=qDZBQqad8-CEFx9jzS^jg!#X!Aa1u2PHC{WBofyT@dXzfL8JUidHbCU5SIC-9&o^_ONX?#OIog&4-y?+ZP7ScI;wP3>8Q}kz2iXg_{lp&8|4v= zj1yBWH{JD_M)gql<*|UHtNVteZnM0+8flhCkFmyDw`oqHIN9{hnsahkT4=KKSEC6T ztCmaZy+UD%Q_yHPjAV(sL@s8d(T{0!DFs^QwTG!mU#!w% z40xZnn?_i1ZBgeqz4c_tWaDqiWG^|ZeRYjHr6(I7=8Fc;<1!gD67dhvT+~sjxsGss zroJFrE4l6~nJk%vMA2hdGTG!o?I_xPWluKlls(z_kn2>{%GA|mPxk6rHOFb(S(jrs zS9dNKyQJU!#~4M9bqi$mDR9P`dr{VWo~1K)`fPG9m3jm?1}Fv2{cjPT)&938&4;-> z3<-DgeMww#>_?|Et?s)$!E>7@xv?!uqFS3MALuvIb*CB5N!_{oPDfuYJ1Qw9qbpJ6 z>1}eh=APc-WPhB*Zkn;C^ER;W7dyHS2tJ5sB8u_(Gy~!$(z93Sjl^F-^6ss|tKRvE zcjGDFH4>fG>@z^V`|ek*7|54T&+0q{0hEe2_C96r#*M_A*%Q126v=Tva+LMkUe*`< z=@Ls3`Asqu@AB!_r8K-_luy4UPrvMCPd=x+BnDdWLf#N-So_-U+|jnX}iytrTMf!wXc;*gQqABP?V-51Y9Ty z33(ko3DMh(d0#PgNV^l9()=Zt=C!9oRHLyp)DmZk4&m+QeA;f7UbSCROQ`N*kLS~~ zJCs+gC;D`>$zVD}Yr^}dsU@@~)RlbNdIC#x@;cTbB_R-wSF(hhV-f;sIlw;c7ka^s zCc8juzj3q4(7W0%aA&-Iz@7iM-xz6e+7-<6T4Rbm^Onf@LYM3DN|(1I#+iYjaEzBXc1r-|=}CDT=QkHp7bk>{4T@l?revFD~`;LVTP=W)jx zUe3!fN$B3^bms{lPH+mB2+9*zb58Ckbnkb%ksBT#ZGSMf=&g$jjd4Krj>p>^eTPkd zLVNzv(fszwJtQq}i9Ary8=ZpQ+jxhg`MsD22!%g+T0Lul_gCh1d%IBhvs2hbP@dM1 z*KL1E`xmFMv!J|ZGOt^H3QtZ!Im!D$r$}zRsWPuce#c{evu0j~{Uo=)d5tFtI_#+4 zp~*Wx_m#9ad0M?K^FBxOy5%QX>_>WV_>z21$PC+^OjOlY| z+K#I3%((E&zz&MpRcKl(PzT*mQ21y-VN*d#7ar`O0M8WCP7w47N4FC6QAf8C^dU!a zhF8#iz|l#94m%oWccE^ur%?vjGC=`$4p5wE6)47Gps-p3g+&7twn3m6$$=gx=w*(c zAm}_tKQHK6j($ndZ#eoDLCT6VQm9_>D&n@=T16f<;*hdU!q4^Z|rF`dIcjRD5!qe&eLj-Wz2l8VPcjKdh}Jq933cox6-Ar zB0LyKOAVtsik>IUjUItPBA@aWQm*%ogv))Iw@ACu3pj``GuK?&nmji^+l{D}jL}R( zQnFdbWAGW)P>dJ!E?zGv(}sr#Z<6cRN?LkD)lWO4XKp&SP#%Va?rf*@G&$iIxj`fR0&QmD4dR zx5PL?<|WI=`F!kF={wj;g_7l#SUw)dIs@MPh*QwI(ZbWZQ6uUMkgHK@DR91--}bsL zE%PznnkuP#Iv>H?*LAjH+Jgp$LihJAO%E^3=PD?D-zEDoeWG)X{2HJ=h zTWvztPw*Pg6O^`cZX4yAK>HhgAj(aRfSN%ZkIV3%c`XOdXwwO?HAjSjmfo&1KOrRWFLQevvo~$^KCe;-O()ge;7!?Q7 zdKNWT#ewvSamm-aHAbM~Kw3XztVG3uG>NJ>kj96K18IC%y*Lm=3&3v=3ny+!015;j zGberi26Vim&kDMkqfd2Fyt&-|!>lonh7C_T(sE7sYN5b2pNckaoUCZ$#)pbFZhWX{ z<7OL_m04CMMjG166>Z$)L1z-Qpex$AaVMXBX^d<|8#fKhNb1$IGSN4mG0SeOedBkt zEb+_0idr>3RJ3uE49p-PB^4#!_)t;ejSrJdCPHfryOdOPf8$Q=%4mE*lxau_;`*RV zMrT*XPc0{mXn7oyM!s;hI*+H-$jBuAH9nM_H9pL&zS+@D zjKaUjbV}&S+D+4>gxt~iGor<_#-)CuND zebDFhN9B8WYX5wbl0kOy#U2Il_XzvoTW7bk9epj2ygEncZqZ!M@*Q5emdp3+;67GeQJ@z^Js1=1&6nCyw1U=rS5d^_As3DpxmTiEsP)Zt*+P4}L}^>B zxvy=O(^b7QI=LY#8J`Iz>l@g&xyCv&-|kC6Y%!kBS<#+uWWVFy06f7v_OSB~PWO+y zM|h_KpU#Dlcc|e#-e$t5?RF77!RHh!1}Gz*0k55gf^^@V>!o?YpUx4=ye)=LHDBIp z!l#<=%D0+;D7er({U}v&p?@xX)7TfXz?){++U?E(wl>>@PusmWeEPY8WI^wAINjf6 zYwP_tyg7(Z&k;HLjK6DN-5xQhEWCQTz@C4#RUf~xyCH610D_OPW;J#&)UR7=k$=b7hP zW1g@c=bnKAPhyCD-9UM!g|-Fv9qWnZ3%%X){kDVDIB!kezsmE`wZ<$%*n6nOG4=s> z@*3x@xvNMCcgt#xd5(F0-Z)NG4LL(>4@h1iD0iRkB)Rc)^T~n^JAdPx3e@f6PB;9L zKtJkezV9OFB7uzMkk;gopQRNjgXewDE3SOway!7D;OR(O6`a0 zA8O9q_(1!&=DfW$t#B{{sL3|NnRa zbd)=26j2n0Pc~Ui%wtV9&y9~oWYY-BqL3m8vom+~vh#8>t0aOK>;xMT8>2))2>1Xy zQ&>r2r9s8c#zF%TEbRqbJ4Fyuc>X(g#(n6(nHlDM=k=ewfI8(5jK=G@kyJVp*Kp;Hu@1kbSDYLh?vlH z%K=5&QU^fLkiHXv!8;hw@~tx>+PeJX)Isg;GZ1<}SAd$abXRg4vxl^A+7K0frllNl zUfKd#4r^~~mJ$Bq#9pGYW5w3vTQ_&g8XMPlqIHfM>%=hnX8*PPtcJ{(8bx2oh=mnC zf3N1~D7WjFWXtTH;P+9smJyZoLO0I6dEG;h>LF8*1;l@L^zO=wYF@(t(=*e(kmLE? zFMWDx;2;u`+Do<@_(DqM+QM~}9e6dZPv_3{>K?IC=$T1fcLOU{5PV^I_3EUC$_%s#E&T*39;*zE)4~i9)(gpzC#;65 z){LZZ(g!4e`Re+m60Lz@1K+_0%~31fa&bV|46lbnA*%Uo6$%b2! zp{LU;zsh6uh`+{c1>^Ok9R*n{!}J8-*>E(_3QsTi^k>8b6%7HsK_nu^R?SWR4;ZPS zr9_^zPP>1UKJW>HKOLF>Gtv)Pl`x3@N;5>grdqlZ=Gs&3&k(E^4Kb4LV5G}guV>Dp NK3!7Jf`&P<)^eriy`z`!aH!NKf54$+Tu4KwF&Ru1-L{48u_60TOZreqwP++=J# ztn9q39Bgd-WNK#4ZdN8{WGY4`He?)RYM;q8&5X&UO|4u$%+CHGeE0wZ)86}g0DFM? zXPiIyXY>F+)q~B%YIvBOl7i}&K@Ta2SOw1z_KQNGBa=RYgNu+wS5-~F&0l9gAW;WL zSCx{A!WPs^q9~=4mQsO&E{eb^LR@{>7**i-Q9HEmDgWH%BO)l6(ca$fbK}eUd+fB* zD!n)XCR}d`zfjiICpnCBOi)ulxjS2DQ#}ITaf~H4jE}=9ghkAchxt8Z_&2q4EbyB= zM-$Dru`!qi7W2{E5*@`^gU?BCcE%GTnBX|*YhEe0}^;$?*}LQSXju_?k{21tim z@$KuZ9VJ%z1}T1egN7yDZ;AIOQ0>9mDTt_UPYV-?IX6A<#?e(fDsJTMNKizA5DpZI zgv}On?k!Ge3U&JT(o3R{^?6um$XLSV>d6d-EDj$*9n~}Ll=Kbtd0eS| zrLkMs?%7sawJCyYoHojkISRQPJDHJIJvmDELZo68Hd$^2J0e9H3mG&>0+IS9JcNUg z-?8Ck(+hPr3VofLTEtWei_!LHQ-mn{gIw%^^iWaJZm>LpULCjaBRv!p0Bhf5NlH`` zS9^G6G7^qG(;Okf1|I-FiLa0?!88=|xLSUlgx2Tz;9yi>cHoyt%*k8>&yRaelNg?< zr1KU$`k2)ejbZGey2Y9|e*Gq@=r%m>_Iq*fB|(oe6fdkMGu_T}pa|Y*rBbkSSMFyD zPv)s>4b(Iu`Mv8p_RHk%^e;qQtL5%+Q(OX?u`Qi-Vy6Bn!gns^pVN!BwZ4sZg1;uW z1bMZMJz@&eiYwSm46`k7WBTOzpC7d5W;VE2-2{Z0@7A0r*D3kyjz5)X2hN#(gjbKen)XR)14HPR^ia<(P6HbUm6@?B+YJQ}Xp za*JZJPuaic0WOd!?EGi;Nh|Nv4r|Bw4R7I>XT_Ar5fo5&$w@P^0jQ{#pPpu?5`~9C=l9-eoZbXI#FN>Znla86j1?@W+nw?} z6U(TRbH?zVss(-MRDWmsK5Edu`Q(@dY(}lM^9c04|3GVP7}`bCSz|wnH1{~0{Zmer z6_~W<#rIHM7&+z5)t!|D-dd5$Yv|aKP=m>Wm2^m2HWW^f>_dM$0z-LaD0p&RG^Alm zWpr%3Ufv-c7pgXnb(=M7s|Y2Gz~KfzCE2@?ZxyVPrHLtNx9q0)3j_}|yz40uL8F9b zKd9#UVz4$#$wleCHbqPi`Q2JFH4`i#nXM(IG&DLa?=G)UrBXRtPQvv(0b^UAlBC(! z@(;_@DG7ZlonQBCku4Q`KD^}}LRlp|KOfPffO9K%Emp;>sb#%haJ_nz-p($QvLFLsaBWi|Er*p>2w4s~5C@7VJ z^waL5>6ikKTe~XRR<9$Il_po}(dFMY^Iu`c;q2tH@VxBFS-V^-tti0PD2t_vz{8`6 zKieWCz;aUvD1FKQ4v9KOlHx+2l@%H9^;1(JbLZ73PF<;0ZFd~j z`e!#0@<)&DM8_Vi;(E(B^`~tE5MtdI&zp2*+J@JDE+^Qdb?IM~u7`Emb^c+O& z!xT}p!Ll4~i18Zl6wc*DK<^Lw+0Bkc``iBWV_@wDEe?OW`8HMk?*X==jFzH<%Su#; zWYn-`jc3d>fh z)XTu+oC9?f2f0i*c3eg0rcL7vEQh88S>uJDbk-i07o5fY#NnPU9Y@&9!t7C+vgJo0Z6shXaM(?dGpYCDmqf#4*bgs(9xTLN=gT~r1J(@UP6ZPL0 zu^v#@c5%AblTzB{TQ`hT_vuOo9+n&Zv1Rtl^C!;DSLY+W_Vax~#br+0; z*{Kh_+1f3B+xKmXm?`e-=rXz0z`9Nbv`)|E5+CIY_&uBT&7UVDE~ ztdCj4oE)&H)$Zt~*y4DjUGW;AUu@M=NFCFU)5n?5lHSp1g=)a%pM>^lXH`$~o9=eO zh}}*if@q&$qhNXj*7L%isss_L!36_4Nts3>=S>;4+A70lfp+GHJ+F9nLQ zip(+>e>QKy^~@s7-olQyms30#hOTH}F|cR0q;5>*%^4cq^UN~vIx~^;@A-U*Q9qoF z)wob%w|#N(D7Cm`NNxh#Gx4V8|;2rh{_g`&72>9I0XHI>5hjH|MdhqhtS0^J3 zUzLdjXHGk$ej8LFHxV`%M2wRprvw>4EP2E8rJDFn{<7(dv#;yF>}}{wZO&$297cTp zQkIOY`Rl%E3Z?_B1ZOFqbh8Fcxc}hugC1d}=xZ)o-_i}M-CKy|dn$8^cB_=f%C4^j z(pAHKwT41qV2B4*{SWR+xOo&_mLUzOaw}Hb!uFzJj{x=)nO})mQ!@RfPq$AM29!mRJ6WLJhQeod&|em zvB0HXGH?;Q^k)8KmbRh(A381tswLN3;|0v@rzR-Kf{Q(UL}dLGcO&8N%Vv>VgCbQl zDVw&ZRW@#!@0}&(mRKgQq7cT2ZOjvu=WW|Vo(&Py`(jAe;vE>N3Yqw#8&tm=a6Cl%i8O1=LCsjy-@^)?JZxI*Ko|PO z@~&Mv=i<|N0|=v^5u*WEewF z5QQ|j@Jp-BW1SfYQob-q=E49(ujq^)gu*KCO3L3VEhE*PIvv(o zq(Bcuzkj7@zpC_yN*wCJh7Q@s&$z5^ZeEOi8Nf+}OXas};jNV>HHcxh0Yo}h5y6J= z=(ZkWF&pZM%(T^2tYT%)8bMUezc_Bg9ZryfeG&D>Y@P6J*#yly^4XoLihLUw-7D*+ zOMyItOH0(fSsxVi+ilyQ-0{FYN(JHt1Lciht0H%-Vu|6mE?HALcDmQtpJ2zlXQHS= zQq_g*kbJoOe>;X&Jw&bV=uxysP!j4oa4c#d=AV(IqrF)jk&vfM%>5vOu7tn&*@eh{ zYjQ%7SafAE6o+e|$<%JT)_7aL6(9$*<-KrC2ttez*&*%JVYA}x?!>&#?|!>G&GNZ@ zSb5A>Z20~~ltU4(pA5&vi0v1k> zbSe*U`!s|uFYA>=uP@G>WZ*_=iRGNVg*7%HKGb4*2;oZNj3A1>-M^nVbZ0iAwjcv* zn{b2+!?=|ArXC7kqI^^_6x2psUYRsCa;6rKZfxh4gmfTqUl1US4K^5|O4ruF6N;G4 zRw+?Fv1it|A}YYt&juOZy9@&l?g&FZZy~;PSu^n|6z?g3&_RhSji&q zdzI|frAY@9`=RQTg!H}JMjmqeSv#sn!BZmUkOe1&Kk(92Z(P?*LcytYd4EyjZ8<67 zrRtITJB_f}86z}S?`I)8jV>V*bcZB=Du)_d**~Uf;^li$-z8OF;+ZwCE@RI%k`~|7 zegt{`Tsp@>oH3q224c@dg)=7C8Y>}<9XYO%`k1ZTweQqz6{ZI8^T9vVu}(=uNbC=< zi{6jXi9BeX#L8(ahowH@%jnV4Pp6yBU&RCuUg9(REBQ31;n&ZnXj{C#@J=R!M5=iB zf4%^VDy$Zr5q@gk`t^)_-&%1Hq!?`1qvV9%m*<&1?*O1`A^mbO`RO>CFcbn1K+>N7 z#Z@(1U+VZOUM(aJb0!k$$nIf}ZFv$sF(!|DB}Y#QD%aPf)q6w$Zo&wD_GmqrfY{>r zi!&c3O6d}Ryq@EU~ctzl$<$4+6a+WuY z3n}}GQGkN_g|30$6Sdqh^i+t;0gNq9*^|%YsI^l3NW#AgmeqkKjSbGXkscgr#KQG*r{6oKAkQ4G4h+1ykKque3ys&8` z{o7QZaws^zvDLl{+{R%@a<|B|^Txgma7XyU64WhNJQq%DI>p6q{MzjZ$t2GngIxr= z*sqXiA^dtu)MhMhr7olz3-B+8n@nv^1}2~-UxI!6#hD9gR`j;iS+#m5O^1n&!`m;| z^H?`>X8`nPeucH!d9|xsUHsznLfaH!tV4Jz0I<;Lomq zTa4O|JfKq{F=#I}y0WvC=kRp1%)B3oxQ;q%3>fCrKt#RRH5d^k?f9bGv7|!!jZ8f- z6&zPW4R8(|yyfk?g>ng6c*1cEeb@tU{e(rCv=RSr4dh8_eA z06x*N#`tPSH30S8a9L+Z8L}zXHgQj9m;pK**uLe-rVRBMM%0(_CmES9z|a)T@$U`n zH~@Vnn;kVJVzV=|#Tpz-nG0lo&Q>1#)9G^PqQIH+e2Aqdw-*Iz6nJk+|1lo zzc9Jq$&kjGS}34jJN~CJzcI*GRT8|8p*L|oj?m}TffOjX#BaVRUA+=ho#5e7@KQXb zc%jd7ZY~6shR7R$;oU!S!|<{hs2O6#hZ#kM7n7XJFlcQ7C>2~D#5*8%u8t-IxjtItL^+5<(K%PR0NbfMum!0*ww zy7zC7b|e&6>ahm8ext91jZ;`#_g`xDJ)3@g0bj|E3`a=a-4*W2q0CmsV_*&+f})T? zx6QlBZS=VUI1y2|R7o-+e4K6N10nE1vj9o(F6(B6*} z5iYGgK_qK_+T(Tijzk7qy+d!8TKo_`-ByM!R;sIAbM9~!&sHVg|>?Y?TfDzqx-t?`t18)M;Z;U~e zSZ5>#@X@Ly;5X@~WU*#6O9=_p=m}ghobpyeZ(hYG_zWDY_Xftv!s0~uz!weXadUc{ zm>5J|Y1BZoSQL>>;%E3RWaRa#GsB87DcZ!yI1J(xTH)#3x7)ho#qconz^V=6_y8zB zLAK$gE3ya9)0eMa;|opJ1ozgDU^G;r*^@t6bhv|h)#sDF&6Z;TI#{TLFEWQY8|4=L zv7cv7c{UXXaWawpApC&0a12$^`=d)>IZ8)f33^E2f&(uEiij6oDa!uW>`oTE=nFXK z^_#8EF9({G+Zxi}%g-WK?{$^+ucA=#7KT242r}F zuyokKh%W7L)`SAb8XMMuj_$pEipe?Kb0;0ND$kfWT@-dw1zkgZse&}uPS5)Y4Ga`3 zUoP*b=hP%zXP9Q!gG&bH&amaBCN@B1j4Ut%6dq*xG$XjN^b;GFx@vR=t8)<%>gQ%E zroEe=qEY3ANq;4=afYCl`*{d1M!{cl;VXrjNBYx*r#$GpYM2n^ z=sTu1yRQWlH84Cjome5Sh8 z^5E3e%JF*o?ta!utRaRC#B-&Hn8R;fJrGn~L$~aIBK!{NSrV$hYK|;-ksZeE?;kxr zF%%4iodZ_k3hM%$h==0jQGckG2eYD&fl8o9A&#v#s29V2l7a5C_D%!z1}#ySb`?wq zt4>yPC_c`!IKa;sCX^KEh!18y%BhJsWvC-9mp2mUE&Sp+c!;hQJ411C#4%M4*o#83 zd{mHwReh%a%ECNUl4vbIO1#$+*5134nZ|m)-jtXE+(uP>{51D`W&5cUI|Y%UG(AT3 z(KIcW^znvJwY*V*l{}k`S|&QY_U{^}Gjxym z0Z{c@-Ge}>yZ-^BhKy^Rh# z6A|PL#UAnqs*W*#AFoaiTjOc08SN5d)EI~AvmXx=J9_8MIxeTK&0y4S+(mhdnZXQi zi&_a)^xR)pi%;k@ThN3Ip%G#4NBV9&-#=^EIij5Khw{lafC~rZh$wMkS3|jx71Rc$SZ|;d||(qL@Wyhl7V6d&$f}3gleCz4CX6952MbM zUBpL26NTcWZq9OgO^cINyukfET0D+y#|#XI=P` zr|Zbdrr=Q^jV8>L_E;Dx+^|wOc!abkm=6+B$Oa zo=%8J7liU&Zf;_fo<4X!@XJFngLw6oMfA=_Qq>26lMT7o+~_W)S6}Fsh1Gk6A)7`V zn`4_yu@9B8Fck#6+UiR88}%2w67g@kTiFIrlQC>@JyKUEbsGQHejCDIKdMgOx6N(# ztCiUux2oI$SJD(?!OM$`LhXu-dB_3mG%tsj!7i7S2&l#PliYVQ@p>u+At90{UxQ5Z8(HmO6SP^i;>pZB=~&c6x5 zj+pc!25PAIvo>%~zI|8EY|0@1vH2O@1THV?r{!aj;widKm`qpb{m0hOb4a~9p~p*` z;OY$cvfV4#eUUl#|YCtHt) z`#mS%Va&0ss`4#A%T+uYAeL`e?Z!uJ?_0JIVPrBk!U7ZL)pdUsCZc($4hwwX{eF`ODZ{V+T4s=4D@m~jVY`V>JHt`*y- zY*Z5s!m3QnL#%#8L2{a=>p>CS!3x#88Jc1Ke;#FGQGqBImbg2068i!kHCvIu$DSho zUQqm35>G9N6z=M(p}w8u#_(SgOicD$Za41b!-xZqSDuMb`_70=%S|YV_H;d?*dAI~ zRf#7JT9$JhLb9XiH|Bqk`}aEu8kyOLV82|5>#(bbVw~8{$40%Ztm+K}1E}}n+e5vK z@Cl7XDZ4^p({Ueo`Nw1%?y-9Df{0t^m%n346@wWTan#8)(HXzOyjnN&v-M zoFVI9+^kyYaC8$>b|kByi>&gSVbZ(K9wJ|coW2c6>ceh1F`alLjD%n(WO%+qAvOTj zD+L~HCCx*Z7A1trz3$A`NzMf~cdXxK zXMT|xHe6jQ3^52zQm0rwnr2kHMpbWn0Q@bm{p?`NK$L1 zU!yhFiZj9tBA;+tkGU8pDEOVPy-TY8YP;Oc7SYJ#vAwPctc3EI*UVP_oy-Tj#_y0SvGb$_EpZ^8+ zU&j7hWBGY>~BW%?Hg)uk<~=%5oon+h2QE3P2I}_4{daL= z{O5i`W8*!eL&f|E<+;Y5E56K33$}vSRsoxI>!=OfaB}3pRWyVdmO{#No&1R`Q1Do!0dBH4%?H9_PnkFPok2o8{vH-4-Sy|P0t!Lox8G*h zZrbiF#LkyRfmv(&)$WqFD-KcHIipjQS?c=97JEQ5=(h?$49K4o7_Egx$nmelUOJ%5@igvpjOQiCZ4L-zPs<;&0+ftsI-d%rsBfcj&~oh_ww+`ug@2g zkFIG9gy-rT6#j>_YS)Ay&dEBjJ$d9oujj&nE!_Zu^xLq)$!krJXCkJkD4K?ydaqxP zhxB4SZZ_gZ^|NZpp&-{AudR($$w4CFymfN9HFh7uz}3{HzfilinRv)y=0ynkVi$5eatJM>o`iORB;9{VkAMC_hfmQKi5}Mh0vhGUYxH27$K4rYs$e- zzSp|pIgk0H>!V;ZeN*n}2)hZVy#|tLqFFG16+<74BGpd!v3hLz9R=oE{o~04uhGux zS`d1lrYui4VB9APRx4x-Qy>0JYSCp&+NV34jPv{9!qq3<|v0$(GBL4+xH2?aN^M&#-IqYP%negEl#7_iJy>dKEy`K=Wo^Q@=lxx46_{|K=0qYuPT>OCbG@ zyU|R6zlA+4fl7f_KruL+(@2x*vng1DWQpLQ;0f@*Y?Fhx!CHcYAe8=5{w)Lr1*1TJJd=v!6u;Pq!+K{GA~JUs zm2UXImH!AIlX-tV(?=d)|FYFU`6n-Y4$^FyWQa(l}<} zSCf#mezu6_O1_4eF&Sy<}@_fLs}2Hxl736WRkr{3_=nKaf~Ay+#v($MOq6kZwgC zk4F%cMXZuSEHT!3L}fW}U+0J_L-04!DXFfIA7nX*J0nF|0WA00~?myjfgZmKda(Kp!@(AO-q}mRbcwVcq=8^+Z*XaQ%6aa$_cne1J)P z{aVwD-6|3I3vIAZpD9((9#zji8t)Bt+yl*q8Dz+edf~0)!cVAC8XR7>Wp;m7mqeO= z%hUxWQfM?8)eG!^p&>BX)0@m;=8o-ap|_?Mi>$$3Y5kl2xx6LR!Ulx1ub0kSsjg5* zya~JH-cvXmEz+mG@&0OCItIxaV&8y(*M`n)8`tpJ;04j&NQ1#2fU`G{sC~(? z!Sp~;^{tQ7N7A>g9HE`?OvUDZGz`y~KVP6w19^&DAR3Ba)r4L&R@Q`a^de&h5qNjV zu~PsPUoGDa)Zqjyw6oH$+d_ zMdarN5HtY&sSN@n+M`n-oJHH6aM8WT9HM?z5Eg|$W+U`@e6O~>*6$n!wrGQB=ku@j z@2TysWfq{H5=_^5`PK0W!@N?9t3RKD(DNvYXhNLFs&5!`*C?;58_t>ZEg#Na$h%ck zu$-B9mloPShYZ;p^xnvu1-a$~bqo?3B*Brgr(Ozt2V*r%?dp>(3Nn}W`Dg?-Kz{5d ziN^ex2Saei32_nb8i4{^-sD27|1b-gHqz5moN;S$GmO5W#|+_MZBL%rc0c2oBsA5G zI#8$p|F%v{k~Y{6vnW<*(b?oS0}n#-3fKIr0O9GW#T^= z>HNz%3%N)ze~B`ml?q+c#@)`E=h?K0S~m9yF=rao#(0dmvkjflIXO|2RK6#|CCHfh zZBmX*$7Nk%@R7gvIOTB@Td${V0 z#%n@f8t5srw0ZM-tvyP$%!xPnETh%xF>ILo`u^oK5QVDOKq#Q2@4)Hwd9&gTeEaC` z>BeHW?u4JY;$EJfsXfj_pwdJTqDP0*=x`lC<}JK-WWyI$wvQ zL^L9Btry}+Q_SmSc6bUjtOm1F&rtH5WT-)gpA9CayIF$FzbNGDBk24?LPe@#ng&5{ zVHzunZuH~cXC9=f64*rMPb(r^A)66g75qWcVQrT)c$0g&rYx=INT)BbTmafS!Fh=x zaurO*wOP_{vk^^-Fz@T#*1pVEp+EgTf2nu z(T`9h_q#De26?}y(^GT{NISpvx+VeAkfpe!JbPyc+O?jIe4I2XDKrdHjqZmm+Z9OH zQNoNMq7`n~xo*lbyh6-Ps|Z`Q1khEI@GDd-ck9|7nc*j|_SrBap^@BreITCIk2-Do z?n+2qaM)~A7pRz&nLmhScGn`RR5)yE)raCjX@Bz+cgb=f6O+;yXV8!VuElh<$heH; zQ#T^Z#65lg7m@qo>+s+#7NgXq3ZGDDoSs@TZ%Sqp)FtRCp1Blg`2HiBZE;Hf4{_Ehy+qPA< z9>kp>0WV%z%FErdW1H%8mKmyftxn!*yTx3?)~BX3_Y~oLS)zYgnYkyI5~bR_;TfU(^`b zhXr+yOx{SVDcBH)cCdB!G*p?|v@4FUP=CXN7oie)gwo18o&E>v< z`_&N}9R);mk9qb-zr5Cpe9pO}O&Q-N*FQBtxTz_3GpB>)Yn|PMp@0WWB z?uGW?)}V*24BOtZGV1kP+2?QrSk8X)k8YX(609_s*&t`>CA!e?SIKm8PQ0>eFQ$v9 zDSh+^D1ykvc{(B6Q?CG2x_C4Vqc{C>m}l0+2Kt&D(e`>NP?D`X8V_U{>SYqo^CPH& zx_5?3g-~T7&LiF`)YJh(Lw1(A{HUqg{t6eg*aGW83HI66r;uQfVDBGRsY#xiOCPRE zJ#W*;+3a?XosaW6u&;y+Vr&BC@ur7wM|iE*_m4!hcsaiI;^wPg-uMk+*m-KyzGUSi zjKu7hmvP36rC&A1|)A;;CxO1MZD zos0xyR@FomD$h`%YIl_GWDEJ~K=3BLb?NSX6Xu_aQz@+n+#-_=*lvPOhte9h*#6`vl>hV7?G2B6~B$IP{r-N%X0oQ3|;mZuAw9+dfN-ATMsbv2*Doe ztEYh9GQ)nj(JaC@F4kjE?0!JNi1|`l21PsdW zas@5nx(AG|TnBpP=k0b3a+~7;WmWM)Ux&L(K4@hbo#dHYd(4UM+7TX^2rOisWtCbN%z{Bbrlz^eKfwdT17!4 z?JJ-Tj>6So^TKVTe|ebOx`9sh8m%>7oA-uIUU&m=DH|KJz1lXCOnqL-_%CL^ORH=* zsNfLC=(~W;Q^c|?{D7EAW)v~4Jhb<3psiI@986tZwN(6_FFhR-K1#4oWwUqPL_G}} z+%VH}Y0xA#sXg>?;rv5yEh5BRG%weTaza-usD*;#VxTQsR9&K#Pzp zK==PBz5G{)`L7Q1R|V_8V%1;ez5gnD|DP#)UcGbk=|V{QG4)L(- zp;X26>9cs@EoUcBzCdrVlWw@Hv-dxb5Xzg++?es}cos73Z}bC1RsCiLkgt4;9(R~Q zo#sx77FWJIO*wEaF+(Q?^w)9PIa+=nG}_g4Z2Rbox;H;M(awT5z#s4#1@Y&KB;uzco-v_7Rga77VP)jK<$CUAmp4S zjo_su;#0WW%*E$l1$OR;8|7GI#U4!r(T=l=Sl$MlJ%0b(?`_}Q+4 zsIO6bvS9hK_3Kmb1FvZe6l%*Pc0PtIv)MIj-gg=qr4NnH3V5R3<&c z@I>)C-*`+KdR<_wc$if8rIyDe<+WMEWkl!Ac#aiG%gXWCck9yY zracFBnS(FMA9fhhAp`}*4$r+i&nPRzUeC)Nf%^97PlfygET)&+>0FJ@fk>A39SZgA z$@)*5jc36JrNj9qn;aJ5HWUSqT$2(JVXr%-!x_pv_sNN@3+2PPB8$n&EKGuVaCL^B z?%G%F9m`n}kA_g6BVj59OES-Q|8JC}&4K5=htzDYa9`OB9w_u!+~a8zIMA2jIQ`=X zq|g1g=lwOrcB!1x%?($3_kxh@Wxr%%OhrP{4(o#V;<4BCc8IH@Hxz(1k~Qq`vq&N7 zc5wgFD)TL9BWR3g%(WLjzgWe-R_`VLQ3-CdQ@h;VKsj&kta&@KXwspzr249t>r4+f zZ-X%hM`y;pW%e~dk`hqkdcNFX@-QL%bgyKoQLUtSkpSN3`suIPCIs&M(5ro7!B_Oq|SsItT9vURx3rBQM3{4#n2L+$6LEk)7 z8NlR0Ba0_Uo-z1&QU>#nw>GsboOk&6>|pPcV<~sF+5*X%;6hodN}K9hh+j3_`JV#s zRH=aI=Ja}Kyn&TpLRn}!MF$IG8*mdYt zosW_a^E;nk%4BTS-bMf9^^dEDml%+i2z6&l7l!DlL3GvL&W^=n8c17&!!HFDShc4- zGl%R4krLN(!shrgck9VC0HwvD zVB11zwk>{=IWiL1>~-5Qqz5furPNF^`6gx%P;KtX4go?YAQH}pxe}ztJ<#RoXeq$0 zCZH)^!N_l2(CK+7?5cxnND_?6G5E+tnDQjR1&6Y7r%@ zk8@CTxKCgl`BGqj3^OBd6;jZx4*BH^Eh1&FX#aF*$rQRBFePmM6i;8?CQh&)=Acj) zv50iRM5lj4r#DDJr+@#r7y?%yA%F%P*X>WOHDLoOml5W{I?u5~HB+%2mIz^D9+3Y? zJ)#eqC}hFi>O7o@um}0z6wba~MP{lEnx8OrGi*RCZLxAsgis7m^@b~Tlf!pUiY{&s zWAzdMk9o2OXiYhZ6FRGlmL;ChqFDPR6(l!A*jNj~SdICJFXKa}PEIk9Bdcyg_QjSL z`WH0X(P}fjBjZPmQmquD5iWZlF$PH$f-tQnf0i#ByhEpVuYYs&??7b&TKOvi?Jgt` zNCN`b;zb`DALT!8Gy37u*E0`O&R>ew@zYO4D19-BVo-D75AjKf=FaILRMkAP;>E5~ z3Y(*_EXC2X;JXo{LZ@Hy(pyNK++UmQpEmF%JQXCg==oF)a1=7rh@t+BuCn<@Y(wb!YtWmp1JZdFq5 zE^z^0$lbR3{3SPuSr8Wj9Kh$hC#Us6p~_e4YL=3=OsA~VkDVn^BOkvV;f+-FlvZqM zHpfxq_tR7rsib3;hQK%50!x{*UIZf@1nzw&{ED^!@8bH9$G|j&X)fhTsDyiQYOY>MF1R~~mJwPp)xJ5e zI!6|a?FxTvoQzXM&tIQX((b%f^?O9bg8-NfP^~#k(NV>hxb;{tPmOKIG+Y(4TzQS4 zRx`CnAp0K*p9dJ4TRG1@swuE?DhYXr%tgCdJ3y7yKr3pR-185YzIthF?T)dvFz{OQk94~)a7uw3nQ{qx};F(AjwipHH8@1`S`5p&oCjh zXy8=jGW=K(pJ}U9GP%idk~gu3+e|yasLh^8GfN3LFgL1D87|%E(fF&-?sWR*5S8}P zrHZ)L!GcJ@A`S=^E|XqXAcr3b7j9$GCSAEA4oJ8*cOFN-MIA&Z_v8wV&k9nEbcDn^~_x&iE_>xX_-y2hH+@*yC5V3Zp zcQ?qGEq;nY8OLqfn<43O-gXOIP@HMNvoWh#8M%k(lWGmMRLB&E<2tSH$J5UA*znZjqE&l0qV2V4U;B&sLbHr1vAn^;O zFKP3ve31M);Rwo-+`>(8#|Sm(rqDy(@togHscCpAViIN@v%q_W)6ysQV!>I6+O+k=1weJWHTTAm-(; zL+45JkZECs-~cnX?2s0q51W+H_662G%MU1 zIwRbjF*x~DsTdl82Bzu0c{*Qp8yz0jOp?l2qY$t-WShXi*uwoMpn{ng*icjVtUbQGXwy>{ zFha{QVSl6;csSg<81r~6D%?j&0Yg-+m)tqwmAnz~dDcH$QQOA0j`LvtU~;Cy<^yqQ zpzB|VGtUPL$UR0s2bbd?G9BSiVEd}swu(l?a+^B8uD6VhyWA&h52kjM!VIP%A*tt$T^Ee=Qe zI|e?a<*x<*HMebiK`f$U9^!9z1zaW^BC&y`(I0`Di0ygeg$%Cz4K?&%Q1kY{PyvIc zq*Q1nk1EM`1&)`s9YWe0)O? zY#wjK&c{1x&J_RbVt@A7h;oo2>h%$U5 z4Few zG1l^h6Wk@F+m*mt&uO*JdJw{Yz>;0a_&5gkuT$2mydP9zTjyC2>SCLHp|6AP;)uhmPdb=HGW zZOxd#(Le2I_(F4?tXEkVRN@GnXF;feZT5v8gc?FWV+ulzY(TOo2sHt9lAjqTudEs9 zx#<=n6@5XdX{;dJ84C!3Mq#@?cWbVJ5PPi_TCI!+q3mD?{@@S(WDh>d5(vImD9|{s zQ06ruII+bCl$6Q!Q&ut)-vfWjO1Bn&$LO@yqR?sk{ogd9v95k&hD@%X4Ap-R#no@U zAh6%4t~$KXD@4+3_&fn6g2I8%>n&ZD`SM)-h!|y>7o{h;DW#BsQwlyre8?R2{mx~&?jU3x1B(uqGcjjm)6^f z?U&22?k-Tg6JGL%BSmLQ>bj!*Hlyn=W}=xK6-?KPM~)BLQwv@=6e8&bqLT<_(}oQb z>eg)DqCK7j`~_2QVVSK#%vtgr-;tye*mOY^K$HP#S9|);lQ~}C!ou4^R1BhaRTLDn zo3{R73jPDAOZSBPy7Wot-MLR6_;;Y*P^_j^bgI+-ACu@1Mjz}DI5qwUQ@zIUmu;PT z!9VQDKd_3bH~ntJ$6EYvIsyM}Sz#>F3Q@Wm-WA2*T%>dhQ6dZH`Ir}1|9y25RYTP7 z#B5wt`bLe1=Yr~(ZE!8W^+4oWep}?Rj;`gmUJ!Np9Tll8EO13yv}y#bfAHPa24;Y3 zhyou(SP-=K_o*HpKh>%6Q;pxxjuRk*U!IMV z)m`GJ`l0x#z9)XF@dpaxg!SF=Q{6g#svE>lb^Z9Mt`|Sm_?_1{F{EnzR9A_g>YL-I z8ovn{C#=iIPjyoKR42wyb-DPdE*d}8SI19vk@%@D96!~U#7}je_^G})eyVfFPxT47 zP#CB1^l$uBACI5vWARhHCw{8`jGyY=@l(AkeyV?tpK83e9w(Q-q{8mRkBs)~AtG2;(L;SDsE&Q#B*+C%L_7j6~TKx=#jt&AuIaDP6yhAi;iazp*Q zfe^dkr9Yk#+g(W=BG+9>y&&pc$?DLyx<9VhaNTOs3w%hNu($lKf^=)V9u4ig2~;El zq+?kFYP!P^7$C6mEGo#t#^a>wd=Fdlr#4)!ha(s@Y;_?ILwq1*JZ#~Y53!~X?MDby z#065u!&V@uS?KO)6~wdPfs5)U=Vrl~boVo{`0zQBTq5}j0$GAXn|aqePdYd-T5O3* zQDVD~K2dok3(gZV5Zir2OKkT+B1s*!fY^>xhkBwO&ojPrj*70YAnN2rvE7GN)LAX_ z8y8|b5}epx15t>ba8a3<@d8@6`(US)j1Ll8$@n0lmCSiEd1Y!PlT~-XhD5tACnNP) z0da7&jr-HKxo5?y$4_UK%LlXgI^3xYUx%Zije6(KHBh*;$=J}QTSGdIuZ>L^9>J)p z&T7G#yx)6P{5JTZaxlmRz#CG4%65D-{5Zir_MBNX{f^%zG8}*hcvE4Vc z#C9JflGITPi0xv9tY6w!OsiiO8BT@%%2uFcHXyad`TZFLEbTEecN+Tqr_R$^yt z&vZZkzaVtQe;Ut>j|z^FYlWi+^QZ-J45U%pjQaDjS@`wPbE;n$dR5Yg<-bpaQL&7p z>Zol-U3HyjHKLmP|7#9al!X)5en2k>oI_C{Hmr12)P_Jq4-i@Si_@<0&|!~P8`KX( z`Tv)HoC#i?i8jszch5u{gcTxi?)cz^G9J_q;uT&a@TLE_hST-MYh7|C+PF|+`-OrP zjR%h8xib%*iSalSZCoh+LH&r`KQ0ukE)@T`P{!j-^y5slaVB_0ZQw}Ke6I6Zod3uD z2l0w{6_0%_@rrmwymCcr*Zrsq#Xs~OX5sa#sE<;dX9cm1xlRVxe6AO`);@Z{nP}rY zgFOq)Jrn4ji8itjk}OmeThH|%kXrf56K$$AC?Gj`iyr?wtQ!#kqJb#WQ$rxI|SpJLUXPM)Cj-K;|CS>W+ zGHlIlg?=kPnvB0zR^_~Z#EW6EG;hueup`m&G4Q!}7tW^nCE(+h8JyFdW16$zmz;DN z(|q|KcTP6e8^+!(;~iy#J>s*lM=p0Q#v<_exUMJ7gl~7bG-qRjd~Fp3MoDC?vDoFa zxtkP#ca$;M3%(J20vm&b7FaSfJTj*Fi$^nK`*K*d@MyJuIsDy#-V4Tl06mx^WlXcL zr>$)47DtWHW17GF5ZmgJVZK_L0GwL($ULWCn4{a1W-j&g)Lo zJnEWF6Nc0^ItGIbk4$b`xwJ4FD{5OPbJEOyfIN!H92-C_hp|`SD-T#MWlVGFVe6BV z13wue%4H5?PeAi}ErUIE)GJdLn$u-WbAAl=e3ZvqYq`&J;a|R7_L%1LK6~Eq*>k|- z-KP0-4E9X%*)zd|4`Z3r{H9Oy$Sl%4!l(JI(`shyGn<)mlnwTz2eCBs6;IqS*d}O8 zCdYU5afebMG|Hr>c}Au*{{{c3aXQWOGo|_E7;L`8r}=U4CYhq4u{Q>ruga9>&OXiW z_(rgoPjf6Ic!duQzvhQBrTLl|G`Gu?<|95d{Cs{tQ<|^z+3eRm_8ik(E(V*Q$b`)X z`_L0<(@bp%X|Ei*`qltzJu%8)13c>}#;ST|5XScY?T-A6U7OcCUsQ!&=<&y3{uSDT zcH~E2wfuC`L2s>{&U9(7E`9`QT{B~EdFCC{EbCe687!}_7uc#>Pi~meFc*_$&u~w_ z7;Egaa56~idQ9`wGlnb2U>)EeAl)*B?BT&&Y|CJ~Ju|FnUgVQG?vp`VQ3t-G9;XK~ z$6&o|8KFU43oIcJSS5 ztAuZKPC{?>))_nLSz|MHsZaB9&$`86A9&Wirn%AMDF#dQ=rPUKo*aV}@bn92>KN8G z`8+;on$0}EY?`NE`!TWh1Cs>i!hVZhuu zcY1P6bB+)BY92kN*~*gxqwGN*_IfcOUlsgIx5qSZf!RvWVeIs)T*i99c!5djBA>Yx zb$(A|GuRRj&ZZgm%&E$=!!&1@Az zTUYqn+8aY#{+0P39t?w4-Isczgu%9FM=YFiH&}{?hiT@kzIpHgUoHQ-rHHSsO}<+G zwr2U-YUZouZ>yrOt>wO2{KZZhZW$r}*^rv9C*@A7UH}LN%YS)*4TY zVyrC0meaMK>eKUZ46PUP)#~m;%)c_<;j6XHSL-bwfBN`p4e-_Sx3$?<>n~p|e_Idx zYCYwvoHC4$;q4^#`;|5*38%@-@fTq-~M8srx!uHtpungleIQJalqTJHO?}? zUO(@XRp1?KF?Pzw@Cr76P}I`=2AsL^$?mCzvThlJA))kI2FvZ49Zd6A-%8KBlVWT< z*rV%#-xBex7#UlY&n;t`hdnqO?3f4D*jm5W!xqzgZK!)^$JiZ@yLWbs&3)?hGADf= zSIoEOk7bAW1FR1*Ax73*S>C7T^t(vL=GZ!<9--8QylK|6(ZDi`8G#MKm zLoM&_&tUaIse9bvvV-&qY!hX_3^pBP>`c2C6Xi#E$6hdo_b+6o zl{3Qr=;&GJ({mljxNW{iDuH)P58j(V87#mY)0CQJj=`SCQ#)C;TKnvo1%1cl*we$- zin*3%uZ4^)#zH$;nrnJ$IWmrBuZ(GiK!>}hEo`k$t#>?{;m(mKhq0%9wKjQVOw(_3 zN07mGu(H)t{81S_hcT3~l?xez9h4vKT8y>!$S~H_CxbTYnoV=FN5)`7e0se0n5N&J zJsv%PhEGogka4%($zu;=hdnZkwe{#R0{Z2(2ktoAj6$<4Zb&ac3oyrE$W{C#b4>Gy z?`mOlk4(^AavXPE58RpnhP!iqx7(3z8SGonx`nYWK0Pmba!m7k-z+0hm6}bnji;8u zPI_`o6aM7KWns`2p<2FLUwCRUc8y0S8=K(S!@@esBLiy*4;qZE@X7eEuB`ObGR=X$ zx!a4O!Df0io94$p4Dp_?K3=AIwK++`edJkP zFa}Ei*La!cHJ)Dh^?+L6oPtld=sjgj#stY9#%lXy;EzC6vV6yT?cFp}Ju5VW_4in4 zn&W*knbBjg8)3cS?uCCHHQuw1f*rKyYBOV1e5p?(flKn%CNGVNbBFYz*fnnJ~vRSHnlWr;ObWBZx`XGT6f) zr^`4a?!55XG;wBV9b=sYCT^T z*^|R(&&e3<>Fcwnd=}Xwdnredse3M1V)?~%ST*4%DFe?i*#7Rw;29=I#`^5!z|}b) z>t<(>W_dpa+q7(6nnjxBy%ILV z8|+q}7p$-&t?a46J^>lMmTA84+vCfYWi7_~0~~rS#zxxsNg0E+_pQ}m^~8CD&9z&{ z7Ocn?_FR9?#-8zLcGmo|t!(T~&srkQeBFbg!SeW4mH6EOY#9@_4p(k{>x8l%d>Gs2 zvB#?KMg#Yr?i>Kslk+-MbjzS7J;z|)C&A$E5~N(|5*jMeUY(*Ru;?tTQlE zCadocd&Ud)@4i~CeYKu~T5dg$`Y?P;U~5TPZQ+{MZDC$dj-^DGab{`EMLk+Wpd536 zy>O=2V(d!Db>|H5?2=%$4C@VD#xz@at`-_Bm%VF~t;3Uzz*W8PrrE^TRw5XwYleFz zK1S8^$#n6^m}XJW-hnY(&$~58Jhd3h`(t)nwx=bAoLC)Psh>hIzPZil=Aw)(v*lzSiVup5$2-m?nNR$ZAm{FF)bC zH5Lbb_sy@x1)`l$#x!HOGSJ(%9s~NQWa+{06Ui*Ab@>V3t(;Ra*b~c@fyaIJ`0uFV zw~(X-(d^k8gFUfa8EEgbXR6)0GlD&R$QQP`DrGXePm#}ON}Nseb>D7cu+J|fHcso_ z9LD4`oQ~#FS!A>SD#SZkWV3u0)Umm87TN5-zJcovY{$Vc7-uY(GVt`iCju~b#AjiC zk7j7Z-dj2S!WqQfdggY}{;MmO`83DUFZ@25-cy4$_r)>qQ{2#ZpI?miwVt_t`LFF1 z^=S_7mm5|5gvl8}`5Y|fTG6kLZv-=UZO4Dr=sTayP3^Xv*6|FJw9tvpGKVqwJT1yu zHdoCeoBh|LmSvI6^0{2c=Hx80+0Q!f_l4nkl1$+&pYL^SuHdsdbA0w+L;KW+bLRLg zpA&X$&Ywj#`>&x*{C{k-d~Vsn`ClIznd654isI8g&C$6b?P2T_n5pm+CTrE?-Gjg# zOsiOv+3TxS+$WPcJ^7qaX4@0%oqcJ5)r;5QdV)LWPkT;wBIJXZ;z7;H9akX^I`NDtSJabofm-^b8?Q5%!eO(JJux6`! zJy)>|wgy)3*l$NBpQoqUnD_ZQ>xwJZl89wv?LdK^NxN_WS?XyAE(m zielY!4T$6n0xm8&3J91bg9HhJs00PXh(05Tf*?vzR6xW8k|c?OWJJ>9Q$%uH!m#i%$i|)q+-Tgbo zz3Xn{+AT?87of(~q-zBM%5nL0)ovPR=(<&7hhNq+bR5?CU}er1hO2=6oB)UTfN86}7}gGsQBV09uykmlYW!f2G`w=PFOr zc?$^$4wm^iiM=2@b)J?`18H?xsZwa}qqGn*iT#awOIMD4c1yuyf`pG!8Y##!W6cSy z1(fZx82z(pG1;YQN*e^^O|E=y(y3wgWc9F~ga$>NJXIo#RaY3HeDU^>FZK+YUMAL1 z|H*kbhon@ABNE0D#m!(&IoQq*IBTTz10|p<)0{yizk-bHuD4y93Xo8rl9?<#;ZRdt zxtjCG!`RM^K`-B~8%Q6jA_Hd{g>a#$&**yeO}d3zRvM7yS)E3EaHv(A^wp{}%$(2o zw=KhI4=%SRhgw!H_LQ!7$Uxecqw4%J=UB4&)^g6*(+sBd`ELX*)(Kjy9kjTYv`_(9 zcWOR&4@P`ji}v`X)KMa)(K}rGNZ%T4fsywF>+`2jG&Pd*J3=|XkIQjQdMzQELXeQg zGpSw%AE1ofAoa2$v>&6;fR1S%3uD5kj$k{X)+?o>T^l>4`9t0GLsyEXba`0S`7bx4 zL7(1pdNpY;HBQ$WlVo>N*Ei`+HZ%%8HAh{B|&P-lfyfN zWvyw*q=DwH5SOP(3C6H|sJbvR=X{c*0T&x8A}kN%n&bXK^akjGcD^8W_e4cV z&V!$k^g>7=18H|x0>qh!lx~nT)ley&61;A%1+6?yI?J^fQ@T0S`1_DNwHCC?fs?06 zzY2Ul68L=5`C-nB|J9aSywiSdLwc7%Qm5DlZDT!PpC&D@w{+zkM;$~{9;VdZ#@2w$ z=Lo&+@_CB>)w-v&Td?XD&KfB#0QC{ zf0w#IIj1zY)0Dp@f#*t-^D==m%DP(SCSiXq7b^78E)0D9>WG=!PnqP>H0OmwX>((c zd3b%R!$YqGyT{Zvr1#pSgQ1ph(wkkGnUepi2=lO($GYwsA%~}A6KFT=(5ieq9y>S4ny{zp+b6X+D{Ve3T6zh^B>F0@q@n+FMdO)cFs! z*pIlPjSb!+GrT3P8|Z!NTAtLh zlg8IwYk7F1VJXpu*~S{fPU-JeMqmVMW$V-#+E^~QgAaYTw8z+OT#vhQwQc0~@Gu6lW#|*_ z=6sCS2!+=2Ujv`vjQ}qb_-q*DnGoce5afw^VI;Y>4}B+;ULUFvPECufN??sNVT3&A zbwVnGQynVqOU!&YM)l{LiBFBtI;-GO3kRQ{ph4)$UiZg9N zQpY0L@wi5sw1u-F?d0P$rTtq1pMxEe(s2%k!#wPxE~ljQ!+;ELl-PS6ts8W?JEiXj zc@7G(@9*-(%pYyGRy{7{%6Wob&xL%tN6=ygSBjQzg(E)p=Yw1-Hz6H#zkgIp zO9ejEY%#S=`Q9I~le~q9-{<#Q#J#zk-5 z5rR8Kh>449cw_i&xe}4rarKtFZwaB!aS~E~cSzIOr@f9VrB8%h@G2LI_OEksrOvKF zmd`f=%}s$1w6~#%#Fft&&#Y;pH6jwNN^1*UTsaTNJ~@BJ<+!Hfu~x!cTt00Qbpo6g z%e!1j-vJLae%(9GDFhn-4rbGNlikX5ZII`Zz~}#*edwzzfd+3g)tsrFKnMlw9Gt(_ z6#D=Il5_hCE)Jvl{ik#b&Lz}yl7@sI9p1L6k+#11GKYJb1NYG|>X|>H7jG0}4?nsZf?;HX;2Y<-@xz>7 z2=($uh^^J)&5ln>Uk*9<2NyDL`wS~k-4^F}NhB1d9hFQ+tgQlB?^)0hodfn<$Fr@>67B9G5N&CUN zoSV|P;4M!|>Xj5cI?#MGAh!kN5r@!QKZNMPsq-{RSR=GD)}>%dF<+b=@`YbLLOlgy zYrW3D1xY(agnSX-W||o6{Ka7Bqk|U9xY*mu!_T{MiInc6+-s1PMT==}+m9#0H7)s+k z9S!ZxG7K8(FT1>)^FpCCrV7^i{M9se3Y~DUUfYuHe6PqgfzRrJ&#PVAPdV_FIb*fF z9*pQ6u8bhPaHtKNbbY9WKPGW0&8)8V9&ncDH(OZ`)$7_|XTRHgO4V|Hu|}*b4+*6o zY9i~0TM|u;&Ii zIEQqC8=BEWsw_#7KxWdE=798IE%Xl8sUb^ML{p<#mqse|V4C(BvD!ZL9yGOtEdm}E z!5b?U`6;Pp5pQQo>p*ju8%r5T-*j~@jVDksYB{g7kdJE>_cjxKosAr*4wdtPv>ekS ze+u&W5zc}1Opxc5L7wx1Jf}Mv>YLL$8hRita^9DmwMO`VEg`KLaJ6212Z}s|0@_eN z2zq@kAm;|;0}g3AV}mtkvS09xm$^{1Phdcf2*^$sZq5hwFt-p6S=kT!Hy zjeQyVPL1;o{-S}6>mjE_&Z}#J(v{QnLh0usBoHbS91XqkB)(B-_6eMyccH4=Zd`sO zFeQAW2S?%jl*9!T^5ncF{>s5J&nvM5WSUnffgnxV(b z1;VBt~&r&X9HJ$l3@- zN6`ZN(^@{C2)_Csa&brFN-f%vEQC7HwH#;<6IVv1makQA5<+e4JiM)U^X?$eoFUXo zLDPFeTAUyyK(WvNhP?b%v7ge=dpAMm=Uqvgvwv?Zr5%HB=uZ1cAuerxBl%t9YC1cu z;W-Y@%eotOq46`|G)@xg)41#JJ;7r7ZHvM=mXKSpC|!Bzjh?^<-9hkBJ}I3VLhTkp zfus)Aq=$MaA@SCsGG`l}>o~WK?W`toAtYP%NASiequ(D0!?rWFCj$8)|wmA0lxyiwl9K4(+iZBb<1EP&pr3H3z3A&&(Vf+%%M# zo06>1K(!$Mk-LJ7*4P&txsH8SkHw91)`$`!ZN0@F4i<|v5y6O1t$W#Hp;+GcoA|8+ z1i=E_JO@p01OltcKq$w_SI3HU+zO|FHuPedRrdN};l2RQ{BzK1r5_JKd z<6s*8&%8JV6;T=*J#Zxnty`{$m=7^_oUf63S^cLDqb1U7r1UE^j|UsR)|LFd<$^ep z43Vv!Bhnv{uFKwNACI)9>FA9}qhx~wIIQdj5hxwj5hZ&`h7r<=PNEQ8UYUS^fLPWi6GCT!9MQ^ z_E{z9bt6r~SgTG7^6cbfZqgw%`dx>jRaHTrUPj{|3iesZ#YHQ)f;_#9#;5zeXZn4l zQ#veoZ!a{r2JgL15LkpuY(?+@NX|z}xvB`Qbdpd78C`km^h)VtZj@#)Z|2642GZhg zCNzZ=oAmCRs~u=(a@SL6(p@1hZ%r1d<)Qwv8|@j)SGk$lfwWi{`#R3?X&a5l-fK3l z%R_%Kj>cc;dO(Bu6=5`fCpV77-(9NX8q9vQr%6Y+zA(+vySQ@pEygDOAlT=nK08p` znO5>p{;H9|?C07B(owFTOJi}A`D`dZv(_Y<0y3PNFxQ{+RgNa5jmgK5v%#Iq1l;Mt zWx`$btVZbT>cR&o(|kk;1fdntp`1T0=!HPFUes%ohCz|%D-=qZb6mgHTe<=%qjPw` zG*ySSgET3wd*4sA(6}nLUCtP11FkeQURRNET#JZ=h2}!XIcFb=Mj?bV*s&I?IL;}3 zN07pqDx&VevA;Y>IJ~(ezs`ZbNQjs<_F@S|Sd^NEldnc1O+9WE_WTFLQgljn&LD$cc?9mWQabuAfs7thI)(z>pkr#_LZFLK6MQ>`iOuN64& z<4W3;e#44XzB+5?L4HG8KqP1aUv1LrL5mH7rsG_VPb&aj{l(m@ebxw^Zx8l4CfMht zJ}+Z!xO_;jV<|gZn%78rYMQ3>Ti3Fp?|(VV)3-lDDxVcNzc=KR8;Fnf9~l_vq$8Jp zv2I#C#D%)aYH@al1OI>z&0B>~cxppkO)@LW{v7M3OPQqe%ba_eIa()#y0KLrC1cJL zJCfJ=>qaG@D`;|FkgN(&)2m4*5L)X+cPtiSrMer z>=k0~Wfl*b)-uzZ6wX_UmKqoPN*yZFaDsvc%0RF9O{GGkoLTXjGwn;`{5fZ|Kx&$S zgqpfWaz4r-W%j?wLsK^hX%|#d^Gl)(MH0Zh8pgS z&$Z4z!<~Wpv-9VHGOk`twcJ zVz|=-X+hr<2v&W{d3esdgmFlW~RAfEq~&`83K51axelFUu&XA~b4E15&ud0UGjE;iE|T0)-+?%Nw%a zA*cR7za~TW z5id|rNS!Z3)uHHnQh~<5-I>y#0u6d?me0h1_}#*J4=x+({t)WV0r_u09t+4F4r$U9 zLiFGqmqTfTchW(l_G!|7J(M;dRE>t_$ibS<8A_5k=J2Nw*ZPi6lOi8z zYU8>__^9qFeL(mq68YpD=i?q%wsd7JeoV7wdN8tART(gHFb#29nkg~^1r#(n`w<4( zd6G~YYAdIEO7jI9-X22fTH+Gdx{goN!Y7VY__6ntJ`-%{<)IaBL7rz-DbYQpUj!R2 z7HoK9u;FUdpjTFG=Lhw+%lWe*_9p_(rveh^CO+p%04g&b=h7hZn!*c5EOf5ZxP;2zoO4&cOF0^DTe0pi6%xpf^ zK4_&_WE)q@iWEi6s7*jZ?nRDy1(`-c^3N(hBC)q~|hn(Dy_72!@o6l~V= zKMjZUc`jI`*97R~^ru z-%EHZ64=A8JE7`=oSc@UgE2!@uFS!1DTW{U(`rFo9ALlr? z_11q8XzmWk&jNxSvuiDA)-2GBWN*EWE9X~H^THxmQLm&%QhLEjIFRNdKg0n#&P{8% zHH!2m1wE_zEfa_FiyghZg&z6B<$6R12VCF_L3fJK~`|O(>#bnQhKjLXqN*Q z`(S>%OC4HSs9z}>sLZr`xueNBzI*#$Cr?Tn1^ej!*vdI2 zjMCt)AZUHn2(1}#NJ^_Yd1%*P7uR6+?*YX!v3#1gjRc}4tOuvg6Et?J2P3bo z+>R0b)&}TUi`A%0R(p7penJCwHInm&)J>`pYS*o65!w&-1{*4+pNAIO+Ef75d{VlM zx@0v%YhXh8bB>JLfDSS@=~Gf$6$JX!d}s{=4b#*}liuQD&w2MyW-d>qI(Xq=i>>*0 zkD!iY3Z*%oY6m?y)K4UpfwG;?$KZt=tVR4H>Sj_R6}|kM<0;fKIj`kBD(5%3I*xV< zrI>4L45X`FD`hb6M%DU@Yet5tL)@FdSA~`P-tnoiCl~bZm zpm&a9jeA2I=_5h+Zv`ZNyZi&hx7KtZy(L&(1j;lEl0WOg z$T+&S);P`4H0c_rMG7sVCS4I)L~9CXwOG#Ib$oLElFMJzI(0My2{YieeFpO>E-v~W zkdry3_tU_i)ikcj*-HuN%GT(mrk*F%)Sn4?@QZ=-QXwrW5{doP3SxQCVpNck%2g$l z?m7iJ(7bqOPh#C&zv#>M8Q$#4uf?N^4R2Soi&cj^14R{)OTlLeu{o}n8K~<6pYw!| z=C72#80zj`W}t3yn$l{zxeCNqoj26oB}{tG%h-5?#8Yidaz!4hbtq#re=y-(#`E z#`|=EkJ=}ud(Q8=-{%6~9veuDh*iN0@(gDG=1Y^1?s$%aW#<2N?0$cn;*}~Php~DA ztC1LrOTpKDB*%n;(1?Ij0Tv zPs-gjLT@YgK;u^pv2WLWMh>Bl-E?Jcm&fL~8_9nxLWQwIs>(r1lK%W4`v;e+)PXkM zGIFU5PBZR5ZDsGt(k}paXu&jfT=bnsbqu<)ruPNk@V%0<#vXyWocDgn?@Hr&iT!S= zPZiG+CZOX(`|jRv>kH~71^fIgggP_C9@j#w6MC9^ItiO} zu~-$_vQ^Iv_K9mD&UAV;$xE2?NUepKKiKEWV4t`aV!mLX(?nA^5Nmo*uum^*Azl{j zbF#B)N*@gpVxQaEqjLV4<3l^v20m9gJE!y$$0w!hrg;C^Kg1qaP;4LU6W59y6YTR* zCn3GHDpoE2^H!%<&T*~C($kf2M%IdSiimD1k9)wkVDY?8inVm5Gd0fYGw7dy=}x|U4adVn>FI z9Zk+Za&gh#HNif=alSE-ej0q^jxhSPK!|Ik)=Ml(&5PR3OTNlHrgcrhe|}CL4qw)MkrMWXsyU~$hV$N>{a6ZppV9ezlOA)i4`!+= zO`X!D_XLE14h^oHzkU)p?=3V%=I;cWFM)v^;FI$=!{{#FYtsWXDNPn@0A(b8zX^2# z4vn3(=!9jI<2ueEDSd<=cYc}ka{-C#cIF83OrlUWb#|1viaa;E*n3;IgYP@2NG#zN z0XbR;=*l#4mUHevb9CU-zOKpYwW;&N;-{)9IWrw~s7S-bV@<>2)kq8#X{LqX8K*n` z)tqr(4$w$(Eg;(vH!;-okPBU?od4G$1L@dc!(|*zlfLGVls-*z*0Gm;^?|a?@%@5v zfe(E^pu>kAh<)N-OyG#;IM_Z^of@Rrro>KFx3d^c@3>H(dsT;N=Z9s!HRPs!!AK6K zIX=id+09or3H#XB_DSjclB_CWlm1R?1}x2ogtOA*j4|PwCg(?8`AmDxxKeZ=z0$SA zX@3ct(x~~+SA;lgbTnhVz7}*Jz12RCibYk^CgE#(wS=>Jwa>ZK78N0-978Q*kv|3- zYE1+>Sd~U;f^Xa$eB)VRTdZ+uXu*Dpc-8jF`O_52B7Q$uYArjOfwZXWaSZ0mogdN) zoS@g?B(n%9)>uSu>B{~SL-p4_v60w5;#j*AYtxDEYJ%OCXA#v1*+|XHL zHRp9ry2JTA?Qj)J(Q91aDy0>Lv*xCpk9B-#2Gf<9{N39+Hx0C`2EW6_)ugvOnN!+1 z%)kFjjYC)Vgq4DQswFT&b5NkE*a*$p6~vtX63Xz{s*Li)HD-GSK3@-UE!BgB>x59h z524~3vqb~V*CP@*qvfCnTjTx^N>{Lhj%oJNAYBCQ)!GwiXCbkMdK5dMr2(57DLG*1 zp=v(hTMm{P_n^TQNQp}k$tJj^qlDu7p(0kETZBo`>tI4G&3$CC8sWF65wL{vv;Q>D z7($H?oT;#JWeW}NI%{|u+Ft@u%fZ&bI~o>=H1`FXs=+8$eM@K`KB;W!%5h<|P(em6 z3CQ|N&<(Xvp!sm18Qwap-Nc{4j^&A*=c-(YY2x}Zj031hOtX^Sb~*R5eyoa0(ojG%T^@>_f$O|2vGkKd@Ng0~kn&OOe_- znqFNd5~{wRHjR~e=H=zVJpH`fq?w(UYil&=U@~KkQGI3 zkW#Tmaz@!wBPmg%+tAQg<6Um5qL#SmLWkvpvd$vkt9*!Mj-fmf+gdrtF9|*=f~%W%Z$`Dhyw60oN4b9~xDc|J|LDaaht{pUi1 z(5!o$@tGX>_;JycXd$ypuRDg7?$i`@d@d)1^{q>QI(7JIjWo&Iur1xc9nyU{VrBV6 z-Qyl*(dW;1GSheZ91>-o+U}jb=x%8alGeNW59hdAFA^rmgP9}C$B$a4gb_~g0UdkG zHpIN<+_qlp6XFRf53P;Yh`M}eV8Eq&TuJC@=$j^C%ptDV`UeK=uSV0K#%M)uTOx-x?C zOg$KhE25850=hEI0Rf3J?;dCl3`mUqOM&K)fP6V1kxzU(Z`TlNpMdE6S1H-{bu>9| zLyZXq*&2F>D8 z{hEq4%O~z>c^@?yEzQM2cilCyaK1Qjj{6LpA2?&)%Eq;8Ku!&ahA6T3g7i`z(t;@` zPcNJ!%>kk8)xG#7JVvQ7R(xoAkwuDQl!|5;h6rcaH+$q9+2ZT_h zNejDBZR^#^>0GM`Ja7~~Rnw;9b@T;xk-5+i(9u#6Zi_M}_Ek{$v_MUb5G52i;|?KV zA3kb6P4{I}4b>!HcciJBEt2CPOD!|UUL&;P*S(oY>vBVhbPCmRbtwM! zA`ryDy6-^U#O(E28L#TDgtQ{uw!+ajm+b(irK%NT7)fBrP%;y2Ur7I)13Ia`l zG@ae^lnhADrv!O)1qJAskMAAR4n;xJ+k!lPT`sM<57wBk$JlF`$?AIBl`vP;49omy zdS+8_6N$Z8!@q4oyGl;>Iqrg>>9s+NV}mEWErhx*gu0K07*r9K`C+~7>UDiUqVD4{ zv@Hkoc~EcZ%E%pp6x||(XpJYG7AYMW z{P1a_u`+KVu@`H2&&>IPDL!>#|MZ<9_DB;~v;0p8HM}15{(;ZO12TF&=r|{SaELwj z&10{2=Md_%0r_x1v=561?BSaRnq302eL%Je$QuIk_JFJOBcdzE71JWF3jSsYwP!&5$N;Ui4m6(+$X)@70L(x$zv;C~pgAfaFXa)K z8?74*BPSmuqz*;jeG6kL>xI=vCsB+D zRpeQ>h|`g8BK$ zKe)aXz5hO^hS9w9PqCrOob$EL8cjNp5O6ItXE@GHI!tIv>|0X^+!f>}AuYZcXs)F$ zy~+r^=vz^GOIJpebCCyo&+5T8yu!&tHgs|2d`6JxP^v4f%)jf%z`Qwj{VF;zMzHE0 zp%kJ0!#P0bm*x3t;B!R?<@cCMX?vkj8#d|v!8bM*&PtP#A6cS#E0VVpoR1XFg@(-REMHA&il)m6S8!(u7sp>YfRwcm z0GUQpN8@VJkArV4OVqVqDg8@Yu*!$N`W5 zFJs+Lh8pcWvWZ5=ap|tDKp7d{`22FARQGFuX@}Ukyj7QV^(oD^%bp=BPtI}Q)XhVG z=lwy`LxK$tsGOcPormJG)_8Ed-m5h(f5_8(GH^aUls|r#xq*Zo?W{*ZFT|#P$T8y% z{~+OD)_v!cW+1$?mh*DrnM%{PXWBKij0w+`CZ*e4ZIu%2V`={UqzzT%RX!ZG4F%~f zvTP_Jp9(hoF4ZY|aO%uSOH3@XQ^5*nav=Lel{G-+Q) zLwmit*qd}?C}}B?ot^(4tU7Pd9cweJ#kL8db`PQO_Pri#vCBf5J`+Y5?h85Y`k?80 z#I}~FNuWVo#fAsDP=k4#OTi{x>1c93Mg^uTWai!G6=W^Y+p1$vX{}(5BZD=T3pV^s z2(@IGiP$z+75bDC<&&yB{$c&H(l{3BpT5HiH(BLRGyjp00BGh1huS-+P z%MwCqa=wKqafbt;sLth^E|L<~($ul1v{bOh0#g1cpOn54>cQPe9?NHbnjqBZ*gl`o zTe>nbyqVbtLmB=vr)k-zw8-2`J%~A9XrZBK9pgBwSCh7;MM757?^1)qhMMejZ_?Cj zB824BxtWS2H4%`Um%(c-a7>JlEKH_wpEf%_nEkJ+@+Gbfs4lRak8``A z&qxYd&KuK$0LY@T52S}($={ZO7tu=yb*Ls`Ck-GtINde96d|jII&Ozhr?jU|hplj? z@6?DV6wdm*AaMuX*eXDpLT}YQr3*uw_N~-0uofFPg+pj4`WkGHX{u6ky00DDWBt_# zY$5g^N*Lo&sk4f}rWZM#%PBt8?64ZaraNS|NI%_QoatWsDt*I9d{xVhoG*N}FMs0d zk^QMOuKA>NG8qd9Y{ogImsKdE$LG62uj%iXOM3`NFS1y}?@&Z<-Z`4y_TBYw=M9(7 z@K&KjJCBro`m0dtni}43^F=Sn0wEN289OzI`XRW?UYv&`Pt}B@;9N>zk3>DV1+u2z z(v^`45JXEFJYgEjl&=1w29ZOMm-XOKH-(lSMh`5_QhG~Q4mB~*tV+*py6+M=qib$y zRttP|{}#|8t|tAEe(O*#-c^Ee|6ac@ac`>xr_ZNtuV5e7aB23F-a4EHDM&p#*Picf zHRgdK-KVctn|=D_bH9A%QVSkgmn68i2p5kvP1`ELxF77Lp5S&Q1&3`F66ciBh`4(X_1> zT|9Wg#v#<*5<4VdEjA67e*_QZV47ami=Gv9k1GkU6|P11ziC8tMO-|Qohl4n+0M5FWO6_zg?#a6qOlSpb#N4G ze9%dl^WLFMh`VaXmBV`mPr#d-Hufn&_osvI53_)sU)KHlfW-X%>R|b2La6HmDY`%J zXmVaJctX28R<9T9%Heq^0n|jC7I<3_x&sB0^T*trU6bD6X1khn0MXSJqyA2q4eVv* z-p|9R{`tbW$b*_l4@g+n*C|a(&xBB$(8F49iNoup)`f2I9OAC2caM% zwW$cZWIFLxVhvgjvp$L<^OW_gk(xkA+p8SB9DNd)!=P&L1aFuzJN+op{ID zdhbOppQf~RNcWLi)%nVh7USKT3i|$gknjX24}G~W_^RL8HKp%RsM;DSEf!|Y&In0E6~F%p<;Lq}+@@Hpzv8z}_x_6CIydd9*@Ui~oBAt$*(9*WtX}bZlxt_U?H~1r z(Du8@l}Js?R~U{8<;L=^CTiRJ2w&V&hhcBQYB5``PNCRq8jih2QhIX;wYe(~X+K7x z(Q>RwH@j9Pt0LX=R)IGIzz+j)472)Iu9rK4#Q_WQClpLCp? zbakjx;)=vOT?)2+neO4Ra%PW^g1>;Ua&YRz)!=7`6kJDx(3L~Q_uA$oEtHIDaA$Sb z=eUBVQc4h}h@pVsETL+IL*aLNG<7JJw?+yd{3}{0ZV?+7XcSR;z9Lky4Y0x|#tuYj zVyI#E2=z_MEXUrIx2jE6A_v~CBB&A7YXmG{BT6~Xs3O3Y}&R6NZq)_^O&B>h7i}j5I|D}7XJzd|5 z<_m%idwJvF=bGiZX)zeA`oOb3y*^nvG^ZeXkw`&J)0_{bzjc~6=}395zR`5Q%BP_Q z!@HAB+AwgQ0CG9lSJAqtk(6$hP#RZCXOdpEJSn|5*l>wZ9xfR|9YjTmjcccnm-}yR z)FnXwt&Q3mqxaUvS3|BmFXZl9gZJ(odN=Vc(aA11HEFzL0nJ~rz8K6WhWY|^H$osV z*D3TOg~?64Uz{+P+Tn8&O5;jt<4`+n8ES`ZT`MJ}xC_l9p@!9%apjV&SH$Y&Lsh02 z0nUg^tx-5zq?U*Gx`#|kQ#ppnQ_=x+towTlb3rhFf#*1w1|#--ugK>_I;F|^byai- zMY}79^g1B$xkA}?l>`yQ3MGV;+t zGcNGCUl5S8eZDTCid8W}r3cgK7jS?wvWyZ4!o5fcD@XyUIgf(3ZPm)#$6&g;3E~F=c11iWWZN!NI!YZ416v zMDGd>`YDR`ZZQo}_(TLLXeAt;uU<-8SUwK~`#d4h7pq2JJxTbe%v1|kLPPf^(RM^G zu15(`GPaLjR}lBqO=+_bN_lAP_5IXSr>5fAzwBBLspVTy6uFa`I(7~rV>rau_$hrn zlq9=)Ge-IQC`38>!WD04YhLHGDrMrASjP z1QR~g$ZfHYS|9S{>|-xq9)a8?b*z1~JX9L~!f&l9g-Z*Q#3dOo!c+s5#R?_;$! zQkvPG0KFgqa!QjTngl3ou7phcjr#&uKTB)x-|PT8w)3X~pKWFLp+Yln=(Qa|jcgT(`8-E7 z$R!#o=byUyohE&Qx?48X#Z}Xs`5X{vHl?jtY^Y;`%=%noPbseG_<894z3t^C9LK)9 zl+VhCc0es89g8(-$I#|qA*{1{Stt{93|~2?^jl}2l;W46eiW>6cZmH>p@*n@<(0Vp z5HwvoNch!&#C;~ubk<0nZ|o|_He4!r!g!}?llG&ooegzP@UiPddi|ZMA{*+|&`Z+i zxUxKN3wnJ%AaQroLx{%u^MMs>aHjWn<}MJ&b<^Lxga?PXZV$-4A$9&Q=>DN#u?s@% z_XPVK8{+zLi0co5X8(ZP5%emWtDT<@dHJuDPpu6faj9HZS%4qgaN(f)JF3W-<~sU}1?h5rP0;Hz6&Z70#7US^T%EPL3)Q4IS5qv^=h{$eRfZQ$-{SbB6i3?M9cV5H zTC5vl-!qItj1PR44Vo?!XyW|o*MsG&K%&>3)u0|*V?FA8Djg#ShBr+fs6On~+A*FdyVWJs64Q;ZZI((bwxV2wgeU-XVXT6YLXr@BCtraEnlm#WHHX9&~>; zw7%93X%Y7h{Zz=gz9ga5vq7&rLQ8n`?EHaHpT>RKqvaP0xnOu!#on`eaJol6qi5&k zL;ti&F7ZREPF-&5&o^<3ae7sbAQl^^2})O{(K;Cq80p)Yjl|X%sd>GVh^g8doO3>z zqv`wtY0|TyRk>#s6jw_qKVx*^y6e!<2mAGj-l5v^2fU9mB_JYos)@JpnR90`?8>^KEZVsg}4RRDG{V zY<1UEQw#M{rs>b?)%|67g3TB0b7YnVUT2ZWXJ#VpGD89!oD2Fnr7nNiGW-ks&{rYo zRFo~|=K@lSExp+H+>w~TH^7TIW37lDK>90-tbKZEf%LkP$J#lzK=5w6&AB7BhwZFi z5oGlmzC5)J`zwpAHS`WwE+zWqD%*su5$zL^ehZ}E0_ojbqW_F#1Z6b00lXHytt^WB zuf`QYaaZK0!`hzVtt|R!SaY&d)d1o&Jv@vkt{PUnJYFf!q0k%EgOQ8EnDEC#?E6+t zG!7N55%-#2XtHnVjnoRRlY*V^3R+yNYSNWu{#!sM22EeAE4c0qy5ACz$pKj>c+~J# zaJ?(o`HJAr3k84vX^1_pG5ceXIj)p@ILvpyqMAr!-?$_2iTflUd!P5`2Scbw0&;yo zq85(?e~vp9M?TL5&R-379*ZmGJ{`WyyEMJTV*4`pR9!@*V3SS_b7Z}&f?GSRCy8^= zm6@d^`A`M1|EwiFQ9NMe1d>?~MlcI(wa`1zu@>{rrD2rM9bwJMP9d(*TLm{UA$z#|#ri8_Yilf{#Ry&5KCw*bWo4`{ z`RVJ%RLH}q9Dh0dT3{MJ}53kO!JG-(re$x)pCw=3h}*(HA1U< zYh^-Lj(xu1QMU#yHVnumflpl1{_V=iIIbnDC4h{~+BNO)XH|sdiLG~E&bO_C`$6Ed zWk~n9k1M{&V`JYZ@QHngD>VpRS>~8J7lx9*3M8RU54BaC*P~+INw`NqPO4b5JpJ|j zY!bG{?6#i&@KDxOnNoZMD?0RGEnj#r;mt3?))Ep&_BLzo;I}=gYzC zuyd&%OtWZszd)bk$~3bUiS_A@L9eRV5Sc$w3C&uZ8$w~1LOqz~v_NxHKr{rdGh&~S z(yOX2M4lrm5m~}-1SGzf6yHCMZS2^lJtNpBj<3!gV!vU~mymx7H1XYmxNn$m|D<$$ z;Imhd(2r21L?o`n-a8=ChWmxMZVRER>OxW{&RfO4)gOD{GcM3X8-6#?^rCxgp)C~n z{5c?1gGe-8GSF1RKSC2r(Q(15v1L_Fe+i$ecow9ZpcCZNL7plqp`pfw^MpwAOa)D? z1c>tmy{x`IIdG2c)m}KS9D15DFIOF3(Y+VW@qLGplDW^B6Q4b5^sMoNFygA;v_ft=<~hA72DyxgnB8{jGmoeUKRB0QMZJ0^~8Y0w74>~dn3&)RngCJU0+qY zjP&wm>zk{ph(k?UqQu4s-jP>~k@z0#IYDN;HLnMU(mPxkSvt&!#rHjT2zw(eLBo#9 zYigW(snM#*uBny)z3>^mnsIYgvum-~!tbU2ieHX+d*D2h?@}pqPMzV&9P5ISvPPdX zrw^x2zhxRC=301d$YW`d~=BSq>(dT=Kz2A4JeZCiqjh>zVuNtagkBYNPYgHmL%~wKiBF^uDNK<_`wi+O~ssV4XYX=O+>1N)l0{tGRejTps5XxU2!rh}V2w9rxE)^P#U9&Cmgr-|=`1J>D~?%+e~Cft>BM$zfD^I)NMB^Jb1VgWC3DXkIQ zp&G*zSe2gxC2$z6$vSm-jVO2l)wua(RiHR=L=CZi2-2XH%5=DUBmLldSqH^GoD0%V zTd51~+mLFYK~JEx4d|G>{y{$|Z8j!TF#_~v`Y9nVA_O!$6AjxK zG!#_^x*?q4pyQ$H}kP=^dUFe|Vk9a#!aH-9WdBT!9wah(ezEhz9c5*5g|V0k?@=4!FYs zTHAVrHSu(JI!kO=e+yaIQ}G_|X>5c0(U3=p0Ep*~~ zlR@9mBMN&i-D=N%>b3dl(0e)X9Hh@rCG~#OO()oXg;v}5>QCSRiDKUCbKtUKwCsRV z99A!N!cfzq&wY!v>+pdB6ugvLpWSv#p?$2#=sNu&k%*H4S2ctZtG zF@nKqiEvoJt7xJ91tk>FG7F_~04-(1)Y0~NH-wIS+fne2v9;352O z{op#ikxJ0S@yn4#r&n)7+f}9Pvtx)eHr@ul$BzPESO+U#aGjoRpn1K}4sSFP9C{tO zoH{MmSM?(Ai#;ti5IdAH6j%jcBE{9B?-O)dX$*VeTu}TBEkkg6xD!^NVm1N!w0_Fr zKrx)BXwe|-n#39ZaqvIALwt55PUjOk#GN+L%%@$FB1f#lYfnRC?07h19MCs_b+`o$ zUf_%Mvlc~pd$m0MBt2!xfu1Pno*fkFjq?;bIrVXO2!1*^Lf~5r<&IzXLacapA#uSK zYvK^-FLXc9kqIbfZ*fHliKmy*$#l5;Ht`+o;JDink2*YXXZt{-_Y4+9e18-PS0|vf z)$i!E+-dX@WL?>~Uyo2YJCC{^`6~&59etP&p5uLK(3TnkDDHrwMa_JGV!ahm-Zl~c zaQ==?#&P#5I!lPRNC@T!9yl>l4%!#!DI-V;l>JD%>G=d`Z=;jr#NCXiyGnKpr8^{p zjY_^(8=)7%@`7d=3WVO$pUAESeXXDaUC#vVfrJ(t;kz0|@AD|U>dol_onl@XExjS% z;dJ5(IaqJpkqRAaz&iXK=;d@4njaGltnlNGcYzW#$B7j_VrjH%hP@15`XA1(w3A7j5p>SyD+m5#LRW0b{h)Q0aM|+xNE?S_U3i}l;a0lOpZl%rv zij}LHLd*du#)N>Pq$}x#+*W+=J#@z*KzSCOxWXe#*>X6A2f9Cd1C9e|-v%>c1Ec^>SaEhplb4n}TR`1TO$JY1T>c;5De>X;IN|X)?b;Kx zI)FY!d7*I7b?EJ-L_fB^CE;g%FX8&6pWnFTm>tRzZS|B@pS7pjQFJsPP%`rFOWHcn z@)A#3FCgg0ppYGU{{y+Cl&q@}AC$M4xhZXr(+B~&Yd20vjT3PfNq~S3Xwe0CXrt+q zA~ike(BoQA@S9Ox5a)WrXL@}pNR7EoghBg&C8<%gN{f8>5BY2lF!s?vvn2iCYRBBr z76f`J#mfJ1Hz)m+wh5#u&)CMG!pYC@i*rkQ2=v^JzKZIo5n7W>2b%Thafg<|6m$oI zfNo`I-%SvDDl1Up71}3>1JFe}C_>?Ul75QpUm>J~IEc6+1kVCOK7=?+`0Ql36KRKb zWuhn??iO^<4yti}i|$Il3cUfuf{>+UKE04gi`cN%88Vby4fI3A6zB;i4HqXi(0^LJYs3VJ z2I;34TEw=c#D>|xVh4<$K?Z&w7d&yEN@wu`9%ayHJa(aX{7mK1RnEvICGEBmt`~J< zMrh1#<7#u%jL|~RCB)Xfv?(tp%R_dQ=EWx1>jvnJU3qbb1C~OGTGG4Qn+qtydw%_I1d0_=NQ*Jk}ZGW(69A-^M) zj;B<@74PSi+z|5!(s2AV{d0Kb9QaPVC)wxAHQZRUgDtQ6y=2Z^vqLX=+WBqlrW?w! z<>&|338p+7PM!4Pu)~a(>tojr)300lx^dmopA}#`wD;ipUefoHep$lp7uaDewdK$j z*o018v0|z8aqNmE?GN2ROJkK~JbU=FERX^=dM%y!zZsqU59rlm zxi@!F&|n80r2lPnWm=%?(h1a#QLjOW#!+ZB_3)&in3I^DZ3OLbTAzb=0ma&5PCw{y zC;gN(w6j(RQV7hEEMd}6_eETk(8A}b8RP>CLmpz_bY zIpAwf;4r;8JH%GMrB~#Q^LwD(i);qI)0&5aB|+Q%uAZH4nyJF9^1@CqrAwI?ru@?9*7|JE7~<(8AW_$N@k% zl;=d2t{Y_A+iTtK~&n=&ZK&vl(mIfiGH4xQ^tEDEKWm#`vx- z@>*YyqtDQ7!yak-`GV_BlqWcl-%76w=*X@1u=!#K;*FHL*pzas3OW?pk@Sb!cZMRv zv}oaDIOir!wN-(5@HP6T^8`;1qy{veqSb<@N8zXY^b7J7EfNh|o(?VSVTSWTF5YjM zr~A2hdkL$pv(Hml{Kpzl{s%pQ;G~CDn++{br7bPFnM(`nBgR?PLxpv)i{Gr4;Y^YN zwwP8g6f#2Ir+cJDi|;B4^KlBpc^~K3C((aAokI8JDf9-_s)XX{Z|G#nAl_M0jyKJ0C)OE=_D%X z*^)q4pg>6LKO1yWL0>Q^_Gtp`tI1DGN`Hya;syKBbLNf|IhVp~ittWHK*v(PgpK|s z`SN9S!}${EA#~!(eosc|+>yeaoC2+jmC+)B<$h%B`7yEQaR&XdpdW2T@pY2I_xM)Y zI|W^>OABe>6_C}g&u`CPinf<7C=FN!im3axDAU3sIKiRV>c4`*JIcuKH^o-_5?XXR zLeTPdGx!}Q=mA|?=yV9B%*@tFHN;nXiqzMMkBq*C&>Q1$R_~#rZxIeN6J&Q zRqzyHOMdx*JVk$t%Rq!7u+#ot45XcQ5Kw)?sfsSrF4)rz5 z1DWu}ts?tzQu^*fQgW#d*>OUy@}?UQ@BwN^&e5I(T51%~&Lt>p&(A@F6DeYCqNz7K z*aQ;3O6q};Y}>*nXv=|rnSsF_4kSr?Jw3dZH2BR!Ke*a)!F41yyKC77g7RB?kP0EU zmFtBj4c|w1czT{e?E@WbvkxNc|A^M!U>gI+LA^j(JbUBnda zwlyj9vIioTyC^oG%Nq0%LB|{PQ9;-3pa_lg_w>V-z@5!w%Mij;cy?6hj+k`9ZAsE0 z=4s8oD(x8(vz<5mxuE!RNzn+o5`59CEGT-MK-V_t&4O-aP>i*K_EQG^-YD>ee()by z2R&t$7wEKm%G2v3fx|p>jwD~?T%0JQQFdt`cTb9}l$ZnZ^d~6HI=LJ&=$nfp)n9{t z;QJi?Af-lFai@(#LI1{p$I@WT2 z`~fmZQ6v8)kg`Y{ohC$BnpcJoD@TFIk3uaJ8+EO$h;ddDnMv_kKY!Ygznk z4?$1rqU~i6(3z{-QY19w?j;XxBwAe6)dC@R7POZ zpe`tOzz7HIP*Bulb4y(~k|WsQJDyHlN0Kk3e;;W9z8KjoIV+BTfVP*Baqz-92L&i& zifahET_-m10?q6=sw2XKGMb8AZ*BcHPZNO7JDSR=k3lwKTVR;}Jp(-dO1B#~wMGp^1-#awD z;2nP=tH9C=(#f_0`hGGLP_B(&HJq47VH@IZ=rb;_p+qd>$&V2ejG<#5hGPcTCj?!r zg95?%IQ`7rnALx1if7Es>cCRp8)Ndmg$xZZ*u~7H>AahrVbgs*-)m?UwH!_vVZO)} zIALStj9zA;Gz{8Py@r9`A)LOG$1_2SE-tYb6!Kh6{BgDQ>FhbL0y)RhJkVH_cUiJA zTCW7dp<|D1^Q|_ zOF02GfWqUPjKa;G(h27iIgbWfaV(IW3) zbnOaco=&NUJ8lih*Z zH=vL|fg-S*OC~S8S z0i~z%ZSpsS(fWbkXVkF%T}XswuAtDc#G=FbVLa@_iKojD3eH+fwZBKHHPAx{+L0Au zmzA*SA#siYs{ie`#`N9Du~K8+)X@YfffE+uf4Ex%KOG!@I>zqWr-d z6KPQF2dHV^1Jv~*QkMu6J(5fIQUNI$qf)U_(^#>vx(2GtStTMfFt(Av?;O$Gg5L%Wfn zR~hu3g4)sccMJNfE-hq!H{C(P!U5>ULVKm5-9*qU47!=1=NL4;^8vo^722~5t&WXe zY*6gM0m)A8qPWvHN6}{Iv;ca6&_bJno-3$*NAyBLw>Pxs4TE-T!{H*~U?tm%#6w>e@(&@B!6YeD(lQ`if--7M(JhW0)|*D$E^UEH8g3GI>weO%B5Iw)eoY4-po z62b8dr3O>sZeMf9B_inYY=5#0^c(sr4CQc|NVltz`E)_;{QJ)YeV?IK)N(+13CT*| z3G$+31UjD1l1ldry1GHp{{(H>ry3lv#tA6$Na28-0u(FL3yMBG(2pDRhk|~=px+jB zEXYgAkdU)skM?$KK|wQC`bf~LqZ9og-Nr(n4)WeWKb#u4gBB(C+Puu|1yD_mQf;t^ zq%Z2Xvc76r35mQ>{9NDgyVsyP+j*Zsv7EiER{ z?m>K*h1XfN$3ZF61Tl>s5Q7Y?>{rLC3H3Igq}LVKFw@F77@ z=%SE*Wx7Kc)J3cX(Eb<#+oC^)z0)nY|KOZfm9-XN&e0%ZxF+VwzM z5|rKyXtWlwHO|t)p)YTnm&}-bQG(A$-htBYrCy8dEPhtr=Y&u0Cg`aq5A|yi_Qn24 zG}~du#_8c_N0WcyYRli(i}#f`XF$_lU+Ai)-x*KU_WC8Q=##{))J_CXfJ6sg=}5; zR?*GcLDOP-_C;xo^-z!-GvK8~+CBsRDv51Y(V`Z4mgI!o|L)2Q^c8dhrNJT50_dzB zYljRt$BMl01KkPA_NB+s6Fjw^I;+RFA<xs5K36#0BD6L()cW9n_h$>OmZ|@ur;LIIB`nI- zGCBhkB>~Wz3@yg{fZ{FS!r|?L{??!ve+Q@e=`6Hb+RtZDj4*>1D<=vq##DeJ#)3j# zps;E|X%MM{zS^J!c2KMF^MsII#Af`!kPh9XrmhGYfWz4J?W1ctYhq`FHWJ-RI~Zli z%$q+&Y&yDTM+0Y1dC`i)d@ofQv)P;nw=cO~{3uDs|9~DZ@_yK$+LtUP0cb~SPJdoX zXr#5xL*obmI&(&#Hka5gCwr9kgQ9jMd3G3qQptuQuPwo~B(USwtB4)YcPMsPQBXS; z-Jh3b5ADw*vmN^WFgE=GBa$d-XHFeEax-pYLEe6wptLoHv(C@1?!ib_Y!eKp+_7z1 zUHf#tsr7VCpk4i^^T_rM23`9!*5tK$XnnD-on3D42h5sT_OT}KSo4RmATP?)*HBKy zybngcd9DX2M#X_*4hZGM!*rJSE3pa%Pya4Y?faGAr$Bi6A9>0|BDU|CXnR zgMH@-o*w9)9%-KLCr@9Hun!?DSy-8}@)9rRQNC&YGUO-_Yo#r8U&YEJEp2=3}2EABH7>mUh8VP~;SF zu=Cmd*>kqate!nbu0-3RpF%wA3Omd`>l=_dSmW_~N+Dczub;>0zU;lTu{_mPiN_En z*F^}kkv!F%fF7s&sXxUII_2qx5*7s_&R^46p6Wh9`tN4N>{|o8mLsSA*6mf zf$mGZ7*oVkyl0HC-=&lDG*F}ku20ib)*g1*jp`xLLSLo@s_lq54XSHe>hlKA3-P5`%be8U^Pm3p!XPbB|#?}bU8u)XwYQ@ zeb}H&3HrD}^^3tz8FXQxea4{k3;K71&MoLa4f-lU|6|aX3yLj1*u!B5xH>d`xk3L! zkAULq=!N#5g3fKwzY98l2i1In(!QTh#(vH5X-ZZ23CdIU1ag?}S9(!b6cqIcXi-iV z6kY(7oUuaTCqN0TP^IPC5;5UKyJ+e%*cF-Z+9-p*oq+nPNQOEGw5Sydx`3cOUZ67Y zD!O{#KZL~IV7Tr_Cv(8vH;EsvUj*3EphovMsJ^}Q6@wlk9135^aacbsI@X+(X#u~* zxi6&!S`l>Vq&4=*;{6ct^bm4(JVkq}jK$yyP~-%7#6xtJFs~yNPm$9~7_>eVMVNy+ z@qiP~??^n_f_a!QeuAgUQQJ+3uRQgmN&Hm(eG!rY@v#Pq_FIgcpVi(dZA!^uTa2(7 zQsGBJu`5}$(5esgTjcC#@bO}uUhNAHU4VXYy{~Iu+^s+-u0vU~aJS*0Ge~7+3;4CK zanrY*CKwJIi41loLRTbRWoS1M+A9pYxu93JqIM2yJE6VY&~7GZJFR^qQ{R36ahC&p z_>*)8%`Y<#*gx1ayqIZG7=TR88B<{AO zA6&QX#)iA|>BMz=gX%tKI~eqrLW^;N!uJ9}w=?K@g5nLdLaThYHfZ~s8tr^l-gAs@ zJGAPy1)(TU*QTPe$Z!i;2k6!Yy+qKJ40^Aic2BfB1zpb2P7!ofgWe_RrUt!I(AOFC zK|#kE^kG4lGU%g%zRjT53c8{}b!WFX8uSLCUC5w+5p)TIJ|XCQ2Guq4b~U`ByBS*D zeXW;u@4Cvq{Yz=RtjKSd0pmJI`BF2$^HDPZE#o>sFRcr9A78Fpca86BTZqI`EmkeLHqQf2grQ92h6%M0Io463nN4k(Qw17;Nq2W=ykwj5}- z5?cEf!}@|=(aNE;9Kk_bjuQ;+=EA|Y@OBgwrGAl2*M|4)%jwp)@7VEQjB6}N-i)&1 zKV7c|iuMyww4C@KP^`NIdQ&TUXBS1znuC6D#Y&BmTd>@ZGPKX zXnWaPYfqs)(eOnJ0WzTN#WFxAyvGByKSIvdLA$-AP=AD+ZGmxIcnQV`i|?S`2KsM< zj{VsR=ya^)3tRiIEE?N4xb(e(NnJaD!-_)N{w}iRyPDA2^5HFl+LnU0OWNBSwlrE( zI9L>G${^uyjl4RtXG<y)|p z^B-y(;%eJbI+odP2Wxd54Yjc;+TQN##p)_UsTm;W`gGzt!Dy^@uq_20UA)N9s(sHl z==Q?bqRR?ub?aM_)1Yrjw!_TxNwk=jAiqWHVyv{TFp`BFYfCwN_W zCjciqH$H7YYmd$Pnew$e5Nldk@6%a(J7TEb*FIv{i=XWzy8YbPw>>SQpP}~u6@^4; zw`-RJ(9`I&C@i=%{ovYeox8dmK(h*+xZ09H`&qXAt8M#x4F_$n+t}2S6AbM}5}Qq9 zO$(dnHxb$^3#I9zTxXdY^(wP~y+!H*4x^@Ok0cm+ZG_GOP6o_*oX z{q-fBt94GIw4h-ft;zc9OW4osx|Q=K7xvee@EqW*T3>?NX&jyKSd^Fi52)@R{3e5< zMgZ;G42n7%D0>s)m5KiuKK^>4(#pok6i zd>Io1O@GZETc}^Aa#?x-`2@VAU#4>DIs2{I8>DY$pW2EpL4`2N;alSbV3y9+7RPBZhXCKv!l=FgEMkmu8eM|GZpJ;Lf&WiV=5b|r-* zIDvxv8}w6lGSx4a{M&@Vn_CD26k+hSlcL{2q;~xj9E*%KM?Cnhd8#tLAe`-YP5d`l zzGK4b*LpPU(dOwVM5;PXid6kHsZ;F5mnMf8Ur-O#d}6=8r27F+JD;%6Yu-|h)6OSf zBPmKg`MS#|R>p(f(`oxUI2Ug|M8axLu%(1@)~7aqeon&DrCW9t&Lf#mtiEc&ewrXD zIZf2Nj;6%Gf2@V)e<;uU>+#tykxyYAocs&xjK+F=@cq5SX5UNbr^U=n3#0?uAfK1( zYIH@e`EKW`PdBEN0+l}f9{p!2fo>>I@1p+*`vl!j{VBdyR-Uf!!ai-DZX-`83Fl|c zQ+O-l*Y5>gLH`TqCFp($6JPE==4v6q6`N5 zcZ1F?sO9i#K~a8}(wq{lQy*B`k&X>sffKb)-3xuVBa=QIFHf~L!qXz@!Xhc^v(g%z zIrSOR5$98t3`Me;Cj-)YZu-HseSOITBq2)%n(=hvdZ$6PHonK8Iww5Ypvwz~_WkAV zHMGjta#&F~^fH^Ov02GhZRMc5yI4Eu-WGP&Xno;ujgc4cGs8M|eEsc$USViA71Z+G zKu|lEq$82N%tmc49455JcCkUX7TU`UsvPW`gw9mlOJRyXXp8hX!{KAX_eWh6zOFkx zoNv%=g|=u6+II@t{vD7qUJY8ES-HUQ)!jVW`L2m%>f}IhxQz-e0A*epsLu1Y%lj)6 zo36cmz2SSi@GX`EU$tae#{^W5Z#o$q-@ zW8H6MHN$tJ@NG|_RSoT3LRw^98NZp?JgY3u5;k4s|=Pk9PSqm zWpy-Ybqo z%@Ft!8--Xwe%H>iFA#&SSA4W3FtQ@pv*2P%5$K27q6ga3ySim zpeUO_i@m}Mda9rwGUy3{e%PSL3;GFz{y@-A8uVB}KWosV1pT~0za!|E40^br2N)DP z7D9`@_pu%N-p6*BIo@{xWoCF^fAov(&>#I`JIwB*Unnh65B;_8za2aDM{n65#>(ie z`tP5_kL}osz76&OwGB$kX1vf2WsK>5!vU>J+9zZF!+joP)CWN6h6Xs=F>gRW{v8??}(eF`mxG9Fb%Mi5d*T&^;FHxa%U8FXtw z?YNP0DB};{tK%?s>}o6FU}Mvjvt?8Q9CV}s?dziPv}tW@D#MQr2h7HUf8QtB4t<|w zJM?{$?O@BkemTij(NfNO$&0z1e4mt)Wjqz7X0PukparlpmAeS@T(|rMI?{83;E8i^ zro{%GboT7lu5LheF6jY-zK)*YY5Uii?lQC(^#ZMJmtcep=*@<9Z9#2K*8VP{wqb1B zXeHrbW7C$6-NF6MLTg(q7P>?c!B6kjXnqI1P<+%Y_FYeGj>p`TT5c} z4}9%R+xmjGx0u>BwyhwYcWR&4v+oaSY_`p%vql*AD|XNskTNd<=`kjVYniFQ-L8V3 zW;pCF=qUzO>%1HRJ2?e^8lu6~&c`aPwb=%sr?ZzdR^MrF75|I46d7JEGT7AA9g^Bx zx>mCPXYbl#?W~G$2&I71XeCVrD{w$sKtPZO1Y>$pQw=7NcuP%G`lgW=qJ{)xFhaZp z5Fd-oS!>OjHJ7z!t)Vrjb{|$^(O$JvS++Sv;aHv*(B0>SBsiKa1=Z5j^V>vfTpE%~wce_LPOtqh4h&nRHta<}PR^G`uXTW~ zjPSIx>P?=;Rn0){RAU%O->!+isg|Y=P`OTNuUdp_6}3LrwF=q*vwQ0-fUnmC32c1J)kyXo)Bd>ghC;)Ju&Wn!ZbE?eu%4t3F&1X)1<=-{IskPiu zO-B8u{?PisFpxR__JFF4s#pGrK|P;$2Zo*YoxWa2j5;l-ojPLF^?XN+dPG7;j5-}U zV$|udP!XfYo6KGjavT1DOD#a*6~I@=`dmU`KLH9GDWSiZpe!tMV1Rv{7_c$~XvT1& z4e%fUg{7Bev2qM(MuDO%yjnnEwPaaDf&TxpTHD?z3yUx@ygYvIv!@AN63{0F3cnDw zr8dQX&siDZOurD&J`v%xqOH*ZyS~5#c=3CnB5&l3r5vSl z%Sopa*5p$&FdimXv7y1ZKG~KGHMw&(i5 zFeq!w_#JQ(xn!<6it+1xI?y>v{Y{>=ug6%S9HDyL?v*j7cKW(NsV{mgdMq&KDbM!W z?_2}v6U@#X$JyKgmDJv$Jga5(;jfJJSUB}tMzxG;8I@9b^qyLM3(F4C{?nX%RQs2* zuf9>_$jZKf^lh!lX>g04gym|!+EMglwQt-hZb~*KrN!TIM8{&2*H+37Hd3 zE-bR|{|@B?Ao$>v0ihV(n+%YdNN+o!8HsN|@!71xhvxjmyZMyw8j7K{cr(z?zWW(# z2I}S0TZd190Ig!i-ly%|%t(BSJ;6JGqB!y+XIsA%ZQUPFAF&cy-;6==E}v@VQ|1`u z(=SR}KNW4|(=W?YW+whmSue8_@u|Pdelnx%K6^L&x!Br?wgQT(_Sh%;NsN5zl;e1^ zt$ga4p852K=n0>CK3}%xqw&yhIS&1TGe#grkQ6P(<9 zV{r5Q$q3c z!JEQ7nYIY@oq_j90%aTYT>Aqt^2pPi zc((;KcNkF{ZwQ#A4SLTEGalCY73&^nlnL6vh~eB*1(bWLnr)DI5Vgl_LtWcAc$vlU zdqUs$SUW((`bL@D2}FCZin5x;keL*fX6!|BA22XW;XhZHs z0?ONy>M^b}DdsJ6!0`USTT`bV%?pJ49t!TeNBYM3_FaL#H)^Xn^?bp*Bk*Pv58AsS zFx0)MYpch2mDIK~YST6_^P|pr-K$}&sjl>*%w7&LF=j)2yAF|QB| zzlyT;Z4Gtb-Xs`)9T-*$l=&;`zFjV5e-jwa6)5ve)_tpQ;i)kwC7B=e9I1`>GSt1O zb3E3WHS0E9Cbj)8dORc0T>;e`n#}q6Mk%{3%4)XEhXY#ot-i^s#;{Im8w6gZ4o65a z?2fXUoAZ%?t`@vMFWbu;rLU2)%;WhMfijnqbwAP>@^aO!ojRqKACQ#zV3U*?^ z$`r~j73dQIT_(`S0(!bY9}Ot(@JhUU0y-nmT>;IzyU;h-(`W;1nZy7)2TSlLEappf?Kia{>LdKyMBxdX%*pa9CT9 zo73UNH*P<$0Rum%Fa56)y4%WOd}|N;uQt{>^4!VR2qk*hwcq`qV4%l3iQm1?T9<9W zejD0b@9sS-Wf@tfEn^hgKw7re-UMFE+^mhB@XrLwvgys>P5KP?Nm+XCx~8ytWNqoc z0WbZmf#7{>VECy(pYM$W!?jU1{Wn1AXVqSQ`V1&b4<&sDKq1HQoqpEA&^x7NABnPG z6DXrhn){mjz&q=MOPc$fA6oV~9R?ybjt<$!=y+Yzy)b4#9ZOfQc#pHT%~-lRR;I4) zFG6+3^VN5o)cS1_&0DWmtIp!uw(2a#-Q6$kC70AKtMz=XyOsOa#R%!DJJ+jgeVl7z zka8ag4BEHR`dBqDS5DPZ;JUJAMZu0BYOQ67avyCur6jEiwXT*JwSiaZz!B2XJR{0# zd)eb$PX#{qLd$Y>Tt}XJ2jl1q+A!nTC2Oo5O|!K7nr6-qbIoV8e;*LZMOj^2#X4h0 z>kIYYUKd=gT4YhoO^vd?GRmr-byAeovxivwz-&?Zup-LpjICugB~0$)03Uawau)_r z&SS+(FR7NPDx)Z?wKn>1cga|6jJ|CWDE-)l9Jk|wzN-B%j`r$k);i#|fk7!bcOx{8 z1n0Qr-WSH0T4cV)t)5vrFFKyUXEnz{HTv@49bE(A{$_1mDWB2T8yKcsaiJu*TcDJa zJ#IcGsskvEbha$?xY=ex$AK6PKnIRRTDpKf-_iw?mTt#^IInjch|@u1FggyzXBIV1 z$AOHBajEyaHAbN0KzyDtR-)rToTEAp#Ocs+AWnzr;y{i!%LaR)uy9VckN*M`5PZzw z4?h6VB>{a-pr-`%=~*b=+-v{AYpkPTb9WMDxnj6QFmOenqm7-C9c}D%=xAf7Lq{9C zZO~R`Tba-_w3R#B*!e*{30lw{ZS2&ky)TWC?Pz0{u#BXh&dS8xe8wz0L_gxUTbB4` zz>ZoqI&`$La|S#Ja7jmrI~_Vo-03i5JdsjkS8z#3_d9j^REE<5F$l>ehzfx$IWng* zzG*crssGdG6=k%y0 zZEId%p>Mm-xui9()1fu5&kK!Tr-j#cpL5C4;dRVc+;$y9ukrS;4^K_c%B>7&ecDc= zcX@*2&*Ckf9~kP>c8cNhC|gHps}D&Vyw;|*XQ_THt+w17$7%$vm3md8N66>fLXJ>< z288!1C`a`gz1KW@7`c3Q@L9Hq`Bp{3`V4q6+c zlJQw;BfrSLjrc_B-Wu^WO03Cnn+r&FS#jc$cHC`EQsxh)?ejKKfX^ zYhSZ7Vp3js=~96+e~Wb=zj3-DGcW*xkCA4I(+wEer|eDQO{yLqUJT!F?St=HgVpP1 zx*Bm=V93=pwCYl$1MuouSFStcx+KaHny3E(z1lv&kSiB}UKP-b@M!kb2=6hU8;4T+gb1Z<;}sDm;&*>0mFkTP?~~9QKi&~#eW~`!N$Teg_R^$CM0Snf{lR)miB_J zjVOYU!t>v`GwwqN?#wXvJO4T7KbQHEC%%SwP4SAQry`*o;aUqSj)YKt;D~!4t6RH) z(Q$nzn(rf;;rD;=B6j74_)7EVhg}+8HX%8F&Y6&=VD&(S!6gwX={Ex9S)n?ilPUXb z%Wpp3{%seM$oHI!FT@|=g_I^fDYHBD_RRB@K|~PUPeRcmIyBXCK$+Ineh^gDcOo!& z2jf}3bw)(%*M6KlptlDMgc?v4pjIs1#oWs5LA^I^h$=tRRF1ePZ2^@-dbeF=gughk zmw4=0vGw%so$ZRo#`T?OnWM(KF|@uqcr!n%A=9QtQ5O_3u+pb*wH$rtavdX9;Di(W zKFX`DMJ2t^jdQOy`v_J&VG-B@;y*Kbd;MiCuVH}cndu$KvHZ^G0X;NuFbSgeg6#&r zkW#s}a9w2wUR^u6R9Jm8q}!cmbg~DRfyTDJ?mXC|n|Q diff --git a/adder_8b/db/adder_8b.cmp2.ddb b/adder_8b/db/adder_8b.cmp2.ddb index 0a3ce14d9ccf554057669102040e59cb3662a39b..a275f51a3077581b1a451c5fb3b81a3c36e94719 100644 GIT binary patch literal 41429 zcmYhi1yozj6E_USDNcdn?ocSML5l?U;~AE5C@3h^FHuq4kS`hJXG2>rZ%21G2Jtui4D!B?E;bAT zg2D{^B7AQ}`2_g+#ToQ$z5E=lZ5gybSUWQaFz5jpjBGzLyt8riL0*OhLr2O$LAeM2 zkD&ZO`#*H9;Q!E1s7E1KxxDY{L&uroBMUjO*)o2p=xfWpMIlN1iv69ab(>p9HbjZ& z-K&9csV%`sCc>{;#KbtC_t4}NWg~Gv$}57O5?}v(zREk0m@!{JT5SuuSsA!K^`97< z)jv59la}U$%&PCLn~%nqkhToC`%0s^%=Ty)Of+8JcsOE`obyG&Z84w6H=fsVJfi-t zCZG;XbTC+d?s3^YDm#iAJRwfkpY#r|XjJgd*Ya6wl zm;&aB4hR9R-A%-ccXm@U=J`jT>rtP-MS-79uZ%qV(GxSNEzXCdUNy--J*qk0KYiB| zMehXBRrT=YJ=K99^lYVE=O{vH{}?>CLlI(bh<%xw$gPB0Ge={Z2$@#ERnFn&ZPUw| zzeUl{PlM_C;fI2N=RfrLXV+pj;bF7JFoH9!6`#{S;oD2qFpYimJ$szc9`F<0x7uZe z#)!3!o+o|39h)EFcsMsB-n|cj9!~@h&bk|h$N0G#jJ~pDPq}^ix^XryjVc2DHp*hS zul_AAnQ#H+OXH}|gJ}cH#WBhtSN&Yw6$etfw;ucPK``dlcyX-RV6EbPsFN0^p3`XoFQ-3R_j!v5f_o!oL` z8OHiKh72z;x;le+_VoDiPht4>eV87i2mj#l`e_UN)=K(TZ_>?IMlNV-jlQP>vLOHI zmrN(_1R}9^4$8fYvy|^}KMi$n_6xE!9jMMAZQ?$D>@ajWr4H$ycsOlsPj|m`h%-Sr z*E*i&ggAhg?z!pbFO0(6gxT1gI_B2s-QAfRW<#6ok01S?{6}SGoFy05daUuS>$2(E z18vJ%+c#K`*1p31r7Q5GlMytxTKbgZ@Jn~t@nCXp&`Jb#GQG^rP@6KXMCe$qHH;?U z>>P_eXk~CqlE!y~xK?U!V{0%uc!&7Ur1Wn5!dH3$SnpOc;JWpEE16E_2-W*q|4G?k+GTe%b2C4m_%c zS+I=6^PFcGNqinLwu^#xq}}2@CM|lrp8pg7G*PA;053!hE7)T|m*95812PMYP-9!n z85kG&&A;MtyXY9wOz%Ojkh}Ab~e@8)574_ZK(_8s*c~=;V&ht_S z>$I-__GgES%oEpf(wH=&jCXKhacje9ym_Wsl+x1vp$&`MqcIUI)V)Y5x_Ft81K3y1 zNlbPxQsny{v`xO1EqLP?tM0@g7BkGyLn8znpBmX{5wdO$}`?s_6 z9LpBZzQhheY6**J0?1hOnR*NaYnNvm{;P9)WmpY&h|@f&=x@BPJ@NdN)uj^Sc+zTy=>s&M`{)K9Q@*GEL|`F zx;AsdjL)Gwr%c5UK4$hE7}{J?KA=!B4=Dyj2pt1-Pi3=@nH}pA+Z_*Q{{N2O%qbZN z0LfXleog0Bhk%cJk$HSXH<1qzl9EK5;vr=9{5}?7HXg$cc_4Ct7Q| zgjSyGeNx4%7Cliy29mEwwK8%x;5FwoBEGT&ZR$fle$j>V&dq*^@Z7O_?k!f{Pk2MP z6nY=PNALmw4PP_~6fh$bn}of6LlE-gu<&PwqWL#>^xl^%MM>*>pF*Hf zsZ~2~y^~~IZhNtOY%Mma98)r#4~DfDRP97XFt2SYG^zB^jKqR?Jdbv86k~!uu332S zMO|IyYL8^kevSB3uY#w>5_Ctk_Std6dElE@R%T`%0bh)i>ERox@xW}l=Kd;oyCK6J zz1mMn>3LDYkf$-;+KeKMa;s2hyHEwKU23v1ti18z@76knGGX5M#IoaCS6af$cCb8t zj0O7|H5^Q|%WtcwRx#AJFRY*+w?x^;|5c|44ng~Aj@Zow#NX#(TEl>Pdx^h7N}SQAzS(UK0>AWW-fPv3YJD{{ua9 zViP9Hezp6fQ&w+$4|df2czcp_0_Z-rGT%9Q`j)gtQ}X_8fjUCFK@U}%pd!OldRXA+ zdO+N&=u#+bRf09(DJ>UP{b({6(Bs;&9B@c++A^LWbhPpZmz9cb7FPFyQqa-rMG*BP z{(M^nE2PsE{2b|N{;mDqucO=QP}={m>%w`&o2_Lj_d{Agj67;G3=OCE_Wg-t(b;)P z*Ey*TN2`v1sg&fMSr%wuaHC$?xBS+o(gFW+t&^dmJwIQs&#a+Hp7AHvp!u#wy$Ssu zwBfm>OkZd)R=G>}>Fid7Z_N(2>bI)7U^FRin0ZAPbVmH+yZ4cEg&jUZBU>-jTh|D$ z9e0Ybys2*HST?(lI_mWctzwH`lAJ3;s!^yX`sk!d$m2JyO&FVpy>tA%?-D|X><3dI`%OOm*RQhxd);RxHNTj1os+jk zG-|^8>!L=ZNZVdc5;zP5ilc3|a^@)xc%8#K& zHU{QS{2|VPIunBPlJ37~vdQ|tsu=m;d(pkh=MVVmolW(tb3{rQa}JNfG;_gNV+_ug zMp;iPk)933nJF?cz5BJs)rO{NuvaW9sW8BK&+YyA;+@27$<*z?vBpk0WBK{AL-m_= zJn@^1s_Wi&YM!hr!pGwZO&qeyCAtDuZyc7**0!WoAnaMR`gND zdt~C9S z+)*32F!gd58$;6#X{2%fDiPR^@}SRwf1r=x_ILR=9Y4!39D zyGbdMj|DxajjiS0XqbJa&?8FK1^qm{oV)f6X*#xysWEj}23OF~@?3;Ism9>s5rCg# zcg6)LNrF_EEi&ppK3-Q{U+xBG=CWPuH#aVrojNWu3JNU*wKu?TbDuYS|F6QippI3@M+ihNzs@IE29p$H-VJORlsWhxIQ^IVUa$? z*PvimMuJFhfG>Rn8OisY97cB_#0tWEBVCtPkY-ZHnxn^i0oWsFP*<|1p!R=%%K_vv-J-snsKXJ)(ztzi_+KCRz*=V@|A_Geab?F0)lhUQOac0A?nCx zCz05xZKDdL(Xa2+Rd+n_6L30BwE>o(`?agp9yD&4I(QL1$RFyi6Zx3#@fzI?7Z}6b zr3>0skGbS}mwgdLTx(b?+%6^;&{c0x9&At9pD$Ho1f$Ti8pbjh%TG-R@Ffz2BxmTv zovi<^zMKZ%-|q2+1Ya)1Y!%JTR%p_fLqvq=7f8*iXX+oxd(1Ejp|rOq&?nrY)`Rr0 zTa>v@-<;YkTfTH{J7~tZyPr}X$%UFB3X_Hj1_2jE=X!Y?Bs^GgFm(N zB5*M<)325wxuMQKWvP2eCHU>ezb&xv;TQ%nYLr|QPgL27ONITI6hTm9Y6MtYvE;Vh zPe>-a_guZaULjNtEnd3^k+CT;7+I$FQu zhdm>T^?;nWRIc?2o4t>dSva=DhG$UbD>1yc()7gvYv_Y`KjB@HMp3u}m(GIJ3oj== z=z0e><_iV0R})fT&3E~hwXa(+Y}{AeBX_#u{#cfV{Ww(Xpq#Q(XRc|g1U`)6<}fXW zs_aGV=5zI!Ae^5ct^fg`yqs8~mrV>8?rsudsqDpa-k%Cd%u6OET@fHzok-T;-h21A67yR0w1=h0(U?Wn zT~B-OYmqXwUeg{bBU#MKe*&+j>j#e(;t|2z$Qz&`C88q7J?y=I)`J0+(-NPNLxYgq z(;{p05MKh#o2HE@$*MM#7Gk`UP(g|Lyq8*5gOQTxqeDvDM8SjBidF8Ew1+v!D-NdX zPt3^p1&JfmahOMxs(N1&AJ!%(UA;q2uX(z@+ry`-QuJ*KIA6j&O)yuec0m=@FHcly zzzIruco#O6gtPd83e>(m0N$x8p+@X|;C4_DrJGjwQUKrh=-uLH|H62#;amxAT!DP4 zFh02$EN)yetvLDz&-hm8bTUf4oe;MB#>Uq=|J9tyhxezBSH`7wMh_u&xe}8udsPe! zVNhx+NYs4kh?BOui8}ct^~=UqzOTCKcmI6xS6|Cbo66&v`8TxNLW%Mc{cypmTO;l~ zjD7r%v359bJw%o`{n&Y7Gp8fGNFMd^E4)-cPYL%iD^VxKDx!pb{gLNHC;-ho~;G}c5ssJip;nnPoZS14+g+693r zuo=EUwfG1vaFttDleGx-vA*pmYLWd!R+iUxMR{HxP+$*yP>MRkU1PpGNXL{#=i=)y z7fP6G5Xj-Gs=TQ}z$xGDbgV4kC#=UD$fj?<4CeycEqi|oH;bIa@?AS~O5i}QxG|ZK zqsXrG`w**|+Nm#cP0sd3T{ko)J-+C+ElwHDKS6?rDXK;RJgv2v0`o7eu?4u%@_M-j zBp28nVOnqI-dMxda9b1BKENtZTLVs;IQ#qp1xmHrB1g=Y)uGQ!eXr{BE|;5bz(0T9 zkP&Lg7|Du1w?(d0wj&Q3znelNag#hTDuV8!^%a0_j9spqqo6O?TQ4M&-1GnA#7(e37mVY$onaLOBs2zWc6w$}eS^j*Hh&2% zD%+M~gE@w#rKAt z1o1aDQCnbc0;=EeA52G9O=rFFKc6~yGNX!AjncW@g(4^I0t7n3^+sQ4;=B!(H4CgP zP|!tC-%ag^O?>|jv}=8)D*kxbZ%F zjfa-@vG8?zBHoZ`JWmTyaO-he?mJBSR$dqPZR$R2()~?sP3q|u6|5(3`jX<)4a~{f z;U~C5bfO(#J!hV|R2lb|_pQR$f*(LFy>)oKRi{P;R*1W8}V;WrJQU zX?+LIi>l5y1`TZjhO1xknot^rk_W^Os^?KWlOq0dR+A&B6QuxW|MpyMC_h`QX4$4l zVRay6Wbh#!Vb7&MH)UpVv7K$rUtMOYWNNC-Q^;Q?4O_l|rPUlvXSPNZ_h2mw#!Of; zR%DWp-E5vPRfrOi-NV8X4wRg(L<*&Lp8lF9Od7S?QJa0Z~fGMyvQuSjhDKYtDi7q zM*=zm+(!e@0v30BU|R=QI{RU2XGUO@mIHP73jKXW>K?H^(@pe~60aH)TC4-Am2ldm}Q3+Zg<1!gLRYlN%Y*FVt zuJQE~t#$_@VxtzxSZ=;gdS60&8$H0AQ)KbtS{@L+l*&4Nn z4Ujg6V1XY|~(LUX3NFL$#kucj3i=wKKU#jAST=s3MEahd(T1wT)9ea2h%M9-wZEpqn+LP zn@*WdA#~IK6tAkLm~~+`&l~aCHcSPWXEwfHG$GL@kM`(ytj6abZFLWWD<*!Yr=(p^ zEq)~_Y)1FAFub44|B*Z-lU^Llqn@j#qOhvTy9f6+(n>CY`+n9l;kq!1?34?N5}vq2 zN8O?-#o4I@RVKg-J`B7C)eL(pZrD@hmk`Hrv&d4(buTo`GlU>^9mnmx({<#lR5FM{ zG-;VCh=(rF@eL#s!6Zx<+Fj`MviN_h1bG_OxRNLa=9+xlD0>FG7!K z9E;4myUWT3`Z8V6-c>hp;_Ftfsk_;${3(dfvlMx(3Vd?%rBb-i#cNl8Gu9c{V_3gA? zafrQBA4>k#-k4u3ic{Os4J|t>4{d+C0##opx$et5{rGmnq^A=E1#!NB=88WdEYulh5w?LJI75|pgQ(Y5> z6P?rh%i7DUs)1>M-v-Y`_*B?@R;raF@N{Os^qUs5{qB?054+zzzg{aY zP87v2eeoc`6-%U{H)%?0ywDP@qpFJ_roN0=k;NQaT#Re8?{Tg4 z1~74Magpf5#ulaLhrC~C0>4-KV5lP`FB>l31L?7mW+R~2ocoyd;*&8;r}%;l@3waV zrh^S(^UE8MIwI&oWk&ok@SApvgUkvxrb%Q)o~j?0LRt0qPUThI-<0?JNiR=|9Tpj9 zNfOoF&FVBaR)*S^oGW;{#0S1lP&-TYvsXj7V>+j%%bdQ`=D>f|dboIF8BHjIJ&C{o zYpmPS-J-eotkMwtz&&r==F%!HaDD%HF`*r%l(CddVH|+|q~Jf6G-KwRXaOE>^)6X} zZCSHo25SYr!`iLO?{gc7qi;pnr;|s)2)H7`cBoH@VAN&VVPEh0LA(6m(;D*-RS`mY zTdj@F6B)pnarn5djawqg7AUqz2Bv9-RL|K5S~JltO6OJmO@tbSHiGE^s=tPcD zfLdH;R)%z+m~n6k#oJwo#AqdfM&quT-FQhl*4LRg&@*Pcp?xr2i)CUJz;jne#?(!P z`)T*CKOTPRvrAlA8{ye;>8+)=+mZ1lMUu%`Z@CLKJ@4&t0TN_d(0i0fR_vT#z!TuZ z(nr6j?gf5P2Lx>})4si?`dm+I&(ZU#=}ByJCC~EAJE!!-H!l7b$iGtG*(&;8VzqN4 zAe=hE+uuT#Vxg|m?DlhmAxI0j`pIx3h4pTYZlq&qCSkI04rV&5a9_9^ zskER!>zK%Z@VsbO-V;>~KZcJJ{8Ru<^gP#(`d0c9kh7h_K zmkOHNtfJ+iB^_|u$Ipr2WVDW)1F%MWQvEZaulb_3V6bvPf99zIq%W}O6S)h(J>wB5 z6+~lhP|es0rfSm=&oGS7eYNz07(Wr09rBDvL_Gc(S9x1IJL1D~h=!H(ee&@2ZAKyh zi_v&pAG4Y4dx%%SZha;(*-558;@bJWse_ zL~HK$NSc*CL{HLzSvVT4i?Qyk_9+ z;@!^t$H14epxN4p4Ds{SCZSm_Y9g2eqED%R}Lu)L^k-F4}!nMV?0X! z#M!QDHi%)pOmq2-fiZM+QQF)3fykoh*0vDAzv#>HFSpjfG^;dGN@`Z89aHOWR&1FQ zOac||ZRu~0r?ws9$-V6Z^=(Bp?XJOtS{;?2s9AXTA)y+1ha z_Dn198s=cCsLJaXFNw@w0-;)vPLwU(ekBXKhg2ve0%$|;RL)1BZw`l_^HPJ*Q1_v^uY}1G$4DskXOD$S)}_>5l-qB>E$N5EyNRM zzp@CxO5LPFF58_b)1hp0ye1x%QghM?FBBC~$&!XdBqlBI*?*?L6xa-JNvR5URqfL2 zaDI-Bg6o1w`tNcLQ4*c9q^<|>qg<#DI6#xzI7uRg>$II9Xl0~m(}_V61aN&>9bN1b zf8m*~j^Luw$;XRCl`i{lSDFQq4h7kgv5R^Vd`b_@Mo5gal(IM8Z@wyQSHTiTJ z{T-2_iopY@`i(vY(v|Sdv6ND1bH}?fF!f@NotvoPqyoXW{V4M~DN38bw_!BDrDP6! z6kYj{__hvZ+Lxf_E1d>?=jp~UgDC<+hkub{RgRsU0LWn`9ZM7hjpf>9en)BU_KRM&m<9gmwL>{I!(np_q9G7z3J&B{=LbFXCX3rJ%*Af4fis2?*6=XS84p0K2 z&Uhg~X@8>DnJV>sNEF9eu&#^HHWIde|6aqI_6lsCY5rXa1a@D~-#7 zK`W!b;u8%+Vz8*}C9iKtV)bsPeDAb5hWv@ol_t25mcVG4M|znr&Jaa=)a#Y(__bE- zJR>BeIv!s;(VHIN`OXw^Vvq+2QD?Lj-GTk{e{T7ettS1S0qMWsOi1rEnm~oWr8_Ve721_hp zty0-n4sbakBJT7w4pg`aVBWXH%Y7G0$M6`qOOG#6+Jt}gu5>}rzM_X0O8h54Kch!B zh3g(ty zEtIA_9+_SHJ|)o^o14mKnzv4Bqrqb1#n}a7jtWzphfrBP{wTm+NTJ4<;B?i>eHh~|7{R_HTx`cnC65n2$fi7=;(KHfVci1_lb&1cpJgW)!mgu8Z(Kw-i#0wG| zUsqN4N<5J0zVaJa-FEDtV7>S`ZfnNKtC|AU1;OI74UHW1Y-$R~=k+`N2a=>mfA^Zh zkLyhk%q=xns*cR~9VE*3W%A=lz@+nV>tw&z#Imz-87xLs5hk%*K)5h3xQ*fVesMoE zGW=d8);r;+q~CGCzmOjCQbKU!|6l~)hh0UROl{`<3L{=g+sn@@$xC|g{|!rB2kjgU z7m8kU*}FZ#Oj!;soW|dJ>YARfPO)dLeO2zD$8OCzp2-oPcIv)poP$FqRwQ1Tzigf? zmI3oTs%*I2>3Y#!nE^S~{L^roEfsst2Xq{z|yhJUQGo;ct{ zJiPjpR8;Np_jg&>saPTpy~zhqPpmFKXwzhBe|N*sSTgg?g=HW0l+0kG-()ae9wmZ54sU-`}LXn0IfU{ro;q^l~f|3!}XP-!#D-jr3d$GF=yVNy#4 zEUgM=Rs}c46WYCW4#`+p{6+Gy%~&D-M=wbs_l$hPOrAVvW?y+R$^Nc2N!MDd(OnwR zDt<2#JP=>ueGXW|*Ud@m#j2sjP({4xnyJxqUiV2M8xN4zA8xZ8wyiKC2J>OL|GhZ^8si@)(dhG%aT^rtnA*2_ zyu|(uq?aLRGCX{=bum>~65Ge0z7yrFip?LC+kMj^JwF zmz1y5cq7csi>|sHo9O(xPPj1so)*q3uP5Oc?#&FJ;d%a>kgwA6``wk+arD_;cP~(f zH$9){pbpR(?UOied6pC}2zy<6KD2WBHQt-INQB&5 zr~&g%`G%dXI(ZJOK$?G$7-!hT9g9}Rs28kNsm#O~%z-p;4E zGq2-@7};=bWC3moR%D$_`^E6SiZS|n-*SYq}R@|?oYF!Z0reX!7~h8K&;3i2E>^{yrni? zk(G=@$0E{Fm&~fVXgJb66{k|qZ8A9(#y1Jh(jLm}K^{OWSF-TOA}_G?M2CiVaEjEg zOztdCTMP4?n+CrDtUKqIs3&uf%4l-AjIMZNav6u`hrxURRI$n{`QZWLdc!CaYE>Px=5A(Bu zXdSGt$8L+ar9a3fPGSyVxqr(*ID8dPWt^*5#7O&AL40)hUfw4(T?seHF<-)a2F8s9 z+Lc&Hn32u+1KnW3jpU{G*XtpEuYFOfM^%m}bIaPCH3y$`8k`e)(AE%q64JhdOI0Mz z(4>f{!Mb`^J3Ce7eP@8GY$KIs_V~+=!!wb8u3R7ftaHx`sZy$${-`Z~$$kB=4fg#= zB^W^RQ5{SZ1Fd!r^4YN%ZUga6mmNcUmDhdoGc;K`e_LKqrp_oFvy=Q;CEZ^wo?KR_ zo*QCE`m#xrJ1;J@-%#BdPX#bZi+a$d{)rZQCC+~~UE38G*bI6(blv({0K(3I;@tp! z%Vyd~(V z9`Ce0`OEvf`CvNg;P22&yLvXE9;rT=c1zK@l*t0Bi)DR<8WrJfZ-tXR>V|^c1JP6Y z@-&NP`b*xf4+t%cJ8aeUtdWJTh{lPxcH9j8oA#>_nd)e=gRvph4px9*wGyxR^lFp- z(gPM197mR)3?`fM(qJg=E1dI3;G>&kS-tNnK_d5bz#a)BaQFzG(gn`^O8a@sMM+VDjA+tCb$N>4^|yVckJ^ z;T$_8&hcvoqAr$vnKcRf8c@O5n~h5X=JYw23n;%^S%7Uixeq-3Rs8%!NqrRdUe|Z7 z(jQBvv}qzuyi5|Y`xB+jRd|`usJD$Z$|0TT0KbPYFI-QkBoG-j$Ru(4RzpX1mYX?@ zFt8Kmn;;yMXc6=5=}WjI$i|P#g~qY9#GZ9}(pM}}I&%NZh^psnFS9S6Z2U_1kP{oS z+wNaFw5@U*`1;TOS|e4Luc9>9*QLSjvMK9XbHlXal6H`te}wkto~VGs&pXtfGEc;K zsJjXx7YVKXukY`L?#CO?E5C&SfC#Cq%Yd_y>B&q?npNx62XE$mQsrhQDe4}=efS82 zb9>`?&(t4rx?J)sWuyaye?j-TA0U=V1XdGNG?*0rso;Uu;A1aUQGY*l5H({&?*OAZ z;A&kV^^32Gd^yc@!S4DJ$OW35KDx>L^`Hg(+frJx6d@LtKBxivMtDCqcq)gGiaki& zA0MS$gK#_^?tXQaI;|Wj?6Q(SV=7rZg?>n^0+U13~uJ^Nh-W% znsDDs<+*t1khdxEA>COpO@KR>7eA=p&7Mbjfdt z(NhpqN;*O9>sD8sts*(&9oG|WPl^!E>a}NDB?0;~-^UtPDOnKPy}aTki_l^Y!}0y4 z*lsAf35m7#dgp+3Ji5WEx!|3$5OZA#a_w)VHlLG4NX1_ovww0?7r{MFI>CK?yVpXY z&{6e)XsK4o55GYd;k!}PA%&5<2otdFhEq$E?w1w}Bu=;cgQDcc^HjXi445S_s7Eqm zy)jgAxJA@L6ai%_97W-Uxl4h(vME(R|NV5l8!P=Sx4b=kTSY1~%U2iIK5^z8LSE(i zLU|?&Ofxep#%%?wLc{^w*@!1V;8lX z^rO0(*%{_3<`jhR!Ey=0%n-Su)+anM^9pNaMJDkf+{X`h!}y_7Ok3k7VG^rsz_oHM zJl7D+w&5ckVG?t>NTe<#P7k7v6g5GX3(Q)IxAEP$>i!C1rNfVpej8wVU4O5=zDf`} zpXc-zoHQ2mO&uZKmB2bpK`lL05<<77j>8s?xYog z1!%t(NEV_ktVH)MPT*$Q*sHlhoUSA~v3Hnnn6(DK6u|eN3^oJX)jc;_Q-W>F^erIP zT_nmjAK($)HI3MZjj2g)PPzA9O)e#EW`RWoHwfPrkMSuI<8e*u;Y8iBKFgzp(R&os-ff<_881HB-5cvKyMUc>{y1)PXC*6K zCVtA@wSkK2AOWB+Z99f|R#=@^ZLDlRl^5Y~JI|RTCO3*R*H=BKp#=f@7IS~kv0$2C z!MdBUD1R|i>1?u|`7Bi}%(i9+JUp)ha1nqII>EsbMIwfHWV8Rq*j_2yolHFlTe9jH zavqKoB~9{Zufgp4lRuJVodvQ*IRY#hm)wpi(eJNgnfyw>FSV)W^91i7vxi!<{WIN_z|8 zCcLXZtz>k(^zRRzh(Cm6bhZ4H-#)E?Kiu1onmxBx)tqu&??ZT80L@`jYUPZ{h8@VL z+~l-6A2Oj~Z^O#MYQAA9*PdUhm|IgSFLkXrklwK5F7+?zcL6{ON6g7^s&uatCa|}4 zl0jIJsGD&TMZtjBIYgNzDLuB*i20~1-?Yjcg4kH0y4~9uV(=VnR)e{PsB_mYb|$@; zJ&@Rbmsms?6Fcm2oAXU3k9VKU5-o)m9;ZAL+oR8v!Ek{n~#7;py zR40jbTEExxH{)pOLu=gc0LobSzDf0Z{krW#aVq^=FX&g_SvJ-c1NRfQ!M7STtBKLY zJvk4J_^Rsy@0a5BnxEQI9{RP2)>NMo{2)FqrLhnQB7@xV*6Cuh(I-JxiFK>CgqEHUtrx z!g_%dS!XU)*b`Ou7hXhNy{=FczCQCQprz2W!DN%Cnvcl3BCy6t@3p7U1@NHpICqqR zqpuX?>{zo8$!gsCdow=zNpt^3A9sy1Sd1-bt@aHDDb9Kf=beyO*TuPwU-i4K7oGK+ z!yaq0`s))CXoO;%w5n^j>L*2XgyOEoi2Y56vPNhD zJ9J$fFtpDpQ0A;$q5P52!X9o_52DJPPu%+y7j~ClR!mC0#^-U%D0V+HXn<%tlh3GKjl<1sYapb*7(7`Op}HC zY42p@=V3N!w)wuGLUV8u$4&Ba0J5-bX(i2qUg%YT#*~M82K)0vD$@t%9Sfk= z{s;m}r>qLgo)GW>YLd zYZ()(p0H%dMe9SxlEGbNzvBqiXdeCAEDw+cR3?o0sd8)Im~pM5cob4HZEAmt+3z_z zb^sbjp{t+mHWaiOvL-%lS}(l$vg}{wftH+cAjLi$>{I)Cv;R0K*n}}EG_O%WErhK! zeGaxiY{2r!7BjoH2fy6LAAq?T@tIakUX{5Xz>$9(a$1+66VtlENrMUe%_0n;1u2x= zqaT=V_Xjn($vH7|?K&=ymbp_;Z>$TgL(ihHh|*hve` z2bcY%$}iv>OVe+syN}HVuJ4v~4_upg&g!pVIg~vmSjs!v#7+G&k$WS4sQGcW<2E2A zADUP|uz=8JJb4d45KVK%zl*c9qyHTI^&pX6dkp#X){(D9usP%R(0J`iOIWNei@7`)oZ(Q5vQ+VU6>PDrUxB6 zd0G$!GAQYoqSC=*`N@>kripCwe8X6d-Dj!VX%@7%J=+NUhmPQUH=vzzO!+yBF+}O5 zRu3%7f!n#lAj*h(KMLO5`{!fFwv=~@Mr2jm`~QBWvE04iDi|m<0kKmSVNr&IRRg@V zlUU%=5EK?g_M=TIQ}7h!$i})$PvS?kX?$b2rkGidI2%52j0i3^dxuG@L!Bf z&PbnrYpb%;gysaUoSQaLLZ{MH3Gz1bQMGsj0CuH4m%icRo#Rv2IdzVkG7)aT(g|@h z5u?Tcl(?5qj>*xLRsknC>nBzbtrWZ_b_;ZASD3+ifd+f`4oqrJtGY|J_h_>z%Fsra z(D`SaK;7Wa2EeEv4e?8#17}0>uPiku8{X>aIB{Hb^ZQqvhR5lAJ2?VUT@VoZr^LGK zuU=L?m$FC$R2TRNQhh95f_~kqZMXG7mDX~)aPP+}!`PnBOyZ?WW<7T2<;`TD7IQb_ z7UVlK9=rv9PyS1jH2oI#iFcr5NQNDQ3*AgMlIyyT4rFkLsHr@@M&a!>Th@)y2l!M2R(^6G;?+w zeKMj#aMeOSLy-`_l{qFShk2Wc6nC6xo%-L6KS80P17%>a4h z5Q6ks9kCX9;{fe?mR{{z9f6H^gMU)Mds`{DIKj;r4`ykqu6+Iz!{VGhdH8&^tKR(jl7BM`WE$sXV;2Yrdip!FRvB3 zok|MgK8JL!X*-?@<;Vn{m6TuRHpLk8qAA$caO~ZUy#0_GGfKj=F|? zks#fxnCgf+BzwbS!-BBJft(W3N}hkJl8@_eN3+Xi;Rr}8%OOQk$~d^wrk0Px<^`$s z`A#Nj?Ru#~Y8>qBT0-f~>A}JMlZbV{%rg=S6m) z9?_#n)=aJ2nM?KjNx2V+_&{uEuZeK}9HLiqC$utM>vonS|7=C^gSES5UW~~}P(%}; z7OTGsy*OU8l#;JcXsKS1c{oJ(uM4s!(mjOp>tJak7iFEHllkX3^3VT-{L&pYiSxSy zPD*be|M0q|!-LLavMR;T?7Ai^&&ZuIS(?{1l_f=YAPjM+Gn1iIirf|;W8(7wUM@r) z8)9B$;}E?mJvBgd-X_FzBNqo*y3=Ez(wdea3K*1i9IbqPm^dGqaax_5UvSpfx&^h4uV)?VP!H%F=oL*fylk zxmmJHw-tAg<&b8$q6|>er_cH^?s-0jtT+uUb29(%N_gI@fqVG-I}<$`AFQvd{T$a! znnz0`59*{3s5BBm_Byxw&RMarh zNmfd01{{5(CqVSOrK)Esz1GO9BO2b}91#2$&D0u)sJOYZzq@w@-v(56~C;DEGI z>5$3FvU4)q{mQAzYxf~wwKo>t*i&~rCh2kP*~p?Cdp2@>&@d%_-%20&3~DX-((-Ga zK-Gx8L=tK?SXz5thhG(r$jU|Dx3K{^NNq0Hd{B?okWU0YMqlFqRzmD;_Y#uQtwrFO z^i8uYP@C=X&DKWl4EmsVT+0$++dmU@ZbXY|sGlLV)KGUmAqe*_m?}aVxrubaoV5A9nfSBh? zD$GnoeYltV;LOAM=)8J{fV+8Y17%)v?pm+)pSeA4`D6vbCiCI4v)tgW{h3`6M^}~S z9BeuBdaYB?*~-cIT$bBP01blg9C|&3dPafm_PWLLzFe|nr$esjQg&;hd*qLzlC&(Ab z(jy4xAcDM{AkQJlVFcmlM9i6c_^%>9cxvy-Ja(L4>d_!CBgnA?IhY`9`$>d@c^gkA zi>)}^5%g#{FC@rZg6x+eUjH-_eVEcNJ?ahYmg&q*`t<4zeN8IxQ9yvW92`y7zl#RU zAeT@n_%!js`_!I{YD2BsY6!pW{&?byCkQo_zxM~Iao&_T^G=W36X#En&T)25E~&oTq&_GtT)`_xj#_t41LQcQp=Wj?cL%C8*k8Tm3=WoBK1HYwV#DU6fYstCV4v zXRD=qZ^v;!Q^#r}-o&}AMv7%|Sr*S?MJq(OSHL*v!Fn>CaaHQv2sA7qDcz>gQbKg^ z;@leqwefkino;ARt^>qz^nD_I3|!*qT=zocb1K=@1c=(6b%vI(OCegflsYRcSgMdI z`HT|BzjL&pex51+931=uz3P0om?^#5g~L=c1>xtp8Sa#Z3H=?s93E&yoPWt%MfU3b<6buC#64-J~sv&5j=OOE!~DJ zJ&p8Pi*R-#ZyeE6x~pWCvNx_QCi)ErUy!VIUO!83y%FEG(4AOrk1>z8HflbfA}cnd zNLZ7oK1o#jk?kA_Uw6axXm;I7kn_p&yl(LFOx4={!@wt{i+k!*dt+;|^gE=_+Jv(U zd1Hef(k}`+i?BCVBfRbzkDcK4hIazxE(L z4B3)!wkOE5GNg^4Edq{yiJSVFdj%YknVNy&IAs4^K=H$~D~FJVhZ1Bff^hu2h;VrB z;^~C*I)ZSt+;?VRcuw!p#ZcVJ`z`v|!<}Z36+=BHa*O6W;uLtmNl7=esrq$|teKg# z@w`LEajJ=Q>M|KA^J(P7fRobdLApM>p?Q+{1VIFxA=#yiU{$9}GrJz5^CUIS{fO!k zqB^-4jvAjw6a!s@Y^0A}YgsR%nTqd`rB6|kQlDn{B{;XD5j-u$6}UszM7oT8=zAYI zPD&@>G$&B$>|Evnpy`bEqLeOjrCM4;?ng6A*v+QKc{%y;2Re08WD@lF~kp@oTr@w>q$N znA!Dr^2W+aS>v3U%X=&9NTtFR@W5vxU6pH5#~G8)h6qpTpP^+F0|`fSj!kt=lK zujJeazstFD@Q(=Ugpx`nP|bfvhz*fXX8*PNY=+aEU}+;9;nxt=^#P(SNQOWscFS#Ev-L<=xt>6%oec4S8L(czDoZ8PPQQ3K)K1m0T>yy%tLd?&rkK|PPZEo@*-lg(nmcE(F z@_QfU819X}{is^!xDWZ*;QNfV?aStR3{cYtvP(V_Y1`}{#~G6?LJrzmET;sYMn0`Y zu9TJ1)5C7+B6k&4fJr|#)aoKP=^SIt$K|*_RwzmwVARrO&_4tY?m(7)|2@nA^PaK& zd&@QFD}QHlT*EQ>MJTylz2(hvZ`r9Dxipj=eY=Xr!beamJSSV}mg-vKAl-VxxQ}F> z@3a4W%u^B0Q}mxQfBp=WroUxfT3NB?pIwbm zdcJM-T92sxD6;gdLV-t+td`h@`t$4A?IBKanW?n*rT%fa zwO`r|4-kwQYaD*N^Ow2z4m{(-D**A1vnRv3nK(Z|5$b$@D{;oix5n9;_<(NIf!nrI% z;1TfAJvIYQO4yUxfhLRfxl|EquWn3z;~$Vdyf$nz^5NDb{oAgL9!;Oi3Br~>h@{^{ zIyzwI?sWn9IAhq7uI%uf7VN;#(!GI&eD~Fe-NZ>Cue3@)Nnr!Df;p?XI z;NNV&l_2jY+qvH0I^oX1C#6e!>Qj3)`cP!)SUJ2ZtyEdJc+_Wk@eP{Cj&FSH9`)K5 z!((tasPwCIdRF?d5#QOhjZDm5mQ)kz7hzo4$QJ{Sw(lXv8d+X(JfltLV=|S?(x;_r zSE-N4PT?toejQRFHO^=AxS-{OT`p@`>(bL4Uf1+@^5NpP`tcST`NGY$H`b%4R9BG? zr?>iX|B&zc?N{>ZuT`1a^N$QGg6wTm=nOoI!#4*j8hLlV?|G`b#Ah6*nn=3@>9)$E z=6o*DbAqf!PR^VGv^e~sLO|uR^vMzV@JjOGz8YgS)pZnykD%w>7wbAJPbPhK1!?K| z*%hRvH%7ODbPXz%_@as@i_AAt^xi)|Z3mv=JVwvz5{J3LvRpL7FL`k@`-#-;OUG=H?oJ6gIOUBW>(HBzN z6{!kW%mC-oik35==0iv?^|8I+915HwFtR>vJ^;^b-?U|(ArHgNoDAm!AqPd`im8;A zFT|OK52RL)_hI;&3(}*>@>xFI03@Y1>RIiLU9vu{H!yUlz2PD6IAeo%_Q4g&I6IC* zL@uJ$KG&)hC1+i?gU6gXnWb1^3mli#$XoN3LsHr-w0iy4%08J^^L{0Bh5}{LB+;4I27dY#;kjT%x zR`vy!|OdHjZQCvmwH})=_^f=Z?o=Bm7lstnaP!eWun?KPGeb z+)3%vVf5F?p`;;d$Wot_4y0K9v$8C4^b6yI+=nEOgxjg!_;1qZ^F}bFYGixjgVoNE z<(yMGPftsT&<-m4mQ5HB>K=fZ2PiF8FVB#c^M^C%7UyEc!Bq>{BKT0`zTBb0fc@Hsz%ON96p_ zd$&D5OFsH8j4n8aE=cCXohS$2ZMO@YGYN7#BVj`;`2ma4SWs7eTMEddIu$#6<4)2DDi`{~ z!N51I?Yw5-hoO#2X;;;?C3)@(mY5H@R}k}E*LCOIYpEiR z40eAlJuh;kyK5-xQv^AXAZsb4=EMD{H)VZ1m&fGjz^5IJ;RY;CX~TeHxm?SNJrI!% zvn=ORFv*-{ut$f(+sK>=NL*1SGRN5trLSCst4ZfwjP_S6YD<5XAz)|z*(Gysy?Stl zv^Y;BD)hBHfseiiO|nq4l{mW306~huagNNR3CDThxFCMMi8P#EtX@rgc*f(lY(?u; zj@2(^K5kb^v1iqEd`sfS%-N}QPM9FL=gB>lm9}tUe%n!#yU$ z@0GYdDSZN`njB|LI97j`TYTWbij>yCX-?ODY+BlcI*am~i|)j{SYe zRNGQty_o#YoK<+x5M|JLnRInVfbg1s?8oTIs7|LoKde%=saR#3n z$JYZuC)0;}Df^IrM)$tMzoAG@rVsXSsUhs2h55cid|E29`--lg`*2U~RyxeAC>}{= zKXbHrzZaB7#W=kBNW1Dm7W{);c0MUZ>CNC9G_{71RmTRXYCchx)tNOPE*I-Kf*#E- zw2Tfkh>tG-H3;{A)^L&`PMqsbX>P#Ly{bsoyNcp%vYt=lcf1?u$#5R+mwGhF?ZkQg zMb5d-!B?uTbq@AG`?zA%9Iq zWco2ydP9caUW7d4*L2_U>9(}A!Xg0>Xkf7sOH)c*-kk@IR=yZg!pn2RystrY`D&;| z*<*1;R$?q!ey-ygcFaG7$9nu8D|6;ITN#HTecpa$D&*-@b|Ft02fUE16kOdSHVohX z`Zf~-GPK{RRUW*p>~L+4)>d<3eZ%dN}+(XW%}ujU-zrP9?Sj*zKzg)KpN9sW5F zYLQH5-ckIr7A1RwrLXdbsNu;EZ^?}FpVdT%8RUGDezPO!(QrE6FDP7OIQJvzU&VB4 zPKNVUztp2ac;EPQei}9W0a3*_Rz$u|IIQz`$kMaOipz-)=jk8xL6M`G6<;Jje}nw| zOOnoSX>n|Ph&22*Nxzr;%xmy?SN2tO0qX&c%Q%>-;lE81hla2Pz)fy`OO6 z_(CMUk)cmri{X~(^JmiVFyg!$X;>^v$yQ(&Vn;L17yday{63C`^YUUSWsoAkGtOEC znc*BjvOY>U*rUUfQSqL`J5s(s)=BheRP6cUg{Mh1++Rl=&dT`s6^;h^)B{?WL9iFD zCxg7LcywftvvlI7#`zts*ZQ<0=+US)r}FhS^3NRd>RLrM8=t4^P~4dtjbpGj-$Ik1by`RJ5lWwa6~R8oX63M z@n@2sA=Q(4qi}Qf&n4t%-ka_SwV<}*q0Uy1;H-N-(5Qbs((sX#@45^v*`1D%Uo`es$wVaA!?6xf_(akQL5(odrpb2&JgtaC#yi(E&M@a~Yywj00m zGCt3veBZ_qhO(|EKW|N?sUXT4aw~j9fn?ILudFA7_!tdPKy+tdN63&yE+^Z!q#CBBATdv!|4 zu?**#)Gj}W$~(Wq^3&X2psa$Aes>_mPfEORbKH5dp}plrd{0zpX&Rm!IE%b<+--22 z-{~OMVMfKR`D&D3Y}a2Ycd)N4taMAecHR6xE!{QF&HAte5a)qb2iefnD1=ik$0xjeew*I#F!Hw~O` zp66QYXBwk*?(qC`5k9$eui+$mG|v2Nv>57T9IX<9tl8&!=1B8lA3x)HL0w11CkTzK zNPa$>dcyo%@7a{6hY{p<;@s!C-e@`3b?@cmpFYp^ct=h4Gx&HyevR_EUSEAm+q<6Y zEslIjABt?^mwL2PF#Q-Sy`lX>UwoJm4)9!Q^+Mc*b%F^=kXF20 zMtpcR%N;+B8eUISHxQ)H3a;0XrC%f~c*L7qm$MdCx!?AG(`FVOP zxNfD0`XfTe+=@&0<`hxqQ#{YqO1Te^ zpLwTZUeR$U+5P~E=OuBa+S#P5Cbp=YcYtcfkF2tMXcO$#_+3|~sitG8^3FkuMd}erxCvsz6D*|HZlhXPj zHX6B;SCj>uuGN?;Q(mk_8Ww<@JFhE1=AUPh6}uI|YB=9i9E`+4Y*xf)>y1oMUH21O zCwvIW;&n|kwHk9nS}AucNxyq+NxX${^($^Veye-dW@g*9Aw@hu7_Vf;b;dB?Y@l)OAAKU!sxqip`iz*4yxa96VC4m@)E7c+_Pq>U$uUI71faUofX<2 zpmlnOQK>wQym}Ksrnf@-K@V(m!*b_pnwR(h$(miEZC*2v&92Zcy}@-R_hkC4(B6&O zxOZzkU)QTo3uFAIV~?rbXhrm!+K|unJnxoy6G<o97CwHgaGKfjxEC~+0F=` zvEfjY?pHWY2AQexdy(x~)>{klCY{H^oP)QJrA3E2bAADF=GlYWiBHiP%zRcR9DWYM z^M}78Dz^Pd((nwTioJIcMF}wDTYnihkFnX`|#t0 z!?x@2E7*Q1X}Bxl@cIqTizgA)gNQTR#WQ#}5+BAXnz-yAUUA2>`h_}~N{3d#8;o;% z0h+9I-%fn`tniQTAc#zFPq5pl73RLgL&%Cnt24hzF;m`{e4g>xR+{lSS0~mTW)R-pVp$rW@>{*m z14rYt5|v7R-}Cr?MCo_aTbazcPm5NVK54n=!)J6YV|)+D_9}O4&4+#3uXj<)xVbYK z=EY3DOXbW>!^8Y?D65ao+*wv44Wicp=jPGFqbmT}%bd|}}-i@E}k z{XD%oVWxV^vtx%c2f2ovDL*fXoY$aU$|q*W4uf!+tSocso~}J;T z+f*rCrr9}_Oy{$iYGQlSPV28X>mAb!_dcrlEtUc_In9NtN9+C1D;Sy|Jn*pN z+@p|I;@uf%{Q(Yah8>ut#8}kEnhphI7HU3VKOj;8wWnt8GeB|PdJKDrr)DXT*48E91-_7NXShOu;|}7jg(`5+#?D{64%r^JI&qk#0P3>sDdW5?#LIf% zV(X@Wiz~dJhvP*9zBt1V-vR836Hx7{rU3`NskW6~NpH3FH2Q78`P=~4W&K4#D6!k9 ztL#2-uGPPm^2-VV&P#?ZGH_H>0(5KrwUlTLT1u=Mb(~hO76<-%k>bE-*Xyy(!HpFH z9c}Fa&hSG5t}c-(@)Z)GQC$3*^h944e>z)9-iM#vjua$Ozo9&7^GP#7A>U`t~rN;QRS0NvzTQmV?EU1H6O%C~*8-<(>Jd zbw^5nIG`^G4$~V~z=8$i0DHF7 zKOEbh9j><5Uk!yH_E$duTF>P!r?=WD!paHY%xm-kUeF#1=V(=1Z|f_c18-kjfhS@=ypFa0d6=^sluLxrI`@nubN&=l|n8a9nT15h%CTU(3B6B|+9y zBdM9hxmcM&pM5mk+p*Evs;)!L)5xo>^asyi^wvWAj^4llxYOeRYW(zt#zF4XV>-Y4 zF|`8x%e$?n56iR|saalvZgu^E#@0k5p8!2iGYZgl<`huOs{@MoZ21DhxZThN=Kwvx zyRDxb(pQIW%@$wi{P@g$v2eW8Ev*~c?cZ+PZfG}$Zs>w@=;k{rXx}_W-M5zh;W*W7 z2yl3gWM-gGQ{Lb-Rhx-?*g$Iw_^qC&Sg>&<_lv zV*{N4#SY&s6!2ocV$NOeU@tJa1jIGc<3Z8GO{1ZTAs+ z`KB*$#+3-8kvr9|E%dP&IyQ_x;J#6zt&d=3YxlZ}>2_Pbz$T9OQP~asPSGEZ(8KJ; zl`1yy2E1eU0sXrETAU9m4!rQeL39BVI3LPhIHdATHxzqwfx`wvTxj8Q7z)hZ1r$C& zjZu$ua0k%o<1RRd`O&Of+WbJfrMb`kT=~rB{C=k%vZ#Y3JViim9SY!}R08^u3~fCL z9F#Bkw{6=1#d;`@65{|U=6wJ~joQWxN_-ptd+C)?0P|e^;rQCY5j=2piqhlwI{meL zPC-Zx;J7z+{R(cd>oZCP3*Z?mV}N#h2IFzi&C3UnjvqM8>H}}pF)~{J>;U$|n8kj3 z(BQ*^8W(5yA-D0XpCO}V2lO7zW5WaP!i;3dL+rg-we^T>ZSjblNedy(*DiL~471Yu z#mds|NpDr!wLqoC^_(LrV#KoT&FoQ)BYwEsmM`>5QDo=8H;K4yOUH)F2W1BH+-)s> zwn6~iXDAa|<5z0}2=D-HZNU|4dcV_Ztv3evIJQt&wxG5^=4P4CR6S#8jVD2%LG56g zlu)$#t$sL%eszDId)&Zzl>XsZ&sd>V1@uCdYG=55fc~{D9kglhS#ARhKf8y2{C3ov zfPQ{puS0#Dk9OJcfU}w29^fiX3w?ot0Nts=-9tg>H9lT5uf_eXasYId0TfjD{Z{{4 z-QS>)mf{5E3JQB>0{uX7ZsxOh#a&Scxa%oK%i%{EdcXkcGEdVh%QZmIr+^etTI2#c z6(w@{QA&x}v>b$ffc{;7fZFcq4n>~h9OD(!4_8Q#hA~YG$e6n9J)@10=JEj1;d4%t0+HM)TjOaih3UUpf)!h zz+t0&{=K1Qz(E3E)TnK2crT>x?*j^&1NGP9`Z>md28FLy(?1+9t)SSA4$!lQP-wQE zUQKS>l00gGw_xGC`+7^dg~(CN`QwPyt8ElKUm<{^?l&mBvDSR#cb~DCdwJe{+}g{t zd@V8;wI2{2>*ybjbuVOBg#h~HVeH^)lMJ0nT*Tz+^Nh)Qe6;x1uiUGex_+%~n;lDg zO@&%z@t7?@+`nJr6G!`24|K!Tbn4fc%x^^3yldTAY1Y4*Y8DE)>Gj~HRgE{DKGTxx z_0kUu-j`T%{V-dy+Wz8#wMh%tlZfAK^@k&#*S3A(`gyI_;8*MX!lJ^3T>KXFnX&WP zON}yX02vrR_{UkFXU~fpALxzf(JUH_Punpvpl9n3qt?;SIzk$rszKVK)B$?HCsWNr z0uSw7A6(@5D*A_G-KVc-A;Akc>*nKBxWL~_bpTB}`UJG@BjY}P%j&H*cH0@%Qs(Ce zGnuZ*^k*f(0X}-H{&2p%{_G6U%d+R5K7;}X?;#`qf1pRh1@x)<1GJvg*;pYiqs8^y z!<`n2IlRT$N3agRI_3~vfMRu(-Os1%q}T)oOe-{)ghg|>X|SwKGU zF!WKT!#Q8`IG{hu&;#_ml{pi$#o#`@dFBP9yhzF6+m{X6GPnL5Jpt6ddg;)!G8DM2 zPZ$@`>UocuZ^H%j@A|`?sb;=`i&<>oPM-sI;RlDQ=C~oPdNQdyx7JeCIW9 z4W9wG`%Qp)j@xnl{Q$ICtq%TNug|I(NQ7oH&0)d$F1QulIxG%Qwhd)+b^XI}CZ8sN z-#YqRlKA4;1@ygi7}fuuy)%Kj1tB+(Zm?TJkJuEDS*HNnIUigzS{fS_user z@_l`$zI5HS&OP7x*FL+dcI~R#wQD#$4IUYT?%E?Qr9Sk`gN`1@+v`Vq`J--5v{@jv z*7mm?P*N92X>Q}_($kKe$C%WQh9`Py`D(pT3paY+dc7D-+v-K>H<25E)VCaUfsWkl zsOtLgMm*?FjE++C8z%@cex zj2ISw0)z5g(iVg2K9lfcFyhSESk(h< zSiA6 z>=6h_u5B_vI;jOb#eSMwt)VZH1l_`3qOAX0(APQooS>Wn0qyFhr-cJjKh>^@Ep_DZ ztk=C)*+Z#&phw!pz34Xb<;jH7sSV^hB}p%ibWRqTeZ*yUilEMW!d_XREV&yKm(V7+Yt=_KC4isK+z?Tk(Ld!2MIAp89+02=j zU%Qk)V_2@<{EDaK(~x#j3ZJh!%5IiKk9PEPU6dRiX?zFg8*kO{&|REE&Y+T=DULoW z(g?|ojy#DMsl4d-v5{b}`KJu((rz3|>)4ANkRlf~6TLO1?$pr3tnkyCKgnu5q3kU{ zBiIpH-s9clJ(OOQP~I)IhtO|(C?kQ=%7Gf#v5?C*3wQxE*2<^V018hic)$GODfT5K zE$HZ481y|QJ*0`V%`=~;czf^xOJ(kFApN8(_bhr9%ej>CVbo8_?3O{z0bKh6cbDVY`LC+l$-CJn) zHl|n`+gs%=@+FoVEjG~Ug5K-sG(qok^nZ0w-sbu@`&T5vlh(0K4JlNFozQtQCY|@* zWvL_Pq2>jH_Jiy7TxRpFw<)V$I?TVWDXBJtun1A4*-=i_>)N;}gdr(GlBmv*2 z>>njHl6=YI9OFwKAFw>6{+?z?YC1B`Fy30yQ?c(zFW1Yz2L+VtS?t`e@7x288JQb8 zX$v&kv#SMtmvcH_(5)PWZ`P1ylP_}J*wRU9=osa%Of;Y;nx>UpKuh0=ObAJIYyX|C zJ+#|7N-L1GND>a$by1!Uu?wCjbWuv?4fc<_?nIhv2LQ`Bj^vqe)u!$$dG7e$XYtLjmG+%*~~DT|%1 zYJY8SA%tpsg{K+x;%+W@+SgIF%2>li=tSU4S*%kni;32X4YqG7gZW+-3%cdDTTUb z;($~(wSU~z`qoQnH7GvCgM!xk~h9|lu58tI3`M%QlQpP9S#V7)wW~uX_Tu5p3 zeVWAd1G~mM4dJh))lWGvnnVtX(rT>f?T%WNF}lw2Beb*a;;wdSSmNh(r4G$BryWVY zq<*BmkffDsk}*&J&32CBlgwGTWzQ#xM9?~Po8PqSS>nH{qQ7yJGEQ37TfyPYU6iNr zeO+szg%*_ci0F9QIiNc^n%)Ia#&ppBhj766LMS207Z(~RSE7^-2)1#eqzshMMDaX< z;A2d5uno_f2iOJePlWb^jusy^;an42JO0aZh@{uHt4IZ%InXi&T6&|%DL(5WLy-Qx z+Z$LRW{tVunBcF(=Urlk>w}K2*FizJ9fXm@l=03mH>0dhz(jJJ?gbzJuQJUhK>%UiUy>@3ALJdVX&F zxlaTiHtC#5KKF^3-k816vG81zJh@pqPdsnF-8qaTUvzz@*N{qJU8#%i07E#FtF=bJh-kv#RIncnHHXT7u~gKczDHs3ic5dH0^ zjp~(eYol^x(9eu;y^UHL|G`hCjJNQT*EVYSd}HsQ>g4cz$Y^R^Cx_3M@i_cd4rAL^ z_u98~dQ&S!>|n-J#mWT*;lfWrZWh^<>ouqPJFH|P^eg=9SjfElc^f72?sFb`Y$r6V z<-tJ{_B1PKL2 z@`*m^v`e>Eme`gB4rm;CTb?~?lm)9UnjBVGA@=1Bbs}? z;|UI>z2^yDtteN5=PI~;8_5P_Y%lYiE? z5g%(li|&CUOHe$#Ny{o%P`pct#tuE^#S(qeX^A<}=yTM&96JY)NYX~{#TxfSX&XsH zDJ{~_=+=(jDd;pu?-O(bM}H^i+KxUY=<1HXBMx zekl-qLZHktC5PC}X9*mDQi{+%Cg^Jhap=Tt|G0nFuFUb5?ajgHF^=XwK4^||^f2KN z`vX7UMac!0CGRxp6gi}HXfZ!-(m^LmX@YQtrhp>5BMiuq%CV+zyc7LH**g~RF23)K zcMDf2;$36W;k<~zPMm~pYABqem#Xhsiyr76UhSlncc=TijF3u=0gd1Kg^t*VHc@)a zD>^x$B)E`J`Nh+A{OjQS=m@(jlc!D0Afv$p-? zeot4bJZ<8kHwYSDBwdR=%W3PLp??t$bDZ{aLEqu1da~Z*=!IQc()=EKB8ABTbgt80 zCbYXbda0m$IC`d_gIAEk_q|U04?_DsM=uaG&e}P(i;~XRrH9R4$^!IU=Rj@}{i&n6 zAMZRz_Y>N)mx6XL;c&il(3H)y3 zCus0}y`T>}?S_Ir;OLYNN=#gO5~z_lP8h95q2lS&@>E(x=m|UABt!oCUxg7I&hXS7 zBx(MEqdNcoV@Ka7w1FxI+DlTFV<*x}%LqEnt}LZH9i1sC<49?E*E{KWKgp7kyaE{&D~JE?=HPcY3j-b~k$7xkNbZ=crm& z?{_qJJ@Dqij-DB=o zWtBG^G`+E|Puq3A4gjsz`Pq(Y&k%XLSYnG_zTA^?UwgmB*wfs zmL6D#&Jdk!y-3l?=7}zH7@nUVJv+hli@Ua|VJoW@AMNk2MJMZh%QnUnzG`#Lb2Pm^ z(9~j-%=4BDQxrnm#em@JIbvVjG@?2j5sj)lV;dgQTHu zuSY+hwlcB3)$^uuh+gmIdTCl?)oef1dTirGOE2=crIU0&-%T&*%65U8 zbI5Z6I^Mo^(!e!Q(u*F%sXJ=5ZDJvWs-}*&Z<`ct2X2vWF$)83c9DDjBN(fh98FhGdW!4v>F>@M>w#5Hff_xebzz?3JonR z?P_{wKxqj;uMk>B5}@n?P7ZH(^cRBSe}@xm8Im?ydv?bqiia6mR!$^}PX&}16D7T% zNHx)y99>;d13R>utz05+B?{1E!*Am(`dsbxCX3vTo(3>xG^oCzt zXi0_@U5&7z=HR|z>OINcEFMI-@D?=zbedg-Hz>9}Xq)MJWzNh|#uI5Kk@W6lDvM6) zzU+XWG{1kb6nPsS_-{8<>!hbA7b0W7MsU4qDoY zl-ZV!>bSGbaj8&r+LUv}UFD^w=&DOCeC5U0xPur6Og$q&LQ~ zTIXkZj&*iuD>%KeqB zWhC@T57qsZ-?EnoeZfP^NicBb;^Y!SpZ8F{@SX84{*~_IB{aUY%?`j$o5rghu5g}i zD4Zj-yvrDp(7*P0$!DHG)AEUI`YX?`N|_wKSb2U)Lch3JsJ{C-4~-JL$I`Oo@+{v~ zkMfN3hDNVEHLc^7&p7F4U6V}C$3A2_j3hwQJJJtIO@V{XXSdn&B9-x;J*QS;JG2ri zv#!Wt*;(H}>9EFwZ^dwrvwrTk=RA97u7}2|#BUg7Y2A2dj)%rcKo8jSl0!K`CqsAj zcWG+5F0v~_`uHT2a&1`3>g@@(4I41#t($D&zN^lw5!x^BXYaD%2&@~-> zM$lCqeL>Kb9Oa0QqT$E^RVT+Q3HpKsf$}BlqeoZ~z*#rMDy|F6^Qur$JX_qP2t5 z5W5rTuQFxyIndH8Bs#^>;xC9alvQ*C&;8>~&IaTD8M_Jxp1xxIxE}`C$-zMMa6x1D z(&q&|(m5nw(s6Vvi;gtMvnzp@dS?@*S*?KzZkgPCSu{<2;_QU=|rgNgou!G3+Md%h1KWNhLJ&eO*ff@s%6| z+APLWpF`fXHraBh#fZ!(g_E7)Ad_g)h7L4~yyzJ^p3>=6zG&za`^WvZu6%jg!Y=Op ztYf@MIK--wTyq0I*4zw^E#{RL3WqsPt1}U?g6eXi-OXt)6?9KWFRP-uE@fY*y+mmD zaI{XV`}$+=`**q=(BVVvi5#CV=w8luCxSYyGPGBE50!jDW6$A^LVK0dULbtu0rDRW z;2Aw+I|%L7PMdjyZ{+P_;Se&rNYHmU-(0%^hru&b1JkRyh?JcreBa}Ix0dwY;ptV{ z`us4X3tm3k-YJsgXEw75%hPCt}p0=j;LxoKPKq69Q}l#$2&TBAOk@YZHVww&74`#E1lr#q^7YmzMb)g)QuFm^hLS7LqB zd}{g&-5LOj^#h9CQ+|Q&dq^@1iBY7cE)Cb%$|LYW3L7K%loLS=`*~zP39hWz#*q z+IMg7sJ0KgIND2(9`?i45*uw4Da$sBC)R#(zg%deM_(xDu1@<4LBm^jfuQx)=uezO z`k072ylUDS9qhEH3*V4VUB2qeWc&($m2cQaYHNfY)sKADmW$Yw10!J4z)G&v$?(gi z{|uUWPOGQD&2se8DhHKh^b8Ayc2DPUrl5c9=!JsD+WRKoQf^JY6Gwls9o9B&o=8^P z`@I(Saw>MS&q@orj?1jAN+@;HRKD5DrV}r>LWDjx>@)5C!_#n2SCY`i`3|=Vx}|HM zdS@6H@{aP|)=?eREsZUwW5}?A6b)OhZl9?=8CIK)7Q@q!^M&LotO~Ue!xwa(NN1|& zSYxY?ZX*q9@62`%I_910=w%XH*io9^@G<>VXd`cG1=UBwf9kP)UpVyI{vxv|i@F`v z%Qzr3O6SIh)}4B6It~cFdX9aRg^mtl)?TgG7#-BD*Ivc}2e^EHBJ!=5#o%!O{XAAH z{ro{)4xne)r6^^wvHjy-myV7Zwl+FwwS=P1YweCP!}7fT0a?AtW!B_dbmx+;P9mLX zqtxTQuggs9P>h7z`jR5aln%8z#>d%bfrh7{tuHAu({(H7xSqB3C1nn9yw;b{J8fbY z8cTaweu2jM!EY9nULV@G2}++0iccsxU_XIkWhIKuIhaGBng-4oh8F8$Fozulz0zqp zpEzlQgXRJ&5WcxOgdDRv1QZ)7IWW%x%9SXq=s>ddR5{zJ^`p7f<|g zf5P}DN^G>w=L_1_>=g;MHnp^+=bDC~C9O>@t>?10X744dzn!%mR!T*8DKBa_=-Pmt z+7J3WM>iDoUPm_*^ma$_>L&+g65z`iD$%wEuGEqy-y(-^xt4rFwB&e44;S<(N9oN{ zqniE}Nq*0z^D&W5lW&m@J`h?Xdh67kvmC{nk|=Yl(Ef#>!-Mc21R zn08pbDB*i;>*b1c($Ym%``N`^(dmvhNfzm(6_2dK)2lLTk}R?czrD_EhflXjvPen& z;EcD?tcBK!W}Dh1S)>vxYOtA8I$OESnk0*q7(1kNLT1-g(PdYXz0B*)_LA2byqHDA zYDqa@yHK;RS`rR+nym0>z0j2zC}UF4rCXT{2Ug;aG+(41E1rix zKQvl(DE)|}H)d=u?aCK4TKk-n0F8cAXQ0E6sC{hI0G*ePHIb)DZ1asb{1*rstwxh% zkE0}59I+{fw|W}tnyNZE&uMjC#Vkivt3%i8nz~R@ zwx@GAQ_!}Kv}i|@Z;?aO-y(--t6Td}Yt-_qjN<=abp7Hfrdd@*z#Vx83AWv}E}8tv+hj(QVZ3e*B*Gk+6<#o6n|{;?TbMWnGfk16)(AM_`=< zYksh4_~uN0k>(_GUlRIz59PekJj*lA0maA3yY~>-;R@$XopXd91xYtFzDN_HCv-w# z&%5zenHP*lo=QqexIiKQvi-}GOykQX&&xZUa=<&Fyu;T{GJi){wg-m7G1EAZBLOE$ zXr%Eu=d9l~S^OI;$H}|#wVrtQ>k|5xo~nUmlBsHCGO)y6d1Z2>=t5{{)D!*sQk(}o zbUi6LA9X7@4qZ>aXsO70@}u^qOKGNTfnxL&jaE?%|pP%q|?bdC( zlJiK`6U}c(u$2i#S;{1|>+4n+{AMkD`9*u)*5enwq@E%juJRx0jK+F=`0^c{D2t4( zmBm<=1?7MZ@=3pMYju-1TQlZ`5QT0#Gc2rj^ z#X3A)2^HwY!go*Suu#y5?E*pfa@slvT?rNQS-03bsSjb1o+5ld;(Sl;qUide_JnlK z5p*A?%^XAf4oBHPlWl>LA<p)w_QXuQ)0W`W2?(yJE6VKY3q5b^F3Q~9A|uN zD|~NozV#B?Mrdzz+B_$RydB_qtH-vLaJb7koGK|h#5v@t!0?S#*VBc=oz5XosDn1< z{WtB>@?&57hsC7d47tHx0Nq<`uJaw;LC~umogwH=j?NP_zH#zKL9caM{bopf`Q)8K z`%g|gQ_%PxigLKsY4s~9w>!FraJa>1KeaO*z8g$?Iy-xdD;qb7dZxS@V>QYbH+Cm%O zdig^^uW-Jv7c{;Aqa3i)&{TWH|D)Ab0Xpbvf)!+tEV>J;~9J3wna1pA_^sM?Wj*F^+ytP`+tNE}HfgIW+Anau^%!JJH&O@BLbXx`hR4->_E8~S^X>+wn`bH@hY$BJeXa93YY_+jdv z5nXW?o*Zn&7Al|_-m@?0-yr?&Ez;HhqH0Uha? z3wUxd?xv28er&{2_wh5bCiJRqj(OU_(OU)G($Omg-O*9?na^}o+v@Ec<&@5BQyB*l zD`WLU8OMVvhk9#|*8tk*>`D%kj2~#d577CGSfQoCV4MvBHz7S zW;(kQ9CDTbxyEcsUHde)&|lTQ&~?0ESqq1z)z~5pYOSz-krXzaEOKZ%S>(`kvdBT( zzG%5xJ6Y3`7-zi_+sPQ~{Ycth&dH?pSuP|D70ZgYkdogbm?v7uwm3T+R9U=v2Ed~eoN;qj;ggXa&~oHlJ(xH zZb7RgnWLjj)VDIr(YnP{&#~6-u!=90a%1L>*wo^W*wp5VwH?gPWgUuj3U$jo*Aoy+ z*x+iJAL3~^P59Pr@X)i79;wBcOjj(0?({QKQ%#N0qH1c?i~5*M{ST{}RFIvPe2mG| z+76j56iG(8DY~mm{TD(TCEttggiWhj663cz`VSIYjNdL0G<ch}>iM9_>n)TRj7MVpmsT`tR*D*tk)cR>*v|hB8e5KVK?J-%sg0{A= z$d}O!teI8sqNtYd{<5nO1&Tnz2IVJA%ea$yOGvEYO{p zrG3_Zr|z^}Iz>BHw7P?ou9G?x^W8eLJ=^G@y+LSW#=M`^(XA|sBwHKR+Njn>Wve{& zI*|JM$(yA$s;_8_bz<7e&Ghz6SM@~OS6>S_wr$@+$=j};UR`NcUp*E%*1XMd4o#A! z-5I_l?~)Rl=JM6mgt1nwuBmqlhw08iSGz?YtJ)WQb#>F0&OvE|LqENAJs0&Axr|1$ z9*51P9Ktehdzgy$bUWE}vdFjT+3RO%}B0)pyi4-N?WdZ-kzy1D6Exw+t+lm=_DEz9>b=SMIY3T3cIh_lSMkso-A^x zx2f7HM_b+O$)Rdi8{-t|jA!2+vpYW$yQJ6s$Mhlxx&^ZI9Ad;;_o57coTVf8@Y&S8 zRO%6kK0rCd*#8=lS=;|sw0Te0hlL`Y`o5%?aqOi{4O-oIJKtklAhAVRG>s}{qH+ko zkn_o6b7U!zS#Su zy_;VoUeiK&2NZI?kDO^e-qZSmhu&ip8Q)Yxc{f6jlGMaEMkDkq5_-6&HA40K!SQ9{ z=d1C?*NGyuyc_w9FUqpJDD%0BrIWORl8{AqWj=#rgciv~c}7|zw0!9~LJ#wtL}>Y* zZ=~j<9%{D%sUeiqfRdV~AxNQVNb2j@X^4K!IKEdLZAkehcxdzAxHgYo8=@^*q$XO4 zW26mI+N`}Ixn~17+Oxd3i&37 zCf{NUuHlkgI{8w!uCjlu@n75YxX2guZo9bGM+*>$p{gkfy+{UfKA^cjG3mTpq(Z&0=GLNpH@WuxSn?M2?H>jG6OXN~sWXJ{&CWMH z{iHYi|MgtdW2=|(mJ-`79-F2izWk_lo^P!2ay<=Gh3{{j?*fs-eCP0eLF2^Lx+FId zzIQv{jE&%Z>>quLes%Fi6C9|1O5`qr{>nM%cN=eZ zwEkVpw+M%ScUnDbA--Q(&)b`Y!>^sg7J|lU4fVY3EVTdO95xp;zB5_RTm2NCItP_x zd>?eW#1>zwtmmTsjmP?zHS1~ENn*Rwb39ehyByVTXvQ}`cNE&IoL0Y<`CCWpd8?mf zRp&5AVq55ZRXR~Z%HeLO)o*j&#y3hg6x#TX=i3F1Z;7fTLk{Z;ZG2(0 zUJEx7+BjuHIXvL$)vuBMx1;rXv7YdaFM+C-L>aFmw2urKn`%kuuYO@PzEQfa@Qtsh zs+NS*rwHw%o`(9@Q?&+!{=P;yJmwtgd8?OOor6m9DQnMZX**4IXT-%X13M_At88g4 zK^=5uLGjVRVRb=+FCOgVfM*KYDS|%g=(>VFrq`Ijht3%Y^<5Dw=g-%hhO+Aa*PfdwOjdyuOdAd&_)ZRZB%%kG`8>v^t1A* zUP3DMp;yAyeVNxtxrG<7A75s@<M@DAm3_owk?u0oxG% z>AT`7&|X^FXf>MlHSI%pn)Vevm~FB^9Rky^VhpeZp-p|+jZq>dy zmMT(Kx5VoGan3XF=KGz4<}EBd&0DmHIs%N@D76$~yjlO+>v&q`D|%_Frf%nQPS5XzM^s2FM}GB7K>l(YItf2^#HWTL)6Kx~&5#a?mvxZ5>FdSl%T!4y4qxSS!)iffS8u>p+Se+B%RThoxHw!fFBh_E6{02JN z(Pso*)6plpC~rP%PmCJtt6|fV4sFZ{Unm@6&Zn(5E|P4kjf))GYU3h@w%WMZ2F=QB zRwg}7*vf6SanT1INrVO6RvQ=T)JI>sMz*asE-h@Vq+U8Jle+noS$31`&hKJb^2@-s zYSrY>RvQ=1U<84dv{m9o4sDfqk;7EeiEK6QaxH1A`-^niu8blFR+*-ju&$4`WNdb2 zoMkc5qt(|j>B^Uwt*)=9)s>x-Ef?_BIro^k(pki|V!xC@lUb2N)3YLn*{yDN+NM~A ze~Ps!*-p0IG(}57I=cQW&?d7YrCwIc_an1d8>*{?R`y=6pWd{W{VmZmER-4$^9|@m#h47-N2V*^ZbFdv1R?zTw73DfSVu}8u?v-dIRO;qh_YpkR5<$EctEhhBH7fa|W_B+2DKnU+xVCNl9 z_s?&S#5WZp^f;8f!;SaiYbFs|zAi!t*C#C*aArLNuN^@`y6+z6sd>Rej}*@FwU`Li zc;l-k5vuVH{Hh5|kwWqGld2|#-lgzm6JP2=d}$_1yL@vXN;}FVLd$n=BJ@*z>4JXK z;fwxml(v5VCcYdLp(ltQeazprTen8^s|yoX3Q_YfwCwYn-3|E#1`sY2%~I@cpkyDn zH-m3Vb$EO!zANnF-p@!%Ergw%LyqoA)ea^H`08HQum#df2W_Ca`yX^4yWo&s8PKpf zXA;!iH4^8T_jBHOeV@qr-4Y_UI5|Rh;YMuf1A;a@kY@^qIEB2P zXP#@0-#Q}FA*GQ|5$ybry|GM&U)@|oE*Hpbs!~gaJa^zgu@#IjdPWCC2pJ? z{6?X@c1RBOopT!rheghzo(nyrJx*A!pE_O7Mg3&)4I~Zsc^c~HVe1*~aeBO-IvwX$ z*Yl=lwBO{hyGAcI2X` z%RzSwtYwOcZt4hPU8{YdI<~3LpAVmQ6_2eKui~aotA3I=%b}MOH0D^o$Xm93JRRt0 zwyB`8Do@wyy~AlQ>9$eO#(6&3JAp>;ROg$m5wy`7<(^5<=tUMtY;Um;LPyf7fZxz# z$9f4hYo*kde){fatrY!j)=JTxW~~(4sNSPDYo$nMdA3%}d|4q*ztVA5jBe{~YWBQv zXk*?o9%*CVB8N8SEpiC^w~cv+YH4+(79;mI<}EU7W8PxRjptappE3HQSK@i6$FHKBh%)=LgT8K7Qf?y%SF|kQ5$R+lZYC zA_#&Hu+zfQ*eNQ01Q9I!6t*@ZSXnr;xjQfMU~Z3_AOD&8&wi!=pB{`HhUBJaYL>Rl z+`Emh`60?dQoRAD*qEMSm9B>0DOTVXHn zKL<(W#UUcpZztAv=jLi=HKA&g`80ayN=?|BRNgE$_csR=G>JfmC){cy<=N?6KL~V? zydLv)oH@s<)?Lnw;2?Ky@3+@0a6}9S@l-Ry+I6XHB(My}K1hoWKyGylmO?HVf&`I^ ziWT9+7t2S)sHdgf@5vmT;a`bS@Ute@T{~>07cS^O25pgA!RZ-Z)Q~8s9TP!*6VWzN zYE{8PDx<6zf7bLsf~sHyD?zSPsxL%nz0?pQ!4A^@ SlC@fx%&Xf);=cd@0RR8E?i~04 literal 42886 zcmZ7d1ymc~^9KymmX^{McPs8zJi*l-K}_m0>wSJTPcAMybvtd zoA2-czUMv9bM~B_+}%5KX6An8?(ED44+8_E=JgW{C-fnO{%v6G=5FikL+p$=UqFcNoiHz-2rvJ;cR)H_Yd0@jkTuUmuM!nOA0uJK&#BTv$nIE7-$eg^g#P4gAliYQ!M%V}XV_>GHliVpk9?q+PVTz;zS z?~`)y9qq-4W5rwp%iUV-+DtvfJTVxeI})rPY%LTdeH%VhcRlp?m5JVCZdLH3_R8`- z2zvs5xWB&mA#fWKdh*yhx^dd&A_3*SyCtmJzKgT2vUZg`zILvSYUFC@pLN|hjmh%f zH{)~+df4t%tByGk+5CCwO7lx_KW&(kBzCeaGXBpN}`u?q^nZA-Qf5+R`n|3GcX zs*;+(B-B;$Xm;ufwZ`zzIH9u6nzq(0t&ZdC7GUNJ?&jq_b9Y^TQ~#(^88yet)3wBy z!n_Ha%&ESs$<$1NA6!;K18&LY-gc0C-49t{&0BI|_Bfrqyne}Qt&7NKD@?DxGdza+ zv~Nw8CJ%pnWv)JDP;t@?clr@)YhZt!5{~Kk9rfU*e1>=+8hwn`TrKSi{>>p2?D&P| zHcvCFtV@bH$$Q2J7T@wd(9)n5A@r(DTw_YH@+25GX5Uy=ZKZ&RT~}DD zPvY$gRtd&<&6!bDJdm?=0~g&%?D#DfA6(sT50~7zb1BNx#N{uKZmHUiC66uZACW&O zl#V(s_ofAKvs|yg6e+1oxuL9XX*(Yim1-o~FSc&qqAu?*rRhs&Amhc2;lG3y;AEsL&glSXSdtBw;+X*dZW;#N@Dw34(4jO z7hfQftFs|J1gz^SXAYj1sv7j=9{oqEEn2A}_c>=y7)cTNg0iiV#I$kZ19^7epEm`3 z&RZGa5geHqSfuLOlG#)Q6+I*9>z^78V}i9W7}$d}-HDP_WP9C5!ddN$UL6i%6DHD; z6Kl?oawL*L`ATW-7(y%OB$T)L8&>JZ{XYO?_7S^qh+*3M{u=MS%CL>p31pp#?xSNF zcgsDVga>Ntb~4~ryGYEjet|{Rw?DQoK4zk|ND=S5FKn+VL+Pz#V0Q+cd><7!mMWhl zdV${LYu&WHUn~a3(I4CPjC6gc-q%?PTYx28dG|l`8c~1jqJmX0qrMVlC0K<;znG3} zr{76)nhV1`p!@8;77+T7b=Q4OG_S(I~ zQ_1jc;QhlZv*067#l_&8_JhuVPR|A7U=NQ0=dSBe&&}bZ|G-X^K-m&~}UD60!1B^jw?jwgI7}z2k zKZlU;b;NU4KD*5c} z-NiSW=bTtoH#?!TclM$4cS$|AK|LRYq=H>CgM)r#279?=<^hc+CdspRX{oArX(`Z@ z8cosTAzXv=D>S<|nigS|-Hi9x1?~M2lydozc_IRsxT37ybw*F`z%e+t4V?{$?6K=Q z`Xd;8|3PRoz$MdJ)M&y|3@{<`J$Bc3lKg%I(Ixg(GDfNQ&|w;EE89CxM*k$yPh6{f zO$w2B^3UNFF6W*UO~sPu(1b9pgxljYFm=hfy6An=Nut0ai5STuRkZbf%qSQI_vK$b@R7Qe&IhjYYq&8jH?y>Wo7l)y|TaosNwAp+UY z8uJ-y<77aFP28>^5t6~LVx{sUr@xYKw^%S~8E04NCc>pxD&f-m?{PU2M8_k)6w3(k zV$O7C%7Rl9SDw!VvQxk*Dm3V9pU(|YdvZt*taVhVvUB0umBh}8CU{s6*7wE20@vWN z$oa14GbQH^bb*27$|hJ?)XJ=v+zFbQ;AaTAK55DTy3S_jFHLZ9DrJAXXSSzbkd^59 zDD-?sz?)gbBFz)p_%N?}NT19)ab3ybJee)X9>6!7WMrk; zb&U9<=|uI;F%lFBYRmS$+&85=l9+rkBiScC6A95W4Sf4`H6N|t7Fs_lod4;UN&02Z zN#{>9&KE&v^;Zu3|I_juMXEfRhqfvFe>UAebl>k=O4VlTZTkKQzE_&B)_?R{TDrtx z=L(tLz^9#?c+}i{irDoPPH-}xdd6;9IJ;CdPC$v3fX_}$g&|xM&bDDQV##CoSQw+d z6EmSPA)>E4#2L$ubt}fgT_uIADd29+zRsclv%UAuR697fn+vdYCPWme8hc?u&e5M) zrx+X(T$XPzdPB?SKDeb+=h?)A-cpKp2xj9YZ2|(R_s$yng&>;7wfRzmo=5z{4Z=S< zDprI_MQh((`kdFNvf`8MMn`vkuy}E>k)1HMZ>Ko4?c@E%S+nI#=+{m>q>eys)S7{p zipC4$=Ep7%*MpeMq$pSQYV|O&nfGnq>%1I84SmHjP@+X)(Uo3LKze^bWZL-R=y&hI z@pitUju@lX6#Hn5N{iA*y~c`Fr_cc($B4C*d;!gb##O9p7e*n&x?c`>J>xA zCf`E?7e&}bYzwDy%wk%D&zrM+l-$JdwR@=J0x0|!b?cGEJ zQfJH#{3x5#gq^JTTzXE0y9mWNvgEc}<85X?=ICvc@`*XqJ=f@#gc05;y!ml;!fVrh zzbU815fn}sM>`Dv@$})C^84@H%HW(u%lRa;ja}z8U%gGeb=Pl4-Wv`p3t|pZE7R5< z`vzH#of3QFY`o=FR&jVv#4K|T9!=|qqYa)#Rs!VMHLl-xuO-@Ey@-KOWF5q>m(u$F zbdqbD-fQ|vGu?${>v2>y*6&$!9UB-=nd2K$`rUhc$@_7-qC;!lEApsyYO%JAw!-=L zZQEl({`Vjl-v9^)<;l&CwwxrV^eM70(R69gi|*o|B5TBNA|=kPIO5Nr7C(geQ%Z!; z$wOYqF1nm4KikE${2;P9_|sIR`-!@kMS;{H`^^GH$;gW@SM{v3p+K@)!OtPb~7yx$kbm zgZ0*f>sPyG3ffjg?F$L1B0_Dv(;F3 z6GC70dau)x&u-Z>Ht?nF^-5b_>s9%OtZp#^R^>YSKHYj{^=p*K; z_`R1NMF6VP?R(JH4T`+f@?s!NbB}v_;sWX4+Eu&?_q#26X#B<62@~IAvCotEC#};# z1W0yz29;9TlWA_$r#dZw;b%S=4rygf-6$*QJqA@CvJ^LD#icf0WxbDB36Zit2#Z_= z6liZNvfhci%ldQNE3W)J*!3f97uPM-ii>CzvhNPwZ%-C3np13k?hzxRfm4@f<+G1ZA2UL zY&wLfkEX2Ii-Xv4sxmyx1-#apHd+@uv39kr1&<>s2;D(I=_A)>377WMb7MkL;fl_D z)`IOhsDaAf{Rn-asw^v;*cfRtp2h!PU@ut91o)&Q_G@35rj6b!y_B$1p>$(AHNB-5 zbLD93{oG5(VPo~voc_o>=B~^q#%RHrxpNj%$y1Vc;3`zBv)gdkMIGN8Dn`d(Yp_s7 z;;56b0b;{hmO@n)tI6|gAQf1aRnTs2FN`9CXhoMMTYKVyDJ(wR$X30JnyRzG_0ia= zkc-|P?N;67mDhfRy{&F0%mv&@axB%saaK#@w%3^NPyT<7eIJoDW#E|e!;^|tkYj!? z%#ok9sjN3LV|;Lgm7a}1Z(gBVit1{!m^C`6miI?i2j%vxlNa}snDpk=!LhN`ezhW< z?aRNBGzXuVH&bJp0`*NDztOa6u%wBXM-IYs+x?%;pahxw3SVrcv<|9xu>rkA=w zeMpH*wf+x3e*Kz1=|$NN*)GLIKAglGIp|2LIaVY|Cj93<57++|g@A|YmIomz?> zoH>~^C6e@?`%tAT%N*F|xzsadOdjD4jVO_uKSHCD%=Fa}_B&x!D`<#U4DNe*?uK3J zZ9@NBVo@R8&u2SJd#Ul4c{@WXI|1LrpvwOPc=CTMd{qHUkYp}sOvx!p8o{d57G7Ax zc4i>1U`8TZG_TeFw-83_l374Byy5(nZD;_G{2#n`&hNoD&#kf1m{HqPQ#sm5n!0P8 zx*J1FW%7`5Q_f48QW%9c48TGc*{JM~!$b&TQo_1s7O?jMLjJ#SockxuYn8S_IA8L= zz!|b0{U2)19v&LSE&g+8TG%N?wJVv19|Pz?MfO_Ru!;$}1xvs_umq7qItZi=0Se2; z_xAsVx_zqOp;E%U-g}Ye z{XfEUrM`w}W9k3mUB5L)0FCy{G;Iw@Z0_Qi4}G)_%b_J)anLK}xKZEY4PX!O=zN-& zwKf1m(^sacUWbRiz+7ZE-&C4~@7{@GB@#>EB^ox>PWrJ z_c=V^R#G-NL|TO zP>ou2lIu23;OiWGrxf0AAaGZTZnuE5wggoNm%g7@|Lc~qoh8Ncript zMf_%%z5~shg&x$I;11I}lT#lIUTIhj9!goCLJvZy&oX=JH*8$0EhPX8K16QPT^1mh zYC~G;gZURje)B!`7G5sZ=@irlWH^wEnNU#Y-X9|=dzb1wAYkF!8^}esXTFOx0$~{n zx}WT+4{~#<4weEebW>3uY~n&LoL>x~3VP}Xmrx;X4ilcQ=vyvY0nMMx)fCVtx1TfkJ=U+JG_Acc8h=(cI&Ij2A_SbCK7wrSwglm77 zrjpuC*@2f9vYhPe{Uf%K6Y;8QUz@tQ`TVLwM%U@jrr^$klL5cCHXmok=AI~LTgB+x zJlaY2n2OT77ruWtE5z9;GQHZ)op`?3oH?E) zvir$1k0=hWQh7nXkSb35YvEDn{silbx3k=>9yrrhO}f_}ahx4|d6<3gRw`^Fr!{%% z^N;;NBHj zM*Vy3AmHPlFY7v%i^^v2O+NM6l*upLs)kxMZBI`F+YPeY{?oqCruF4f7yifE2pigP zyrDFTTz6VW0 zzEsC<=^Q!Cannw1(-Bm81Y@Z`Sxw#sb|hnT?=3^iVj^=zOm~1cIx-X_+}Yw8-kp5E zLJ4O#z_A@8h+ADA8{30Nc)emf_XE$+! zU^e`f8xF8g%Je_QTsqXxZ4d3YZL3(!ARDY-cXO4ruT{+^qL*@r_#<}soacLVO<9xV!t=>Dr*hJ~w$mK`PE_BKKWy}V6fHx1sy3IGtzL}v z(CGbNJDGp;Kg1(00`QM6f!b0L{1NqHvFqZYcyOav&K^7wj)1cf2gt~qa~|;(o?5rf zE7!Zq1D%+gLq$HUWue+LDuu&ck(je#=W%R`^NiKo^5g8&GGn}}%l>J0}gr<;Fn;D0=CtUd11J3ejv)34RnmO>frnp?v}Vt$T>lXZ)5WA@8xS~Lp}X+(9wrkg9%g;U1oij2R`L;{F5M@C4F>x>I!Nr&qb|+1>Qz1D z@_MW2#lZye=Uw5d$}bHG=DoC10u*5%xo>}Y$exy%jbN$t-R2!vSRg(!hFJm;jAz|U z2PP%_lYOt61l2etH5$+axY!*dc~AwrE4$3rPQW-}nw#6>qmS8>fBHO!lehE1x$@&3|HR#&RnsV7U}x@ zT0Z-6g9wgg;u4f*P-fQWC7SmgnV}4qP=PPnXRJ(5P&s-?ma{@|ASCu^Fzfxh*qg-P(tLc&wW zOZ*^{b@t`@KN7~YM~9lL^64R`r&PtkHSL{@7Dya_ZVunbZ+-Qr zO6m6;zEs+-9oFngo}$|I)vPKDrqMChH8KsS#kr~G-w5VAkzwX)o!Vzs2-ZYsRas(8 z^sjai?e!hInBmGy)6dNJf`0{8WKlixo^O0(O?O)f=9lF?g$5=EE zK$$Cb(Q?gY^eh=U(mYwTX_WP-xjEm@1xA(sw1~x@k48@-WvJvOA{q0GJS#A2SwPPQnOIMB4}uimje*RbSOlLEbD zkFL_zcawXKv*-o>1Ka(v+N>oTSwNb3pkYUO& z_qQXc*uDxF$KUM>>@OE>!~dr5xTci*Nsl4 zJN+nc97ZMYyH`$7`-VZ#y-t7;5fmpNfH0H0TY?PN(daYtx*Unx4ht)ZLg~fR6~hb| zvqeOenY-N*@OkJY#T$?&g?>}o(Od^Eik~PPNm3-|SWJhCnuED^?T3|U@=CQcJ81Io z-)5HJaRL`yakre2{X?W)ZBY8dJ-XDpK^fWWuye&(@cT1%|?uCQyI>b z8UjJ!=jYnAqEH5VLKq0r*g}pC*JrOfh|`>?>5t!v{LyCLh=W^}bZgQyv@kuFmtN8G z!8}VIMl=^A7FI6oRRg%Da~q_{_{2r$cNEp8fYrCwcnin8VK%Ps;Ai)gT(&O8_d@v_ zX9@O3pU*Z=IAb9RZdx0->CY*lo}a>!ZY&WHjCylx_*b|n7(x_ZfeG`wwi86CkoX)~ovDT^^?~Ow~zngM(3s8QM zvaP%dv*9o#qB3XD2$#UO*5WH(J^`xUhbnSC25k-MDcps8n;FvuhQj>~;KSlkJ6x$g645 z3<-0ZYD`8}fSs|Wo2U}-r!#!| z7iXOl(U~?NI0H{2MTyIx#9Osc)mo@E^po{gIWPT6h4#E%;alva$d+cTMR!_V(zcKW zxpjX%=XMc7l;#CN{W9}flwQ0&Cz)ZyEGIZitrDm<yJ=TUFm%l?$&j;sMX4L6fWDnyOVTnY0Jty-@Ju^vnncpn^KeREymwX;8~{o zv6-Km*~7~08R;TpUuI?i<@^1XS?sy84_!EngRHckA11{+SA7eB}n7@91d|`*WwFQgyqzFi<90BJ2Fp>QhFuuoPC7TCJycQ=dJ|t zs!?WgCFTJFGw;p?dbb{)uQas{$-i~gOTAmH`TE+5FR-n!*ssQ3DLS9*{oiT@SD$X# z!>A1();JQgJ=6(wnqE)~Q%cupH*}u*yp$|~SdT^^$g(^#EB=elnE{ZM8M=-s1e+8sX{Kj7BMW207 zt@+ZwqboGZQi1NwONsf+xRcg#&n@(y zzeZ<>3$9v|_#3JKf9BVAoL*k&WR%zZ?dvUJ_w-y4_FJWTzhwU)#H|hF-01yaIGhtG z>M9(#GO(|dZBC!P^GTn$Dm6fH(Ri6)ERa2}o%&<}zs=(xd;cqHbRLOW;b}r1 zMp@S@ervdDm6 zS4g0I2Ix;Gw6YSvWU0_LdC?7tvz$4J7~uEH)~5I$d9SYMHL9&HWtzGnQnQsWo?f|r zkI2NIvBEb8D~R%Lu;%y@|7{JNSzhSr{cfadu$K&7=%@fbww6FoZI0%hf4-q>(223= zn||{LaM14Uh_ga)1lo@llpNJZDS;ODd2`!ww$@ zFy7xa2eLb}^l;yGI);`P+UtH8+W_*`v-%*Sw{I3uA>%@l^$b`}F*drf^>P@5V@wvC_YNMiTf=s1G_!QNO!zX$wV+;b8;sT4gK z_{!A)ADWjU7ajV~_eagxJ;+l)%=jN^FR;5v<&KPdJ@!-0_H}<724k#OU{-l4!TecR zsQO1GSg8IiGfda30v_f5MuuOyx2@mWfnXuB!f!UgQHldZ5r^{vOuvuf6^uuPgBx+x#>os1Eb`gr7p82jezT3x*Ds&XgNAz=O4c| z<+!uo+$DbMgm`qV@5lxARtCFCg0?=FX-OD99qIwm2P|ku|C+k_k(4fq0BzB|Q^va1 zJJY?#!w`&05F^B#Vmq+MWjF(^sbjgww=7nz#dw`-`0&63IC`kC0Cs^3o&fr_Lpla; z=9o-7HFzAV?Gu2psc6jTw9&aeIOm(3`FY)pyV?zRO=(+_0*(I5S!HnJs^FV~qX5;4 z$tTcD{9}&>+3UJ*eX1L(lqf(oTqfU^>xzmdbvVzQyZn<%Oke948PVTmNkbP>7@z%_ zTbWMCOXT*ASp4i|ELVaIxYy8tpuhPc{T@KNe*Ee3wiuFYo1X zG%iVf@%x*BLuj5K`Fzy)aQiC)__+xw!I&!6Ex|HD$)r8APf|r0ynM?!TSUIP``Mfg z;`N20F)8>bKZ)&FjD_cPZHXJ;`irzM1 z!9(vhKl`T5aXrEW(o5{eACByM40UQS-(K6a>8=9}D%>R7>oQG`ifV{i&6O0WKgr_? zgfAlsDH%I2nOT_B=(^wZDe zoRI>AXD07Q5Tl}zdqyRa67EsM*tLWD4UOO6_-Pt?Q-TXJrWGIYbJ)GI<(3SqRN3vR zMosc3T^l0(ljdu15_A!oi?uX74Oyn!91WQV^D)$_jx}}dLDcctdni%19CXUJ>Pg4H z$QmYw48lb;-#03xIepaVDK{CpVg1x`6pF>nI3GH~6B_pWD9@4o)?Dr=4{UGI%;@$I zFnUhpx|=qyG;-eU!Yy>d;)$4R!EEI$UOw-W1`@IA{to7@u(i@XG`NhL#e zF(}Ezx=r1}R)|_f!LV~qwQ5?7P0l0V;Bg7*1;Ys^nBh}EnQ?+bB_zi0`6>oQVux7= z1P+kD^T`?ZXW#FthCn42=1jZ4=3e{s?&{Is&AQfp3SO)v1}0vM=X~^RmAVBV-`hD4 zeHo$3WKPO^689j}v4|_pVLZZf@h0lHMl~RFbyAW1CSZnV=w_|3+ig{0!K>wftBsQ; zk(IhQ=>(|!l4^hKh#9Ux134~${aTqb2rg+U*Eq7dz3~D%J;x~Fl6xY!@-Q&R*heER zyCh&xk81~u&1?L-y~%=aJSL%wtfJt#MNmyZy=YE69j8?<(R+@WX24rdK&nGN_qiE3 zr_a40lSUPe8J-Wr>q+S^aL-GT4%&+|A3mI;gxTY zXIa6p98~9`ahtj~t$$gOx4?$$D&BUIC;e^jRmHBw^e}5#X5)Q|y_rLp3vIIwYQA+P z#E*Hi>|wwU5seowU&n)RD;@T@LdMo7-@e1FCZD7?>Gy*r;4gR|r2&RAx1+@OJ_#v| zJwO_}UT=T2fTj0GDtT`r_S0)O%7)jHTykkO4wlI5XaCJz_LXztE|GTbE6EIfjq{iX z4Cz$!$TTB_pp&8u8lv6eD#B0(T^hI_4~B`X-1^Xl#6LM7kvJc--RnJ`P28DUtU$=@ zyRZu4s?Lji!y=R?#ZFjH+%q$Et>=xaU!|LIbRmkCvqys zkK3CRI>;r}S27XS<854G=L2 zgWPgJZ*~)^E2=LCi~<>rQNST!ni>>5we8TxwSF)!LBWYi(|BnCUXNp{T)&~^Zf?fH zP>3p!eoM!Qvz<{lhu$IusE-cXI{6N6BXma?=CE!$s-A;->Xwh2G0T{a)Z35Mg%;KD z-}VvQ|Ek|7s$-PD;v7zke5$ksPWi_*^I7mhMQ_d{M2q>`teNnjraLuF&lrbnN)TSE zAr(S6?e(!XYSvU$$#{OJne0OMC+maPev!m3DzsgSX`c8O!eF#L{TeC!^cV2o4Ld?0 z4hf7!0^_egFaZ~hy`2^lVbp|bjbYW5zo`rD;bw8O66X~uJ?v#O|8TIP141I7l~gmr zMp-RP#UICch#*1EYX9DlgT_zz-fq^gxxV|FexN(TxlV2TQg@BW0IZm8$a&N0Z=!$Z z5IK7QsNtQ(KOJYQyV)z-vV!9Q zoHRsK_NLrL@9{RZD^!c_JmNT_IAQJlo=PFIJlnlG5y&L@k|ko#MDnkD+qvTWyYu1m zGO>=d(BW2U@H+NrCvBM}zDu*p<`nD8jp*ST8xhsmD<@Ts+@qE6Cf5gvNE-9b@8?Am zB_w%eCcd<`KWoQK+O_z%)V+=MFrOuta7m%`w9Rq99GuzqmrYzZ1}bPr>pG(NgZ7`~ zyzj1r`n8zJ-S6gb?!;X7%<}CIm!3;4%;GhdkHMKMO+*)F(`If3A|@|V4*2E1S0>>B za1JQZneu5cte#dZYB)mgwS^2|t+iK-t1Va_*A?}$W|_1{_>wu*OZAmx>IZRwAuH#1 zpIjcMg|qy-44X=2H?NpD116a<$4_8h`e#3iUTvM@7EW4YO*cdOm9nay!|`)>%Q@OM zWRUi~kV-;JQu+_+y*h9S;=-^T#s%Kn&z#aBbN)CKOtpXZ6PTzI8|mjF-K+#OXC=OoQIXg{GGzzC+qsLM^TgXXb~9`0hGt0VAe>WXU0`9r z=;f7Y)Un{VNL|swh^iU!i!o$StrfE{)k_<6Kjff(zq-?2$o6D#OHX1eDkPq!9`i9o zJ`GYnnX^*HLl2(%+26c>LkPCutn)Ab#Z!FNzC5oQrx+6#j_nptnVViQJRRmvEW^Vx ze1WSoQt_&uF%4=hxV<(wnOErdPqmWlVf~f)^gePrh$} z3_bq*Xsxi|_uc=a0w&z$VxnT;9GcL*r$tMKccKC#si?uuxrZ*Pk# zN4>siHV>i|NZbTMXME*oi2;QowWZ|Wytf~tLIYnMM&Wn#0)2(Pj7OrlnRc>ojZJY) zM5P6&6Wea*ZUHyO`d&dnvAMek%$y%on%3(M7P6%$M{WDzgaae@MaF8bZptrBnVjd} zc323FyUGhrkR|-Iw~u~i?mlcZ<>IBMi~)o|dPJ40i-EY;X?my<@u4>C$O;47o=BjS z2tRFnd1Yg~acu$go&cQlip?QilT@QxC*wig72^qS3ctTlSXFeRHaSW6bGn>X3^$yt z%fK_nx2VCGS)kf?4r0p666E+j*#L(LzgPd>x&pgel=&95>nU*yqiiO)U$uj$G>yb3 z1t5msKiL-bVLnikq*udE0bP^K@%MuaM;vER^)9RG=mhGA7KQzix#b5ICmpSc5wu6> zl99pyD9M+IMm~f)K0-h)0~UZZe=!3$E}leGqCvUlJy&82WP0EMK7JQ8i=Ydt^Iz}F zbAiweXJ7)yWjN}+C-QaYe3{b^JQn%&JLZ<-llM?KL&jI8EXvM~hh*9ZbK)`XvA#`V zIF$4ql^$XY{peIRp)-Y}RZSqerO0jAXh{_-+p*9^WI5IN5Cg59Y|fjuSzoSS z8?J8?(*bochX>cO+BMa%R}JfR1h1_0iOoenVn1-#9DPX((Q~Usmsu0=HC5`hx3lx7 z)_CqSLyKZIrA0oZJVyXiqiVwHfS_2uvKXy>>O#wE&6y{0l#-kHgvCiD<;UXc7SFY7 zfRy;+!R3*YCno=~(nhyNnah2y0K~UpOAJqnF3eQXTC+;orm(TW#nh|jy7bn(g z(Y;MCW9-WnDw-k(fn@PV(fy)z*}$fOwPa=3MXd*NUD{B~(`js8HPQPgS3$=M?FCum z-HqQD)$~#Zfp72<^L_iQ3GYjz&l`ua%;MFHlM(&OR0JX9Ul;rSNvLs)xV2KDPJvQ4Fi?f%@ha!i-FAf@Y_>&EDWX2j{!LX&W= zKxqMt;_ayBThg6S&f#wlzIZ&dPoj?Frrp)BHX&9&7Vb%ImBQsw{zN*3|D(N96 zqFxp;@5Y#f4KQqAzh3;4i5S0_CKq7Y)>9%Rd5^9!{;2Z8wH;09cpq_RInNnl0oHd8rzY{j8@k|0l~gSY#yS>G>mRKAWTy^0AXn;p>eOjBPZbuI!Dk zfb}p~Cn9^_&78S)X7puXoC3l zC3S43wXFi)5#}V1+u_oWf*6yFF~DTzTf^Sqg8N88uC2Nj`d0v)w#QmF+@Wmk^ygl$ zC%JK+_#>7GI_p{gWh8?_gNCNt#4bswOnhS}%mZb8a!Czp@$AXf1cnHDc&wN)jT*ml zX#mEt_;k;FE8_=JH%=uM>Y;#QU)l{S#Gz4^?to~foX4BZ8(>&!g-0wWTaU5#hUVnc zwdm$sB_r8H^eb}8dl+VisnqL+_xah{+r%#N=V=gfkmF#xckwXhH~ye5jld>T zK|i%@YjaL-d0cO9#q}>rDyo(KwjN|JfsmkQ-xRa*Zj7R5osj+-Gw`RZYKDjeNk_%* zVCkYwamq*8vq@m_B&Tv7m=|#$(AhV=ZZjfvm4u$Ly(x?OwLY@%Dfm#Y6mXek`=t_2 zUS(wb>Gw<9Q1_g~*z-0?8NG{?8=S9He03`#LpTgGiUw5KEhuTR+4on5-bI2SD^tq* zhb*r%waN~3gHM3;t;;rH>LJ4KRqC&aIAesx4n&JN*gdeR-lgoIEOvN8u=WBtRAwvq zunwJ37546w$^p6sAdduQz}6y`?-=acsF?*DYBmQwJ>MsPKX`4}(3wo~HF#V4%GeFi zxBNw%-*+Bqbe-XE5j(Xan|R+Ox*IUq`$kDWT2qCjIiy>Q_^H7FEI?kehA+O|Nr)L5 z{p>5_E~ZA)w$ixNHzr98bg_Aek3qh>cw2C;Zqh!hCIz>U@Qk2@pAB6&?y}E`a8Z;; z+{L4+Wl*Pg18)X+t#ed1hRMs;ZjA??G+j<=Q0O!TzP9^@T6d8BIi?+*e@y<)q(|(T zb1J?aqKiw`C_?bikq1%2!Fd&5E9SYQ@864z-cQvF*})&QQWk^iQiJaapA0N{=Ri%i z%Ts(8-cas{4#7dV1SXz!?ooom*OciBsiO!+w~DcIhE12rZ}<uVN$b0Oi(=VBl!M=k zk>19NCgk>@{3PEMl!+rhMX&M7;b|S)H!$SKVj&FyTqzEf0=RyGLpNx=i7ALF5gHGX zt29J6?uav2OExpT^-#hkf63qNhi2Ov<*dtb&Im%^?cx3FdH$MMUx7;qe?P<5E zs1c;bQIm+}_v@4U2zmzi`HcF(LMINC{l(O6!6NA!d}T;ch~a=e*o*>Q8WoEQ+7@$- z2rI|)A{?vmaV$6%*>myWCY9h7)}wY2LZB1_+WD2av3#aM9b%Oh-~cH4Tao2#hDJ9) zd#=>7{=xav8L-CMTwnDR$wTxgUGw@2f{cr+klfLKL{B$3zqF2n7|#k|pwK56>ZkyMOY=m9wuUX7 zt7Z&Rsgkz|s3b5RLN{JZw;3D0_7V6pBZ_h!3cJ3ctCkw5>*jPuw*++7?UU4%+(*!p zH5{`5{ODWo7G_;w`OZ~OJ5N64Y_O*u^(o*TkrlcTNR;$E+suI3P|O%4ljW)KmWsgK z;Jr_E7oLUo0^ZBWF`AXsnMn=cD{qE8Rd2l?jI!#q(v1DbSxA=0JL!=(ysj-{u9B4v zeQgZ&nNjD8DTduudTr+IqH0*L-G;yNZz5B3f1y@ME$)^6n)$!Ity=v;IH#Wjt!h91 zI{Nq7s}Tvy^mjf3%7EWX5V@3Lg{K=lt&BSV_IeJIJ^mO|lK7Ah0UP(3N^)O1rp!+p@fmk_gYKn7HJ-GtmH!0aJcj^17zh`tMP znR4c+aAF$1!SoHI>r$wZVDG&llKDQWwwS?H(!e-)r^U9Kt+G7WU1G6_eHwFN-(F7> z>C)oMVx6TCwV%V?Fad7T44K+jSQ}%A+!OKA?c~eOeCjiOdCs=#kkJsfrRN5;&f@*^ zS@gHkW|S5RgS^v~HS;+lD#2Tur7f@g@PL~xmU83owO(()2V3@U-TbFj{X@Tc0%Wh* z{`vl1VhNn961D%V)MWph=BklVRyer#pwTb$%TtS{12;yM1l0v*#1&w58|A_n+pu&w zl!{l4X_4X?x~=QEkdYoRwa7`osTwd2VhCoX{n+WJN%C~EUh?BhKZxify2JS4QaTR6 znv4go#EaAKZ(HXX0@7+3WGew~31Fl0)@cTR4gH34fN}5qE~;T@G!(a%orY?{@qOl} zWar*)mz{pN`7N?bORxY(JR(cZi}&BejNE`{Q-akEX33OSn{;*s^Jb)MN>UQYs?5=q zzr_lW+i=teYW~Qud|n;mgi=1v_*ucT!9cAPRi3Jc2SalU(fSjYIj%CT1s|>ERn)o= zZE>7g+OaKy4&~^CHBDJI*^~6DAVm&2B8O_~@tyiNJ@!TB&f!BcbOI$`!v!{hJQe&b zm7)-xTskfKJF3sp^4?fWPl~znFfj=!ld(cj@|argBh_S@%EUWsV~+h~(O9N>2jdqT z&2|Pe$sguo5$KX{KCEx1xn0b-NPefpu<+aW82)*n9~Q!sh9Gx0A(9aVNBQunDcd4^ zYYifPz}!`x^%~My!jtwzb6hy+%XHOzY;p1lhk~&hlj2!8{wQz;!M(^At|myzV2uZa z%*9C=nsPKChq)iHbBdW$wjm{$D0go*M_77t@EzGKGDj#Wi1G%^p|Uw=Y!aWdk8W2KUd-p)U@pkM%(_IPa)a0XE`CNvb$5;5s*+U&PZ!G)k2w;_FV2P$m{ zw8oN(b0UZvqX6Xv%$Kj}D&=APa>Kxc^g27JU-QxW*}Wi-l^Ror^D;uRk|HbbrqzHf zuhNyt)Xr#+mZag>1O7N7_iaoJ?BZ{aAvOpG-z_)ow~m+^%9DW(=tn+@Pz8kBrD5cw zW@)N0q^49`yYIIWn?Gj_|FpyLLxgXR;~iYE>(E+Lv^%YH#QE+Y&<7-wim2+?Vx*`Jau;d0V&*;LsCgGn(JlEzPG9^DDORp6(!P7`Ujwl6 zLM2Gpw+Ppk_Ay;eLejTC55D2P51tJ3{Dr<5+_A7*yG*#Nk<#@fOVz(*V!k}T(dV;a zku1&pooq^Kd{<9%M(C9-YG@8CI~gd=mR}}32{b^ga2=`+v8#wI3Sm0d#Y5(I5w=Mr_8`j-H=o67SewSar_|mcIf-nV{k7-4wA+1oQ7`DtZI@;deIGHTqIIhrL?w#=4x zp8O-<($M4Nm9hiqWGq6c;I^Zgh7QIJX!~Jz<$0Fid?oBKA#a7N(>4O zhiRsWFGXbUIgSiCE2W+LU$}m0*A@Dl1SU>jL-kjL9U=ZLF?Cw(MTuf`UT{lvSIkH=Q}PG&x%LgW<$R+@-GA$* z{49wR#5TXDwY7&*JMZGgs}!g^ZH@#wTNELh)^KLuQH(1e$i^xfWF+QvJQC)|U_FTH znPNVI5xlG?c0S_+12W z57&9*KmS^wK={3OmF z{zp9w3|qPQB?m&P6<)DRZ(5uKOyB?+1>+7oabkRPy)DtN8=q_pU>QkOYgJP87Y;d# zBff>;w}4{`S?;5!PUwoAhLA zMG8!8g7L44y)x_8$49Q#lv(G`!_(}X=~FJR;JNQt@TO|Vuo^LW^b3W*IF$ z$d`3MKL8FT&&h4GxSP+JoS;@KQgYPQx$Rl~_i5RVJUP5ad^vD4Mt^M1v0*>79T86K zLV7OJ$|HNf)Q!los4#wC64w`dTMgU|Ul#9*esQ4~o+el4w_g(Xjd^kDx~Uu^2IcwD z`5Vd+I1}|OT+MoMj>>q%lfS>Lt7;4|(gCQBT8@i=(^bg^L*w~|d7hJNbps}TQgq69Q@0^nYzKuV2IRhep=lFCO1()BTJl5O-$p*%ifXrzc?lCEfpGR=>mpEv>z~b1b5a_U8zW-GZJq$$1Ej3G*ghTbU7+EyX+ccY~oFh6ClT918Z|ip4=_x@cE_QiKO0k zTCLEjfn};0J?SrlCvs>`{PAPR^!{Nda>rlxBACWbusfJ;epp6BG=(bwc#aQv3+?TMY%4+g%!s*%1S zj&)npXbV4t4!a`-P{pRN_##-T2)>Dz5_Nk&9}Q-Q1o$ts}RrlutoOps+uE&M1rp7El2p2sg=H^8J#Dw%0QJqBmXLXT2ns zlC;{E;q`R6Qi_Bxjz&UenAc4*BYz(d}DfxdA=8j z&iL3GEa^FpZ^%U6@u%b;<+X`7>$-E!_}t%8WfuVxB7d>Rz{Z`Bx{9o$Dl>=s;-zjs zwfZ1--78F+5~4r6gmFMfMZ!iD28-*o$Lp6sXP}cbNK$uu*W;oZ)L3uh`aRnHss|%j z@9gFoq}JG!lx|yapo!32?_+S*Na1Bly4-7}dlqc(os>lXo}D#8G;>%#oq#^AOl`$W zE_iUzz=&T^2BqXx&SF26hrV-1#b(H-`h1tcq31T-ag+vqUoKo)>imzQP0nr&j%(FAsyok+0EzZ?0V0%vvjJWI&x(q4#)DIRp-e_2N*y;;-Vt zQgS${W+_~o$_@}~@O)hv8L~tz!;(at8w+E^-%pUipf*+29?lk%+x9O~b|;3Vj#iG7LbsP4R~5;NC%=+Ga}228dK zrxKlUqWoSqJqd|Fd967uXPA{LWqIzO(@(RI4X11{)4X%Dqca9aV8-Q9lY%<123~PW zuM-|xe1Nne@Qr=ZbIS@1qQs_)y{o$8MM|W;`{O5nTa08|Y`NU*scIX~eZ{lebNbXt z)W-daIntkX5aAbQ?=&D<=k+wCPc7xbd)Z8hmI4YKt+YA`IukZ)PB;QK(%&aDzVDN- z5xPOQ;A;XQN1^B!MGS&|qpG88pioO_w$%hd}}6^ijke!CPs=Ac~Gala~(~)aeQ6 zB8tK<@Q;^^0XJ;iK+OP2zq-*{PAi2um4Q|SbJ*i}Zcs!XZSIp5AVt_Qe zk#ti1&9Xw=V%#!;_YSpZ_12~LrLp%09l|gvH1hp?k>)@1R>(3eK#rh+X=w1L>k{>N z9EYxC36o&D0z!OaJn?L_J1e-T%2F;*SxwTZ_a9s)w7#S$ zlDvJSgHG?Mz7;#uc4rwSzhBwsh5naOR$zL)c?aMod zEH+5=%sKLDx#CaNvb^gFJZSdxo9a<%4LrZ5K0i^S^~`A;cX=ln`V98k7j%{jotlz3 z*r)jRNNQFqC)Ryb^-Cah?xUwg+}d(S8NT$40_W3ZAJ1n2-L79L zmQ{K$lQ=nv5|MG%aUz~x!g2*f-250{uPI{{eo7+fD}phkb9flq6+2(4fz5F))LJyz zx`PJU1{CwaScO?{{9d z@{Q5P@1-$62|Hri%?!>$*;yp|^Z;r*6JhaY{GCVMe)kd#y~fIR$3J$D@8nPLO+imU zqCEbFX^e5Ww*F28R7!OemgT=VpAa|052w6FWQg`b1hW$#M>W*2ehD|ihs$Uy}5Ap z-PP9v!~=KR4{JbDk<6DAmbESj{`wSguKU?i4Ks&_lnwmWZQ4np*k&4gM!8%FwS%>= zH%2AZ8aUk{g8$@IV3m)tz$P}of2NBI6rPnqJwB8ml%N<*>W#V8D!;3-9xzKhOCdxPyE5Dax-v*{dK62>%?j}Z+uQU z3H^$@ggEgSwsJC0d+FO>k>2GU++e9nsPQYQQm3ME+x%DYjXB-FKe+r!+1J{rzq7Na zwO0fmN1^Q)#XH8dP0oRGqF_gH&%|WFiP;#E9}^x4HVk-9#1px*>4YyOAXi#_8FMibXIjD7nSyvW z-1Z2o73-R&vg}|9R(BsU@ue41T!z-k`rL;Qq>tr|Pz~H#MsIe9` zQFsdr+?@<5;CP}b2{-b_egYMTm%_4oqmlCIl1Hgy>=S5kb1Ym-g$N*{{(cj$=KWIY zPM>3Gu`XESUVs4Zi8=d$V%i*eh~Z@6S}VUg)19#Vubu<-JBb)&=T0Lr^QPh#tf#wt-NNIN zh@_uQ4yntVHADaH4}t#w=$6$Ihr=KK!V6LK+*10}=)c*UHEs8VJgkuI^B3w^ zl1n7f(_~Yb6gLqkLk-LcXOT!=Q^%5_)tHCC|Avb4p*8#iU_k`Hmpwygnux$m<$1&Y z*R@Yxn+tj}LqiA-H$)(f9DmN9SfJt?{sGw7KTZc3GXwmESi_~A+TGbDyHq2ni}W;O zLExa-*j^K{CstEpwXI{3CXw)xgLoG3-uPdEvTVh*@c-P^r>k<5D}@GKwsE_@v5ebx zLrmxRBQ&iA?lyH|mGuC3auJ6KQNk}8iV;#lODXJNj0EHzmK_HRGznZEfjEqon8lB?S)ROG((|_+5sX064@!CRO0Q=nNeT^t~bH<})}1YUslN zI8-PfX;e}ri210`Vw^bKg`}IZP;m1)6xsxYa^V@+mRi> zyAYYnb=PPeviL&D?03a%nAj(>I&3TGic*|4%G zhgbjIBrR$~;tA4H>7q&?^pE(uUO|v*FCsUf%Kdt;8QOPf`0MEqeAUHg*v^Bq84dW%qwonQY&LZ!s#7+ zLm`iuiHG=@8i;p;JU?UV;UkYtqynLeQ?>_n_S*DhMM-;DN8b0sZ$ycN*h3E?j_$kq zd-{94CmZ!Q1!FykWe1JTRrIM{L5?gQ*=`;`N+#Tvc<71Z&W9DvuTTg06t!~1@Q7wb zOmU|3DE++ku6(%nQJIGZZYTzEd)=zTzUf~P770q1=E0Bx9%65ou6L9xa-VWq;oEmT zCPNhoC#eqY$Yo&Suu%Hrb*?<-7mJLE{_=UW%)7r^^Eapbp4X z$?}yzb)bT)Yivh-fcaR07%cCvFr6X;W$ODbh0GZ+S?tfUq~JNeOm^{JMZyBvwPm4hX6_ z5}D}l@~x!~%F9-uU!o+6?=O8*B|Mrx6connL4#XcyesReonu#^MWd?2xtmE9Qr=uBemCt6Z-xcPxGp=a5U2NDW86xxtzXv|BTjyw_$q%VX@3a5*pLA~*CA2s2w z=v~K(rnmMqH^nrXLdcFDq1)RmkNx<~U@N!tJLIG$cE3V%H@e<^Xv~*X>Vl&o{Krr| zcdwKuf{0sl1;M+TmqGd~vv^~p>3XpX5y!dK z;3WRg$YZA^QdWjfj&XrV>&aTDmrdx;!UNlJxhzEsTtYxkL*3^E$vbAh6Co9oFi-*X z*5&|lpr*D_A2F)y2YEXL%HHZ%d{*H`^M$IB$Hg;Tb2;cGCNO~7YxnP|g;S<*6gUys!${k5%rf3bp z7zZd3tBbK5KlZabRiQYyv~L(R*9VwE4+igRB zM2W`=(BD5*NWjHayRP3rR==FBC86@GPLVMy-NUBLXWHi?R$}T=TT;fdOr~z25o6~b z2e|0{`=&t{VWheQvDi(*3Ga%Y-KsRUrayK*$~#LE4;+}LYzQbdfxJvcB2CK+1QrQc zQxWY^25niz75VgIQrX_o)B>TO{N^y*UrzqLQPxz#gEpxx1++K0b>AIqR7a;4nj%!J ze~KL%Jw@t|57R*1PHN+E;%4xhPAALI88@9WGXB=Jdc{EERH9Wzs3#=z-=!Jjga>T+ z?}msgzm*ZdG{CTVoT>oXv%E~3M@jR9hZ3NgWt>Jz1Ew@%L%3Iit;YZxQgW(M7LT(w z=x@RlhcPCtUR^@*Af+_ElM1{-1plCz=ak5uX%po&U#c#BS8kpyKA6Cx*||kEuCE$QfJ~2$-P)@d z5TdhPO+YN-C$3$`XG^c$J*YF~+u+XO8zLw#-h5GD!kcoc{okf2^r$8J?Em4)$ zWy*9PcAmSe9mEGb^<-+Lkp4*akHmCvxfG+VhY&s6^wmTbZ8|{aZyAAPYn)?7p3s4h z8Q;juK3Gt*2sb(0(I|e~6z(F4qjz)M)|L(rjV_xmO z-?>?GQraq~V!G9A#spupAA-#LW%4I7HzrUpUil;6QnOz>)jM{VF|bm{nqha`9`Kk9 zDW!6}WUdHpQb4F_Z$TnHsLH^N<2XXmvEk3>CTP1vK>*Zg4CkQPnz^hJnLOO;Rhr%rstx-1d+K#xB{w^zFc#T z`1__Is%b12ZV8rV4AR+bXO}7J$k-s=q_;O(!NnY^lW)2;R|Er(2x7m;kF>)rWEL`( z{e&t@emprEX-%a1hVzojQ-1o2$zWb1?Sshj^nI|dOVx-Fgwb$f53BR%#iepLMUyC} zKJ2XHnL>`V?_}CFn9^+ z>vVbE++kQtRvB{d2~AVY!zPB#)riYP4ZDH2w<2ItGZ5qQux4OwIk`~hUlIDMnOUMJ zu=grw>k;YFi)QulV@`hj`M+X<171}Xw8)lpj7G$nL(9_$tC_J!TeG9hsGPl_?hS>p zd+5zHYud#R$zgGb$X4>Qqa;@2)J#;qId7u|R=D%Ayz$b!~8wog#(0p)<>F^gO42k7cP6*3BasSrh9jC4{@g3td-PQ^dT=^yA{bv-odNqr; z*2-?Qc2nTFqc^f_Zap2l=|!}T?m#P&Yd<4KCj%_;!Mk8Q&Kl7 z?QhPA0Btg+EBvn>T)qfL+IZ~_x)kZCDXZ%$8vI2E-xgAu>NH((93anFzz~;16i5@L zz#?R+Or`IrU-|NsyX|t9*N33%U4ce1HaOHi)wT7LFa4~8Py^bQ+aKI|$|&A(Jgi{8 z3buK5w>*Jbc&5~*qJ5o7qpJUFG*p*;V)nOYddi%$lM_Ekkg<-0#Xn-Sz>4!1mO%YY zJpYeJYh?sxytV1~>6o9)|8l_INKykG4ILVwQ3lKP4ZUNepzHev$b9i})bk&TF!_dJ zwowAPl+MP-ek6Nn(B$?;!?4KDIyo2$>(-4Womv|6*l;S_!OeJXXoa1 z`-60hO-GSAp~ZblPPiRCoH1J7VI@^cVU;}+KhP zqq98|$OA_Ks-+_KVPD5|dYk?)hLM)lpOel;rT_OLj6koo@B&#HO5EKDK|L@?0MoI# z$uT6TO5~E%%>C%quifv4r!#ToE41TiCn)ZJZV$H{jHAklJIqPCvqMhYf}6 z&+DKaB*F#eD`HX)&J>Z;*;XLMF;*1Vza~W>`sJ?x?#;$jk=Zi`eJ*%3)tT^Z0vzj8 zaZ%^JBqiCdbxk+5*rEg1N>#(Am)VsGqZZRG@M*Z*hgs-{`wr$1)U~dzXG|PkN|UYRFaQ?eLsRJ<8%r z%&;sfao2c$Bu*jE<7YITF62UiSFI5Y?eZoS^VY-f))bC8J zY=~rYv{TPpn4h9SVgwX#1f@fm975^}gjr8TkJM409Zp3V+qN92yyMI#I(xTd<~RzW zW04m78`+D;RxiL6KDmra*2Ab!3iw@NZn?~Tz%^-@k@-=_kyG{Yu5t_|>5avv_FT>v zt+1@Flr8=7F(=|vq{|5Jqu05_#P#))S=dR9_IaOiB~g+~r26K=SBt53(gaF&r^Fi) zb;GPIXn&rKhpD6qhmF>%*Yn+1xX9Pp;c&tw{Kq)5+?UqspOV1vUggcElL29+G(aJh z&PLMCW?>weu;q`<_E=t0nS=n$JSgrYVq!vyo%M4u}Wa9{M=>{8_YICIwLPgly~I>So* zIo62;Lfz0m5G)ZHJhn#N2phV+wincvw4lwI>Jtmqe-N>SWTcI`O*i`TjSQ<)E#OZ( zllYgI+ZV+;K%0d zX6T%@GI$%cNNI$j(cL$ViYOO;r>k=C7!W(vLMly=tEBE9A}^^*1oprT@f&kcdi_(r z5}uwA#u0hU7UURmA<26>$clls4yYJj^%t^k6WY0aLS>0|i?DIp{p;>z(V716O(`w+ zN6b}}z^^lCKxZHpcHR1!9nPUrZ3LZ|vzYEY?eY!S&HreUZ?)t^1&L@@U(^T~)K-sQ`$YmseyuK0CckbE18)M}k(rV{yN_ql}6F zZ-UpW$-AA5AAGLqe(ofExmKYGmj=W2d0Bz@KlQFnKiRA! z>YHS4(K)bvDx@icCL%>*?o5Vdhje776F(+k-;x5SH3h1igsT`}Ur1(pJxO_&8I?f6 zRi6KrK>!w260T{(c9h&-oxtx*Z~Z;nRz^5rEr$GKQO8g=Yft{Co63-2H=5b>wj#Lg zf|%M*fk*+{^SznQ$sxhF5^nS_+D_G+l(-YH4#Sf2`hI%!)x|a$55I_thj3Xf1}C0A zQO>>B&ew7));*g{IS7?-X3PS0#yN#gs%R3?$sQWgHY;A;g0>i9na)^rzuMek4Kwr%5NE+nr9`rm{`^d4e3K;TDNgW zxF;C-H;slCw>>s8?=`u-ZIllAuBI%Un`|NrQyhKPgy<6In>G_O91_!H@xbY3If1Sm z+F)*awN8{TJNwFl0=vf3H2RBPBl(RsufMmel5k|J@>$OnZT!}IY$_EtsDs)gXVCAh^88yAHX_^UL4 zi&__hi+byYEnByi0RGK8dAE(HW@>&QG$92`@F3Ek$kh!^HEbap0d)YDSmoU^jLdF#rFfX*DvQ z*dF&wy}E0-PlO#qvcrC|dR3}xKi5NOo(IQoN=ky$QCXo0j5JX%3TsvN;@>$j90ZrS z;1aAr@XE*3UCNu|%L<O{(nHn}$Q!#T>-HJQITM%K&V!MSr{A;{~2+HeB zZ&zH*ZxLUa&R=^x)7n3Qwn{tSIR#AkFW3MaYv1-!KFaZpVs5tIAIIE(J%;> zRxYY8JtP$jR*fa-Xc7OpSuM@g2h-N=^nvTmwJ}ZUD8-c6{r<)V?g8v!tC*K=#TJA) ziRJ2vjK+Co@KyWc;jpes;8LRs&8HcDo)1U}dnUO!DnrgS5*+7d`7}#>unLy>Gtu8&AWBzR}6 zu9{~sp1!D4zv_yKva-9K1TTerKKSksS2y;1X|}+C_YqrLRh1mA1Sr;sJqC*ht04w6 zlP2=l5<=Xc@^(7Qc++Qis1GHDgL^Mq&7wF2OZt!M(P}n_ncxZqdI^sLXD1D8jsDqd zzl>l8tm1-FDSf$>XT{E&nhyle8yJYxd~C}G^;S?Vper^Fb1{_0=lPp;3x%~{td*y@ zGyHh7oG%|tWwjCdaX+@#<(4%~7$6)NK+H?WGVA`+57&q~SbgKU^m+uJ@lq5b(@k=8 z2uo)X>LeXDv{`k_y1R2sIpI^fu-$5saT~ zADb$SSjy2;;q2EzI?f_8b^=l3$iIJD1Iq5+?q2Vie+78-qysva+Q9hp>2tVY26-5eEtb%QvO1Z zAD~bR1)oU66$i!INa4X*+FK^RUz853k>#zFT$x{3XBCO}yUcl+4reK(Vm7}XuEFgWa;xG@ z1=<(^;^IOia@US=)mg*ZfxFC>Shr4{_NNKR$ z)G7Tkeo?CcnMMDItn}G8{=ot|yyK z@rY%jF!F~*RfSyT*@_^;z8a=)iJD5HI5fQ5hAr?%=~_8BNFtXRo`M*t`Oz7vg#H)Bfn zhS!8>0*@E($K%MT0aj52T=qrFS%g8?z!T33t@%+0X(=T6C!U6Y4R}~$-Qz?%pCYj^ z?==hQT7vF`v?9fO<&dTXk9V`jf$r=~B_uEYv5N(8#(ay+gitDa&M|2pt9>}PlnGU062XhtL;IP?KnQ+&$0sS) z!FtCclccG~rW>TzQ7Xae^>EGYaue%qXZt+rCwkmC+a#xqA{5hYnAh&D?X*5Or@5BK z&8Px_KCgs}(OPrvIBB;xKRg38xr2Oaj_09iYECMnWhxbLn9gm;uhBF#$+d)Q+z&QS zD^DUwV7cm6t@YPTQ{)jY{_xz$bUY&G{1+X<+4)r{<3R_<#MBRY5?;c%J~@_2){{$`F?t3o+|XJ_$}<;Ki!8d7Ndu ze;OS9%q++0?!B;ks!_g(`;<^?xcFd&1nAw|owX@Hz#OkUPW8f0b||qa(I^@F=x|U*CU=!;S{b->>Eajvw&>zAHc492nTHu$k(MqP@#x;%SpS%P zIb60Uoa@qjx$YfywK=}8p-0NkqO^8*n%t5aJy-Z6?^4EV@{R29p+;iX*{SY|rVN;- z`|EcRWdX6W&sRGE%0y#cUAq`tVg+kY#vzyNSG^Ksa>%EHHS8!6sl%(6lhB@di7VIL zO%&qQ&QTjU8=9LDre3xBNOjrpQhV}G*%8*39IXdMiR=<*@ zmA}G!Xb?ut%(0)}9*n)Fy*=KuR2=$wjfgfVt>dfued`DUvfmDX%X%}p=l%=iw#mU%CSBB@JCPIV;7$>Fm% zoi+c6vqi%^4n4?Lfqt8HLz+f7v^YyuRPkPRRw!En;7rcfNW4!JozyZ4Ugu5es5vsW zID&~jFd_YFK8Ey5%aqg@j_g?~ueBpt2*&5>F^aSfk>T z*JvMbl*LE~1UFM4&@Q@z2zQZgBsgr?F%DU@8jcnm8%m#N&!P1`duB*xzXy?v%pC{| zU_1mKw+RVTTD8#40M6(-Sa)U|6Dcc`$GVU5v8nV2*MDC@jAjwv2wq&?!fD^elDq|k z_#N>5Pu4`v`S0%2N!A+-dqf+@W*aOqDn!vQpYMH|Lb?WW5rhn83TQ3Gd?H*PT4vsI zipn4=4^Au?_n+W|2CdOCAb*W#@et3VW9a?YSu{PP;jkvzqfuRR^IQ4(wPx0dUaQdd z?E!hKy@iOmO)>V?vIP{U59i~5TrbWn=P+*^U3$fy-QsmDnS0OZwll|ZR2g;@rrA`z zQ}a8Kyz7e;;LHV4*WQ^A!8C!aM}z(|Xx%#$tcRD~JGi&O|LOn+d*kUzlTrbh9gI)) zwasGWa((6YO!gEAF6uaoUvo`lb@};$-?qHF z%I3;s3<0>k@Ng-Fx~Cfz?arZ-EF|96w0bk_yPwb6gGdg`mn?5r6OP95x_|w&rr>F4 zg?~a%)1R6CQ-WI)H0NH3EsnL>A7E*Zeg=$FL#AS8{zT-zDB z8f|-8TiB_$INe8ZHhMSrRWH`!l^nv=A=domziZt!q;rJExbmMDp$R zlG&eA_J!-h1z1INDf9<&wsZER*+1UXC-L2D2t7skv0jB&!@wRhJYkf*Q)|DF{E|pg zOWM-fRjx($A)=4=V#mKR(I5$Q%&YucU*f#x9ovm;2hmKZRD6P*)#KgW_^ z`WJDY&h8|T@u{yTuetf_{AZF^Ifxvhhc+}*+EbEVrb_jB9mU&TOptF${FO#M zHE|QU{j!?$3n%3rMp!qhxBSN_6-6z8B~??fo>IC|&nD4&*T~*FXytK^G`x3d(R6uG z^03ubu{)$$dsupBz^|oxGtH+H-a%%8 zg^!W};HDzIUPZh4-=Dt7tZ5!#a$QrncL7elBMe#xV+)K~51Eue_;G0sgNJL6IJtnO zJw&vU9x$TezKF6d0r5ThN>$g?gXnp=!D+!HQ^*W^B|Q9=&Tc`7V~(v>WbBNeAWjym zn-yN3kW=px%a(CV*TQN(^x>nJ6K`87sjo;X!z7!?rygNYHU2PKAg-k}w2$SiIFBoR zXp|qMb`n^qpd#&=oR+$rAHzaT@a&L*rvMQyqdt%tr#f37rWXNb?SKlNvd5_g}O%5dJ0mB=fIWl-~%fU#u3Ar7j8 zab-V2n$Bk&q2K5K4pD=EZV+xU9w*3s5a8nQH3+bOmKwEfGC>o5uO&vi8|WONbm1gQt96!y7_Q~fU_Ip$W!6OLgKtb zXgl}!Dr&zi#|0Dxq|hsfZT_}qxYtD{Ws&I{)8`+a(|u|vyGCr}*^0a?IJJ!NNZ&>t z?+j(cxdPN5@@|X&#G@_HBR~RMl^j3Q6~T36K!-7SdF1vgpjV`Poq4D+;*ai5kkc^V9j+uFbcmZ`r)oji~c85O#T_j>WXDg>y_{n%!qTys_Of7rdsN=3tf%N zrBv1nO$$iW4<>UT9nHuCQb)qfY3!g3@+Hq{WGVnHISQk@64z`7w~*x@ll~TM?0Mbu zcTuhqwBISvLL#6%O#iO|acgpRka<_M!V~Z=$Y*s_msvO3J>(P8HS>=YA~$yaV6fW+ z%?O5ZxvYo6asDzh1wO+6o}J5H(`H6i4p)Ol#*K{53dZmSWUf{f3n;)MK{e#}1dHf#7FR>+>c+=3T*2UFQ zEcik?XluVI3&}gYs8Jy0Pa6c*gwiP+dL}DAJl_ebScsUxb}zOLgT(scN;~pSl?Z${ zd&?~1e}7YyF(PmJ%>aL_KsDKeeXYh31Z-w>Ir=Q)ftB(`+vFwBO{BdCD~~USmV%?A zSxPHUN&=Y*dP^?4z=2@L0b#wE3EzT}Vug?W?Fwi9rU+vl9nYD)kF{pZlu2wEt@S1m z3MNJ|b?c@@_kLL1Qw>8q@O+!=*?+3^dZRI?JQH+(Frc*h>uyL(2Oh`14?yS`Q_ZP} ze9UqQy&G0~HV|udQeWSrahSbZfkdO)Tdj?7Z&_)Ld(9*h`32`MB+iryiADTpOHn6jf%=1H23*Ej0#6FdsWG!8VbKF!%y)yxA`g#DHSmRhOD` z$U%4C%7heoyMVK`5S8NXtz6IWmh$3{O|j%S22E@sCan@O+I1Owo9<0Yn@i#d!|~(J zn70?Ku;zwvYfM>!%#P{`lWH>aM&T`n9}V}LjFMMOw%S-~0d#Bqd{ztx0-3j;*iR42 zOM^N>R1DB@xWw)(@*_1R^|GgOB$8V#s7>ctxgNYNh!@-$eHgFhTN^i~BNcnrW`C5R zG;0>Gm=H#?cz<2z6>`6`Ze%^zeHWguVf6rt{%~M5(U?~rRvR>W7sKtIZ!{p6s4gIg^smLiKjyLr zCA5T2-!xT_P_Swi8`+pHNs#k!{5wiqvg}*FTq%u8(*zkNW4DtYjU#tt8su~Hf+{}b z9M`*>{mZ1kHi37-O1Ud(t-}ysR4engBaGyB8Mg@PS`j0RW=(5qh#xjZUO=r@-o0-; zW9StP<8<)hvz%`6G0h&htpH-O4_LH*AtWxW@uSCk+h7dfD&V( z$P0>A6SYC44qZ!713Oex{D7g{-zRcJsl` zZurH8m1J14)d(AI4(_vN-m~n@GJ*&f-l8Oc&b6!X24!pow9RxqF=yt;#T0f3+vg*@om@oZ1EvhKC%SFIm`7qI{>26H_vJR_}P8A)sw0 zujoO?fur}_W*&=nbeuhA7Ic)n&FmIkZQ~_trj)n(=vvI0=#0=}Ph0xI)FG2Me@bjI zx~8Ln(^Fo&IOcn;$(Uwy9>u>b?cy6vy7CM3B$xLMf<|95YXWE|YEJ(tmeJ(3mZ2>S z0iB!?sC_-Q^GqM`}~pK;#M#O0^TI#ub6lYZt{KIMGuoo2&m0yO=Ck)V_mIOu$Kn>{aDnd;edN+rHS z%b_ysiXNuV`UY}`H6A~+9O52l{oHQPdG^i{4~(6?y%>_59I`% z4BgY;r9$NTkzE-Y=LALQd0i+PB;LITy<6-H)W9w!vpU*H-|GI(wRVTwo%sWvfWaOi+NXS|Fl63RYf-u;YSrA&j8 z7u@f*(2{!e@@i{`pe4P97Bu{bH3f||ExLk+bv1B!n$Qxl)ipz+1vy99lj)7~TKQysle z&~+SrP|!6TeN@m@9p#9RV&UikQzyl%3i_x8f%0|qq%b+VV zvD%?B#O?&ztCWm32U=Q%L}xo%#tTA*vWjl-xqrOL* z6f|}(yP1blRNCyufa`-eq}#7h$(9uQ?~HoQFW@F=p(9;&0_x3?ZPD z1T5layYii_3?-D3mha$w1j;){b@9N7>ysW&crdpZRze63j^Q^EUxqF}np8r=-shMM z#8*-fX!96Lc@BM3+hon59wRy<7rx{ahnYr`Hf*4IMS9>3tm3m-YJ^o=|KC({eWIWI6?9~)6oM3J>5}upe1ea z-Cxl9PK`R>koP;1wu7r_d!eD!r@LC!m@-^q+5z2P&>uLuouJn^s%N5I;po;v`)fxR z2)fMCy#>9%(X9l%$<&{B(LeHQ0Z)cxwqsB~X0)M<4W!$L>TuX0Gw5f0%w4hZeO&OtrAmpV$VpOVGe@TT9AZ%w~5$9@^tSl_If zy5iBERfFO`f#NxpU!bhJ1ih$=UeQA-S+ndPcUEd-Y2mb8Q1+W7y2#NV3mRop%iAlR zwx6@LUhA~~SNP%~AOpTvk%2U^j|a4kkQeRXw`UHu5%Qu7#&NL{#t74PXtzNh5p?Fy zR*LK*I8tl3{mmiOsZ^&K! zs2Izv>p`_1qoEpGpmo2mAFYQB*)kyKLc6#RNQy%b>M6wN;#oo)`aMI?gPgCT^BmQ* zHBFX0Xqqf~m^_=rW7^p47Vl!Fcvp;MQDU{0cfo2kIGZvh;28!xNAEf zew6w<(V`ECyn8x_y3cjC(AI0CmpKRZA7a*1Yoq#^%XRJ4`=;Ud=@`=@mqB~1OB}sG zQW0ZU>LZ2^^F5)BR$51%>NV}(dTjqJ9Qv()$;HUG(c2m01aQ*1@!{>P9-G=(@YNHD zBQMnYV%AvFo>u~@*%`QdJ;O6#^dtE!r zdK{qH!7lDv6GT5t{onBIuMrO6uWM|f$pN8V?6GMcM_y=|-^*#w7Y;F=s^ub3_2Lh7 z4(ADnC_{Bx&Eu#EP7n^eJ6}!lwvIOa7K<94ezE4ZzND1un3G5k8tp_&*4CF4JJWS5 zXLu=W>r2WU;8d+Ip>^8AE-aS%vit&#^MjuwC@liCe=R6&HYh#q6d^K3-`6{D!+K*kNY2uf1<=jJD+1>(6lvsMMJGlEp_RcW)oORt5ZwuIsMk` z{n^U#EXyHkgJQen7o{6?eZa2tgZ|#pO$EKx(X9o&-ceRkBnL(U;7cDW(Y6Mzl#-_3 zqK7ZImAqZ7kCZT34cYYdxyi%l|iN6qzmvA!=m`W8V)V!ykX+XP=-9ervQ z-PkH&>fwII38;6WrN+&gHs+=4<1m+1X{pJRLt8Ib^xE`W^suknnT`s-)KNwm({|c= z#G(I&1nZI$P=%rE?t%9X(%k*7RF+_B_{4_VQ^FLOVJ#-Sk^@wlcJD zI`dkeTU_L}qqB%nM`^wON~ayqb+1@z%mK~>c^Q}6$!Z}+!5;0^MxgX{K}WY<84k8_ zZru5z-&hCTk3YFG%Yx74i@rC;sMv{jWw+hM6W z@{dg(WEXcu=Q-LmS+tY08tAHNvS=l|?E$If+M4O2ov7_~yd+k$=(?b$N!3|DBTr3} zMQ5>Usy?ze(=<8WzI}LN-<3LQB36mhGE7ttW%5$7a`#&%stnafTy&Hw+D#pYiM65X zE62Ku`iP;f(~ULAr$`An+&#RL1&uaq>^+pG$x=J_Q=i59R2|D)$aO7iaoTFRrH`X+3KjGmC32?Y2&$OTiwq(3D*4Jz3|PM z{36ZgZJ3eJKY1wUjpkXNaSkYBk-U2|fgM*kZ|R&P^uv(!LgR}x5qf+V3VYs-ugWlL znx~Q?2^T2jAF+RVl4*Ro1D&;^oOPVhdrRQBL&hOK~3X@a3e~e3Y%= zID9$zkV%o{iKaI+ z*z$y|EO`>v^=ZotezO+7{GvW@>+y?SQclqhSNV^2CSpB4e1GP#>Ar-P7n7M6FyD_#BvI%2>qP>E>eQ->7mQ)H}C$=o*zGyua#x!!sXt*TS8y#q1QU+ zdnFWWCI0wc(E0W|Id5#g2ZvrLp&Kq2`r|GXyXT!be&54lC?x{)Do6J=tdx$9-kwPH z8g?bhiAJETlT0+)iPZ%S-;X$wHqf;N4NrG=herPV$ewsR@~7n<+E`KUkw2WiO&<2s+=<>jd4#(O(NX&(RwN-OACM1l_{Xn+4t6(OU)G z)Y01o-PqCJ3A&-9cM3Yk(YpoRz|lVlI@{5E1zpe4KMK08qkj@~ZATvvbWKMe5_FcM zj|jS&qmK#tWJmu;&{Z5=#XN${c?vB#|71ZQb96O9A8~Y+pbt5^rl1cvy0)Nya&%om z|LEv?g5K-s><*2Rf4s@sO1@>~i0kbQy@tMDYIAlOnxg;WK|!g5LGKfE9Y>YJT8>hG zXKiko*0l#pJKnKj6>u~~`jQ(>!Xg5Y-+@& zk}armh|^tE4{^4I&KfOr4*xFlvY#34==l2c9X(%Y_jXkIE^<`ol42yXpV_E=ox?yi zwzCD@-)YYkG&ty-M9frNWACIrghzU!aCnpR{ZbFb*5jmyGXy=rX*0#pzQED?cR+Hy z8rqmyIaBz?X&!aHyO2#?353ICR%rp`yfkRc^Va1(M`DY$x6c&5mpk9oBz!}YxsC}m z@}e$Tu4shzMRpOP{9$Q@xI}7cvoVK2}I^WYJ#c|%sj>7j!=UdOA1wy;bY4e;M z(sqcatsdKU!r?~e@KurQHO?VVor7$dhoT&=bz1#O z%Jq)!BOK0ibO%8r6>|l>!D;srG`^@(|9*=~7T-hJTsXvcR#e{js>|O9?N42XO$5E& z(Jcl2t)ovD^iD_XGU&eXTb=f4!r?AQcNa9i>Qa|%eW8tSz5J!17dhXJ1dT7iC!GIpq%>)?L0w$?I^8va=6aX z9RywGD850`;*Y?0H$g9QG*9y&#hm5=ZJq}L$`~DJo=F1AnIxb~?8?|KaFjMY(eoUo zwN8{a8xGXjiBg|~vKKGWe|41lCsFDqXgMn^(Ni6LouFTF^bLZZzg*Nnnzq%q0v8o*(G->fqNySLUqjF?~ zH)F)*LgBlY^F2$@{T>Krt-SUH=c5^#u-2K?(x@$j@7TgY&N za9}nb{>>(f9-2)SJv5svdeFMB)ssalQOl{7Jdx|kS9m>{J_naU+x&Az9v5}^jJ-f7*Hqe+$x>3-rEQHYd*O`_HEu&u0s$aqg8R*4AyQ`zx zlGXPjh7Y5@(RR*3V++qlPjG*()2g?^$QzPrtr4-Q#}uh}*yyuGpJi!+LtT^g*6A|Q zLug$!$><+^b*62hqjis|o?`WaV&17fuc!NmA~yB8V%CUpztls_faJUg(laK=J!dL- zI?U12gu`nbJw?#a&Z@lFl@xxgVl>=!J~n7oXN#b>tDig$+o^lS4;hz~VJ(+Ib2Cmz zs(ZRBS$Ko$_c0QSyy~Y0ZM_E9910GK#{ApaqTfKjBWTo~>U)M4-}0j5P|J&=hn2Zp z&~|U z#%^3~8KQNna~P1c9q4J(Ryx`Mt=FTr*H$FPD(daAj#Y$DpzU$A(n=fWaOUa-@*wmu zIaU((pevTb!yAc}s6QE&s{Um7eRX@#x^E53Mf9QmS=d8AIUnAY+QXi15BeRk@Xz}3 z@P@aYx$Y1qv;_T2Q=}q-7Q8>I!VRBg8e?M%YiLUGDJ%Z9N zB(`i#d3w-j;Fo$o7r)r|pO++WDeu=dGrb(gvZO&pY}yLEDaqqO)bL9Y*+4XN)RhXX%Nd z$!2{;XKg=D(L>t}R`k$zgB3lna*JAHO}nx#dB9P6R*C-6(G3K>+tG~$z1`8a7qRG< zl`5&pmCyRVW~rw=()pqBn@D>>&tuaWv6#8KQfO&KkxXYm<~ypi!9my7ZR@o4 zIoA4YpRO_pJ~&MMAXxZ6{&TS!=tC z9$MR7^w8SwqKDSUDSDVG^uSf8qV7dc-Y zO^S7|n#X~v@42sY7^udU>vyoEu#)k%s93+=b_W)V(*9=bwXf%~qLD-OeEW{%akNe+ z2pa80%Zrv5l=-O79K+?9S)zesu?u3oeeD$NEAFFALnc}9&rpeLP zJIyAG?bOFIN8;IxPLu4AnPv6Ds&@Z#S3iJovCAM(a`z|$@=K(jcuc=Yd<5jZuL>{! z%}?IV(0JEabl&38uZp{-{hiHu!(nKwBoYWHWb@K=nFIdHHlwrZZ1QR)=Ux;``H4OK3`>u8h!95<;4{O>rI4ECl12&K7dKSqRqhT)WCI zO2N;~c0t>IxKv#woeXGQysq2+9?&~I{R`YpcT*!FW%wl1-M>|a>l?6~L` z^d`Hw*L%r2X7`xA39a_CcXAH((E?ppzJt?F)L82kJ?lfx`pU>yuYRG>#*CeEh}lye zZ-~`{cUl~&$qkJkvF3OBc#3%&9mj~1!p;>L>a%-$85cO6+M}hEywzi?@4IH#M3D^I zm}fdi&=)%29|;;V=)U$#J?HsObMn2!(VSrxLjkF6iR@fE{=75%={HH5P6q6t$xKYzNDx+i&Uib z!6Cj9d6vi!>l&4Bd>t`Q5`@DcEmWu9-W@p4^; zt%UDyobSn^hr^x2KMVS|j@C7~h48(}`DSbcA7KAzTlA}o%S>^g`i;k5Ir=>7{$%a> zGe_%RpWIPs<13MS2>Ns9px=IZzbrBj_Nlw zTjaRFn5x zeNIi=Rn=XOi(dwID7~w!X-%LGT}@ENXyCAxpuv|B?Bu}66tuGi{ez=(1ijPIO$5Eo zQO@v6zPC8Km7q5|nrC;BHvDO1z?Vr5_&K1QXq71KFeqM2qIfi*_y&p6l7n(8a-y7i z3wpSqCp-F&f_~i5_X>Kfqwg2=Xh%s=#%5p_Tg$hihv}DZXIO?|589XheHFdQ=*ZuC z5Bqw{>&*F>$<`H0(ZjCyyDt+C(PJG+zx!d6E@fc84SDOcdk+Y0tSnQ>VinqeNVccF z313QX#uh!{9}60^*_+|b=rf!zw9#|dF@=T0#+Lmz_(nf#K=>|k4i^YIv^Nfi*EntV z-$0|ERqy3zp8?wFp=6%{lzNPN_Ok|~CyHcma@sEm8mmm2^)>6mcJ_5EY1UWlq1Amw z4+BzbJTdCNSRJopx;uIasIR4~k9hYpwjFEf>T6}{v0W!xkM(@@vrX#l+elj8`gpar zSuwV)ZC0$iyHMl}E2&FXZ|Cc6w_4v~&mkRkkMZhydz@op)N-$M4w|;%+hc8cW8_pl zg&0@Xuc9y`NUZg`M6EA;In`u%73y8BYubjd>LGGSbMqNatMW#Qb37G(kqV`a(Q(cB zm^bh5~C3g7sLi=x9yH#r|vZ|L& zU1hz8(`r9!4X4$;hm3ttTC{%H%xSg6*6Zr1VR9Y^elZ)BvoN4hj+JlplInG;)=^Pc zYi!YfJ4N#1a8KLGf<`}fD)Vg>*RQtzJG;D^oAowebLXI%9KRgurv{IF%egP|I9id3 z%C~yS(sI$(6O>XNd7&-(hHg7L1`_j|b?-{;Ec$u_#wkZ!q9!<1(5NR{zLh-DHXv#v zEiF@>Z#J3G)`6G~&_m=!db*%PJzdc7blWz4SZjDQQ zzFXG_v~?h*oW)v+whp9NR9gp9^w8FU6g`Y?9mtc-vtce24`)rg$}dn5E_3+39{{?p zqYnzYj-&VWP~LpVp6E5!SHtG)B(yPNc#d$05rMYaxM;GiHZFQ-tBs2u+G^wC8#FJo zd6~2{;VZY*#>F1AClMZWTWwslQ}2E08rinmxYV$*l6rJrCS~(}^Xypt$nWA=^2@-s zYSr}6RvQ<~pa+4Kv{m9o4{eor(Zg0|6IpBA=vLBJ_ZRK7T^U6WtU*XCVO0oq$>i+H zIL%_BMXS$+>WYuHV!!BWPuH2wR>z8u`l`Q?tQ*vo4zZ?T!0aNeB-SI=R|x8S_iJ3< z`f8T?JbFKCliG^?Vh^!1L{}^YI+9hZ^_327zev%uu3Bx@*Q{@FjaXmn)9SurC9$ff z?UgI~sMoZuRHB zy>i<+THkG_t9N4u$FR;~o4}6PTifGS`JvO$+^N;UlKLw1xQ6OyqX?=0N0j1f1m&LKzBkm^~c2l(n<*BE!maY<+c&E5Z?2iOIN9Jv5p z>gY}c^>&TKIp!ldZ@j)wYp( zO>vyCo~L3ETbz}VD;z-MoFL7M*mtZamM`;stM9iRNs8;asry&sd~{85$dF@8q#{mv zucx@4o7;(%#BNzlahzjbKX05J8-#OnL zi3NSNqxF3k$(INYwSTNW(o>P+Y-c_9H%<=T#Tt;3HaJ{nQNrOFg2uVZx)L`|4t}Q4 zUOp^``p&t{gu^Y)p`HpoqdiVoub(Dxr%uPY)%CRL z8SPhjY#WITac*@zZF)v~oE~3aNnTHzp3#1d%TVW_nv8S7>nBv#Q&Ha+peIz{>oVwW zf%VKVu}$^q=UC|j?WZ3!cG}fFwt8EbtsW9>>9qayRQEM{-WjlWvJ@_MH0wTS4s|r^ zRM0q2LQnj7fzzHReB(TyY@Of`ty7(E)<)1qZIpW^L8BEpSz;T0l)0|S6?qlJHhh13 zbbQV5nzv#)Ko4OL&08sDvw16}rfuFz@r~*&dh=F_c2;I@h1xUb#I>Ilz1w=7nk_FJ zTA#PHL#@wS^w9deMGxWswm$E0HLdp4qUYZFyhUfN&s%)Csq9PF=PK)c^wIS7v^PF_ z4*y>O00030|9AmplDkU-K@i5rXktziV~p>BrizV~5U>#8a<`GydwFbxSa@J=GvC~267Wf3WH1D`16z0WHRj*$ zepMzZ2LtjAkib*JAgC_5)l6I$r(|wg5oJ7w6=AY1ZZJ~mWaBC$$e6+ogwI%D>O#Lb zI((gxBIEK6a5)b!){6xjtkFvECnqG7j-k{SDLSsna&I3?3HZczO+{!}uz=rrjM#_) zw>?WF9`5^~4>RW|GN%ZmVOXxiI1em?Syo`Uc2y32thJt!va@AG$siK^!?`Q5m-nBO z0a@=15$d-a>$r1swXoSQYm@mjdgMyYa&;lSS=m0`8~LfS}R0qzt?iw;5V^a_qeTsQ;?A{Q6S z!@UyA#>A+n)#i681CMwsFmftubHjI|RtDjj?lEYK)Cx||@JEe^oZK-HkN}|F#-T7fSRew%6vd^%Thpu?8|7T4K`l$*=xDw>LrG_Ge)=Lc`67C@VFIlTa O$t00000005%^00000005W*00000004La>{Po>!$1%W??(l6 zP(qJ+#7>|?N<#w_NR(C*yD&;(<2e7t5Ag$(GzjqsJI>AK6e&&=MF=5II_=$fc6V;< zbHCs3ACyX^FNwcpE{L8_(c?(ml8Lc62&E+x!NDLtZa@{V%ODG|5;#M7)-!|{|OK%V8f%)&d&>zoi-Gl*Ly^1`fR zBJ5RB1U2&RnuV_@M?7ZX8wcW^5qTr0LS&tFltYD{D%31>1C=+ZTWjqTQ3XO9C{W$+ zM6^2IVy;qMQ-4IAB~n(ET1PdJ&%(~7)ubyVTu2T;acEp_G%nDBzu^SRk7=2$5q+>7ew0(;UtvAN(%LHfpQcs}79)my I1Ctp7em~UC6#xJL delta 465 zcmV;?0WSWS1(yYoR(~A=00000005%^00000005T)00000004La>{Po>!$1%;??(l6 zP(qIbBu=11N<#$&5~Y>IE{u}cIL=S<5BvZn4MIH3j&rj)Man}_gb?DS)836|cjv}F z=XstVIgaxs@wdz+(eo*KT!}j}F_s6xSuqhD4C3SV^1Xjz#6|inrS` zt7ZS@vVL{#m-dO2hZ{$>oY_g7WsL)e|nx$@_@)mV#twSQJKxhL6s{5UY zR>xZ`RH|!=sDHCW%BoW9s3vkM>h+pb#(;abadIc2e&Hr*d>^*5p8L>mq*=e`Hi95b z{aVwFx~(+qgx##s_JgL|iaSZv4g)_CUX(>~cgQ_X<2V~Wz&Uw4(GglWvFA9D1-RIk zWr8y@Y-mrO*?U8^A*}8FpQSt*+i<{Vv$ica2WMC}i$)0)+BSiLJB30MD67*O%|KxT zMpM)dB4wJE8RX7zXk2bIo}dMP!zq*>(=uNp`d~Z!D5t`|!g_|KwN>^%O|2L#Mhy7| HlNthk)3?$x diff --git a/adder_8b/db/adder_8b.map.cdb b/adder_8b/db/adder_8b.map.cdb index abed25c51870d4c50a6a1656bd6336be5d7c64de..e55bf57f1a02b99e0815a7eef4eadc871236519b 100644 GIT binary patch delta 1572 zcmV+<2HW}65YP~iR%grx00000006uK00000002-300000004La>|8sK9W@l54GGT% z5aLnovI2ylK(Me8RQ?MzK$i{y9TjvpWlE}*D3gXr`3*>PNKpjh8S!4;O9Kc2A+H_2 z&-S@UL3>ikb|v7 zIju=0SXTF7SWWvL-+pp?`-!dNTTfBw9XKwdX6$iGrkuxs`v>}_KEAK(8Tw=Tj-N9y zeeGEX^L@e{DdpX5)lE{0>-=;H?b-5gFGpIo?^#~g&*1#5y>eRrfAX!6&4{=i$L}pk zEMG{lx{?FfK8NYFTPR4}925=C`WuyYp#eokiU-jkjdF5QHzXY_4ceL&rh)NV24#h5 zI4T?VbdT(uU)DNZ;k4{hk~zNc2k<=m5T%!$s6V2t6ZG4 z=KF?&p@Ej%DQb|1W{MS|K@Uoy!TLm@q0rC-hvE&z8;Uo8fu)`)uGClO%O!r7wNy?dfIL1fA9T1Kk$JK8RblB&N1VM`n(uU$&>heD2g;@yDJ(W!j)*Vz|=sWFVO}J zOcQOEc#=v-8@kP_phA2pu{;T?V)4-xx>u-x#eYE zEQ?1QhD%8Y=r((MP6*nRS2=MtiWcnE)fqV~0|GX!Vj5c}5?*_7wjEeVn zlYRsl0X37D1Rw`koDq8LJOh)z1Q>sGjdZbdH5FG{Mn=e8;s?Q z>us6+L-K&P^7Pyv!cp%!4$433bMBa13piHK{e^8<5RymS51tP!R%d-U>2H6ZJl5f( zx|PEf59M8lecgp{WgcwoGBLfB$G>f5z2EmodB$sw*{3*UJYxN&UcQ^?!%^=iUN6@V z)F0zHhZi(Af|ERE-_yWSpQI3u_WkDVTKD)E&%{!e$~*pyYV#4~(f@?!kY3_wzuM;p z{ffH$OwB(GH)t321HLQD8Ml8&y_~*&u#WpC>PtNz><4ju@=$Gl^75v7K15#g+=ZWy ztql8U`!C+U4Udn-A?o`Q$7Ulp=m*sMO#(-~!rPwLAA3For}Cg)>Bm$&BPPy77RNdE ze9#ZXHsWv{wC^t9w7eLOvQqL`&-lV!40SS%wU7N^pPjUDKd6^Dc_x2}jg4C_FZV&- zl)TVKxnJ||yrR4?j-LlsaOhib+&F){o4}EmUxCs4hOxYGzMqm8#%76W9JiRiFix^R z#4yEp`4RH|4}ZZPEQ^;M|6@P77uWmy7%z-#IlM3q|8sK9W@l54GGT% zP{gC!Wd#U9fmVq&3YC8a(4|8_M+MzYnUZQH%A_F@zX6F32_X=W5b<8#O9Kc2A+H_2 z&-S@ikb|v7 zIju=0SXTF7SWWx3woh(vZ=F7Ma*IOm!*LBYV~@Ks$R^4Ja~FJctHql#`RXA?aXg(AG?t2F7a{lnK*t zR5(ChXs~2VmeAQzE!?Yg?ZgdI_ZhPl>D6zp3F<~0YV%mnt z5*sWHWx_ms6DD7$f5^0!%9|H94KnoFrK<4OsP20x_}XPRrCDCNNRW}dQ69d^#YtIx{I8KV(B;ku*j;I@gdyBi)`V(BR~IKp7GLwu<`u1JivJD zV&=x9nTZ)6!tL%`+wEJDjkUD#4(-li<7xN+5*tc9*rz z=g+RE-G=_&fA8}HAJ~vl&ZOoXGk&Pgi{X^K6rT@8k;ZIyMdL%b5^WZk8tC&S+JJ%O zM4J_!q|(ubZu1JL5MN3x55`2Boj05ku5Dg^0VgC;EBUas4UKu#|Ur=6q=$L=@Ff;$w>M}2u z#iI?wrKAINo4q}!grV}G2XR7T34WzG`wYjX`{km!)4`bO-+8)H<1%}GXWz{eh$8I? z16?v7LgwRMCOePBO#f)o7FNb(_MDLUMOdb0@IT3XqH8Atd#hIi?EDCJ;)k+qVN~Ba zi!COj3vLsAe;YENT(Zn7liC9ueNpOgs)CtC(N6SGl$$5@19j_SL$tb1zp9V$#0WJ~mSQWW$c zTXs$z`87tBsaK}R@>elzM>w*h2Q+OHA%hi^z z-mI&&_Ckz2=Wtzc@$q=y^ZbX;uVJanzYT4*Fh_h$5WbN!MlB@P3C{2H@GZFcvq=Ru z7|R*g+cNuyA63IquzBKlz-Ic+%dNnaIBvD3)`?DB#*csJRexB&iZiD-+w-N ztiwljD~Br{%DV;ox(ngTJl5D{VtOf$f7{A>zweLojMp5qPjSe2#QICUd^gdDqu$G2 zFV_#$ALBWP7c@75lRReMX<(^OQV2);e)D#%dwh&%Vkt}I9e+l(`2_Olf5LM}FLAVA z?Q?^EMO}WT<{yR|w2S%y-xcMI+kaDDPG3J*$9)s^rJfJ=gSb9p}52*K>1de)zw>_^v_IwCV>SP*gAN#>RJ89v5P%m-vOn(v^8@F6u z9)`SS@0NSK2QB<*2qZwcbzTB@^EnpgS4z%J#8(lKpLMc>_9vqEhUhyl{rYx($@W7vrKB_e?E|qlBxa+kXq3H z8!tfrjo!#7#(wEWa;fKUp;A(7PIT;aKO}jc(@Cxla^5o?TA8Tazok18O8%DcS<##) z5v26n5RFgF$g7jc18B-xaeNk7(faENPE3@#>h$6qU`FV}TwKfyO*%Q(z1Q(tU2Z&D z$#G{)-kB4eZ5%II7xx%187MdOIL|h2^*mjQ6auFz#j2{PI8vUH2LY!hLIks+T3SqW z<1#B>A4mqfzb8-tamrkxFF*7+G*b z>}MB*56&6WZmzYiiPEgN#A2^=Bj`u4ri`gFBwk^OGul#*Od@Yj>u8~mTz*zZKdb^= zd3Q+6!?c6h(U!XW)3`}oeD>fERAfz`&7Av?LO3_nzl_u5iC&Yb_hSLnL+<@#<1@aQ zrU_?Sq>vO>?oIqWYf+(ArLqnc7|D5kSoNHwhGlY?grO^Iw-}z;n?EW?jz{K4l7Djw$7*b3MC>bnYK6kYQg)dB{}KLAC(e03 zX1b-J1Eh%XC=Uym`Z!iwbiZ6Q>ztE+GCb$o-eorXbpUU&Q%8Owd~A5b&jb)}F| zloLN_g;VeTiL!Hx^OF%0wT#fZ{7Y_74tr40uAhlg!ND~5`Ko9Qws%^=#FHMa5Wb^?|Xl^MJ3X9O*f|6vLc` z6~ZbGua!|NJ**AIMhEh;H^qlUOrB`VP}9azRIXIN6_NY5>3^7xeYB<7GOjQnfBs&W zd1x~{`!EgoR!G^_sh^zh@JV8=vFyDJ?>nZT>M5}&^=;}SuD zrAl8+y)o*Eo8F(e4o43wN!izXPZgcI+0AR<_2bnR9kJmNIy~*F5!MnQu+K@>=Z$cr zkW}PrIxdc1_XRz>H5Bqg`=Uph{!wvT7|L4M#eZTv%OwFYW!ctH{+##5`4?jsaFv4; z+85u{iWd#e4=p#-$NIM^=)l5=0V=bpA-g$;jA0M)qv*?VeGQq!itjC9%*H>x)Lze$ z;iN`~4-ZINZRgd{Qsmc9^w)4xjP%>HQPfu3kNzVBBmF9D6ukdP?|+e)>He@kqYFCO zj9(I_rC+;}7fY!<@eSJMpbM98fBiZaZdkLZRG$~eHFzA{?U+8)E8Ulr8q2^+p{&D^ zR%XPe4;O&NE*YP4Bv9A$sA=+lWYb^NtEs8B+%+E>*0;)z(CG$(XQcN_56c_{fk@&* z7oaxeb1J}NVw*2W+uf6y&R16UkN%|+1j=mCE)|dp0BQn+M^7R;{weNpR7!aN0rLNt zMOw3xXz8NHJ&P@&&^6a8H2%jrx`y~DJteui0y^I^x;bUJvgfFU{&(YPqtxl01_8Q} zV{k&4a`-(y31Y$Kf`|d7+q?7wQ`0%Sko*) zp)nE_#lT9UtfMwGm5<=5E5bkw@)@`()oZ-YOYVsO&!mv*a?9T~a1$uR75qxv+imy4 z2Lpy>6hME_yea6WWeHKKqKv5celP_Kg~-7}Y#Hb^E)#iBpo(P36Y|5DAUdm8E$%;K zkmx?ho1H*YfReJqm@(x4T_c2pgoQph-Dw7Ay3}L|5mh?dQ6W4`|3g9cCQX$r{*&gj zgyO!@SKHy@S4#>_GOV(BDb7wh&|vn>wIY1vcsV+h+c%MzGl6gECj04tr3@Amjdu>q z;oAhiyuJFV27Ft}V-Kk>Oe3JUMRzFqaSJ`f*YI$~GYTFJbk9;CW1tv7``O4;TxW&t{EYWc6@)#WAu~#s;uBK8z{O}5HKbtWPy%)j)Uxv+Fz5$;& zfbDw-qj}X=nc9m4aMjwc4~-QjP-%<}Zf|pcZHw{8*_IWF28G?GUmR0keDGTXx7jVj zj(4NrB}~Pg4c~Qz9Wj>Ixa(9KWddFuV@+F!bW|4Ab`Gm%AC(ooV7wf&*D>g3-gBBmJiwu$7j9?Gb*zZ}fV9Lg%9e-EojaFw^ij}KlOUMhXZ~2wRHtbR|%IBH+c`G%)5S!%B z#I(wnw7ypLx##46r(vR=*OnvcBOY?)i?VzBYWVsr&;x62RU`I;*L+54acdSi(PY7! z|CRe%r_HfMsvJ~}JHCf?;DtM&2e41A3{k(si}5^K=GRu8C(j<0^*A&X2!wEY6ZD3$8x?Ato{%b7nu~9;^*n%76 z%F-;XfHx%JUhxGE`6 zE*ZE3!wK^v37TYA=#ndEo!Z1#K(Z^W>MV7XZgjoY-=9qnw%d^?Uz?jbH+3$+&Ztgk z-rW=ZvU>V_p{if$G?MUyylFqis%u9e5ja4SqOaFV_my@%m3AHVt6J6Y1C1%fqUxm? z;6sY1DBD3$doRn8mzqMK3{0_;So!wCrmja`uTY`q`+2%>vzTE zjfGIOpjlHFfQY`RdF$OYCFW$Kes>LnYfLqdHI73Y_bJ0&^#A^lL_N z$AKw7{o}l9a(z(@Y7wbh*oG+RwV9Njsm3MY2$AF8&u)`~e!~A&OB6N= z8DX>LA8rS4W+RfiH%*Kp9v`P>T}?}pOf)MvlsQ?_p1gfZ(%OH;)YOZlo9LLduo;uR zTXfQki_jDK<5DhxjNcf`gz{&_eP^d|La0x7#--jABx3@AE~nM8?eLMg5`&IhqgvYn zRYrX^Kh^u08W%izd-86$A@%1P)Xt)y40zG%9uNzTBp6x_mh~XaVUBN_XceFh@mGcT8$pTyKsLsfAcmgN zk}9q#oAs8C7>U+>U>bQdSxOnAOcKAh{0M7j%qx_{nAnB+$*8JjNVh#TadMd6D@cmftN12$CrjT0`5TVH{wisQlLO zD_c>i^7)|zZb_jA701P@!0nj>Sq)mtgD$}LChcI2xuewlV?lL?h0*83!76kkDPq`% zro!>;rhXZJ&ZYKan!_I79)|Y2H9v|;N2YZSx-)#B zR}}Ofh4x{afM*BCT=<0n2c7|dw*ZQ?U8R$sL^(OpcNjyOef|E`M-G|cFUiAP)Vmo zZNl2^U%WWb`P5L;EGC+roDZ3ge%xsoBVlfV%vfCDHGKiA&CV5t>gY-zUI@*;elbQ; ztZVd;;v@zZ4fp*-{@!rva3}xp##CiaViBx5vs+NZQS{W(^zJX9Iu8~N<+ai_p~dzo zVB29Mb8P?!D?r#%Xrqx54H$Aoy#$+Mj5O3BJAJN8aJDu%9-@lc0cX9hc8Tfk@yX?k?9i&sk^kb8svsvhH@KJ8KHfZkPq z42vd0wztomrAOk&-;02zlqhI1N;d`8uOIXsP?gi6OJ~}V03h5MQmFetcfx8`NeqNR zq4Q9vvRp4Y@KPRl$q1|_#ys3|Zf3R;$N&A)I*fT|tR^9rXGgv|E7Owpzhj;!nQJDX z*%-32DZ_IYxq^Z063>4>xacE7y(sjPYf%AZp>UP?In}n4oMmc#M?zx;{mXLDipR1ZpieI|hqC24yjzpt@gw1d5SU8>G{px7AxmF8tC6{) zAZQhZQSlq+$CJX@UdfuU8xZ2m662&sh7b2k@0x$Vs@6EI*6=qpxSLl9e)HvAJ$PfH zn)a~_`cMl^$6$Y;RtzHJY`Qqj|I>~9WKj`;qMChTs5k(}e4^^Tq}#Hm`D7|BF`%%} zIC(9ZN3eLFmh&q37zvf34f7+pUW}z>di-%jD>*I@$cYc+e1(GDeD?!4l{O-Bw@p!& zl7lV|AE*!o&6n-{L$^f=bSZ-hsmW4u(y8GCnNVnF&ffn>NS5nmp)(ID8;q8twFO?j z0lH*By;<->CmvP_&tkkd?RzNRbhlDk*rg@!5de7|_N{sM_jnK8gIt=t^jpfWPuv^I z@5qcnp&^E+0+;Bn^Xl~{&SdXHD16g zOh;Zk800akok2{WtLkTE3<*6(+9MRwlRuVwrB_D{IWUDn2SPMjm;Z|9=gahMep$Sw zzAyxVW7FlfPlxMmL|U(#D=N;yzs310C2&bY=xzJq=hE}kxiZ4T<)>3Qs(!a1 z$*;Lrb=z=mX%!Xkbu)hG6(n1{Rg<*bpIZO6Q$2e~C?ATlQ#!FuSH8ppP9G9j9ZlSy z``urLfYL?b`^VyW|Qs6s55`8S;m! z|3=9g6c=bs6rPw93`Xma`KDV znVo*A3f!<%Q4+S#ib({_j`Y8Gv$@ugWslC4GfBum74Q?w$1S4cmdG*X7naERW|TVO z8Ee(je+93&8>)_ryIU!(E_yItU8-X>9NAlzZKnKm-NbtxwS+{ZMK z!Tw%<^P_0>+XJ|@(3(*CRL828igj71UOmR6v2xVY(@JOe`t&rJz@a(L$VQ=Ow2EWl ziHGl_H1y3%_K3}Z+bX<*Lj_-F&yCgX^fY^Wb#>8R0KB+%(T0om0@y410K4GLA3i5E z-sjz&9a-CZ`FIGoefKes1K=SZ;T6i3FrcwNFdr0=v<|%=e#Y)2e(2GF1tI&B>v63BfJtUm9cKGXhnaSR`=v#kidtLGx zy!;^0DNg!F*Wvcy3u}d#Za~AZy1$9DhZ9CVhKyc{pVD%;aCW{x&c@hoKn3QqpaMej_&jd@Wp5A31MfW)CUkB0KIhWjLJ_#5-_6Z-0H`8`kgl9B%je@yp~q+0C+)sng^`giJUE;PKt0Ao-1<)Vk%Q zZfIbHloN75tdtX4Yy%Jdso6P9i-#>jz;D^!9fjXYf>m*{~_@+7zs=>IkpH(tDQ`@4wXD z#Tjb$W4KM)RRvK6u1BpMo94LFg)~Dq8>sthz`V}6;xVhnB1XgmI1nM<5;Su&xZ>g2 z5x3zu-c#82TU3s!r`XuqzWGS%A<}q9?ey|fkQlLZPH&g+#Mu#L+L#YXk4nsC);#wG zaD261CYPom;#v;fq?M2HjGIjvJk;l7Zbarsp+K=<^6&j#eqcV%A%onwezS&~ixk^l z3Xnx)r`n^#8{Zwi$tFq(y(ccyJx9D7o`QRHBvEcekkt6#YGQTP2Vy$Bog5pUsErNE zn{6F?$)TB97DUt54|&|8@9zF}bZpYN7$ zh?0ef4M$By?=$$=(|7w8nJ%og3EcB*NCnMZlTSQhja#S`m=JxoNYS5g%brhf1kY%; z2mCb-10VbRB42V8kFV55JN{Jo%rkwf+Zga-PcU8H`Mk=>@s!kdaOrD$IpV&Ia39Ed z-fTO3v^N!=nDx9TqS!hd(x1%fgtRUp?5AoglrPZ8e1~QkjqaMSj&}KV@i>f+cqsOn zc>{8kVF3&iyS3lOmv#LGrhQwEJ{5Ynr0!2mr|u`|PizNT2C&(j+fYk*5;aB8=UVwp z#J;+u1`9dP?6TDk>OOr(v*wxE#W=?sx<6ZYxN>;#Bfq)d+3221XcvG#VXyzHY<_L` zg?fFjQC^}_So2m?Tvz~p2`{htrT@$z#>QL)j1kuvE4DFAGX;n#z4;{S(gGKW_y)oLD;)uXHs0tO)a5z162 zQ|>}~McEx_-z%#aq=MVtivKDQXCaB$060-Q@ zlqY@%49mTi_zkbN+JdMD!Ce%3IeT6G)JesXjVlT+7JjB#57C5=X~G|}Y2k4>r)kaH zO55w=>mP9flyJ=loeTGG~S48YVrKTg1`!P*`vnWcyH}=3zQe#_^k=T@{w-ktx|tPC5?86lft|4 zL{7(PK?%>sID`YO>Ve2BYpv;#VMMqAYmaYpr#hc`Dqma{GDBYXUy*<@jtZm7ilxKc?c! zJn3#L;<+*0RTogQ*aA#X6U_?bhgTR70uOl8K5xkU9aLZbsxBPD*$ygsUw$b)ze*KT z8dHVwS=Sr9?afogKZ1Fnbn$Zf^kHfcF$Sbw_RQEY4O1T#r&u4(dt(yLT-g3Dv~cuO zRJt_qhyDpLn3;4^6*%fuLtRiPns&SZVLz}%jQ|9#Bdr9PdWLWx#vS;xndf(|ybt!_aYRt-@6K9i{k_Nyu_#E;MNL9?C}oN!EqG_^E|q*CLVnAR_rWlGUN_;@66O` z4SZf(^s2&1--B$uSyTnB)j97ONsE36$vYtbLS=X3h-pW-RyTz*d=L-j{8+#?_BxAD zQ{EVY64ns#nw>3JNk348)YCn7Z0ne;XR71g`1HuknXK|VP=h7-I_kM&EoY$*lZX`& zp~S+CploRb#li7-m9>9BKx2H`^Gk6y<$YEyg+;94>ZG3$xlFHyh+-u;m-}6V3AMv? zeEZ#NFSYVL{9SYZ;uKv(?mAwOd*F?x5ryl0F!I4XOK4W7A?dRY`H}lGGOIiJE~_jv zUX*ac<7v+>y)e_Pl<{-s?cl?*2^j(X*HG>h+PO}e54k6-`>;Li?;oAYb=Xd>c-aZ& z@7#=#m?xBybGq@l1o;9zX%x_c&AaV-PIEEpFSWzjAldcUdKrCV&`-CoQ*&&Ka6o>2 ze=vWvWS$s1uhIGba3eZQkcqdHfHJxyDg}d5F7xjUo2UOQlkX`+3=>n3NlcEp1yQT= hVUm)~=P7(zuS+yKzt1_TTxwDzK+^q-`k%w~e*mR^c98%8 literal 7934 zcmZ`;bx<2j(}z-|#i6(sON#}kxVyU-4YW`!G)M_nyaWkOaVSze6fIhcyHng93M2$6 z_Vs<9cjlY#k8f^ge!F|KJ2&^c+qu~z#=yX+#K**N`#WU*>c$|j*IRctW-(qqW_ifl zcMi+~g2K#vB0zpopa37A7_$Kg?ETgr#H?#;@4_s=Y@p6;0e-e_-bB(wmM3((vfWO0p*%!=12I2vBXu@j4X^_IaOgruGFrE!GHpnHSnlxc@TI|# z4<+(!~qmPPWyo!0RUr+ zgJ6tH`dE)55_a?NL<6hspd7%u%nQ#-1rPu4@8#AMaZVRy(Lc6Y4^~5VE;4 zzr~WUpiA%96Q2kC-*kLdjK1Ep4BzxyPOn*piC`5nLBb7os2v4mg0YPaD=Cj|!o0C7 zay-kit?(V?(1vW}ex1}Cm2n)`KEq;M$XJ+f-EE@Q6QJJ@84-4``cP7QH zPR|o^-uY`OB12V*^w0O_S6ZB3HugY>xmfCXn%b9uYay1{5Z|05$J9BJojU<;u0!VSo>3=@%eTgRW60-LG z`RWc@BB8B4l{ z;Wus2uy_jpp4bVHZ7rpa z!tCT(SXfa^!vB4PnNb zlg!AbRU7h0UWq57i&&vE{7gJ0H26o3{Yt3hV7k4CY6kfKa`P`y|I5vPiJBESNDBBb zLGsu~PlXJ7|B{s(QPDG{Tlzk8++O4cfAgraHTg-y7GNU#?)cfJIs8urBbnjcwI7C^ zrB4lvvG_PSsjA{1$x5oSRHaf`r?~HG;o%n1}7VaFZ{YQ+fiSIS;c%TS)Q=h|b1o0kw zLvjgyz8NX!_r_iEdvK$zZI&YcbpDGOIdYUj_mAO0Vi*@g{-^jEQWiT%m?T{}t77*7 z9%SE?;W>-3%A2F8e1yIw&I6^>aK@+n9M*%vY?NI5m?E?=^2Axv2efe6LY3;SqYx)^ptjh)L3bfeyG zEHBgU%+r%j;SE<;*jQvMF1~oycTvj5-T*uPY-@q703nw`aYq<`TkXp0Cr>q zZtJhXGq$rx;cn;*73tn<;XuC5LStdf$7C3zb5%o9H1<}Khe{?u|PMQxh zfYK#-$D8)5zYIgbRx71T-Tt{TmyAxxnbKWm52CztWwavND^mo#zQ3Ps`rale2m?Qd zzkIPrsP@v%_WFI^iTh7^22JA8cV0J++)SMXSdbvxAr|fhj$(KltH z_@p2lptju2fT!HQ*Cu;J!vNsAE`vd}l-qe(R}1;%1ok#+SF@RZIccB42EAMrJR%M$TO}R2vqH+hlm)Ti;u+{~s zU$=7{{yw7s?d)fya3EjPG!x@x+f1KXw-XKZUvts;5k$4kGT|bC1TNtyxwmD@|MZ{pGY|{F{>1i4c_gC*tvQN$37Q}#cW*?oldJFO5i!~D-QAB+b~}UFg_}%pGyB}zOw1Dsyk%XMJj;g zWxlBW0%BJg=s%O4wxy;52fWHZlnW2%mBkXy6wjx)QtZ4kn7fu^M_=tGaK4Wj8|nuM zfSX}ZIpy{jteFm5L$XKcKZIrj5CJebNzT&QBdN9;%W{7yvTF;EBed)O%pt29dgLS& zuG94}I_Ho{b`^q!y0i&%JR=rB#tVSI!Ip5sP>!KRcJ9reR`f2_*pRGdbMc@pZ<_09 z*wS-WpTT||(3X<`I1RSM!+P1@-zEUgg)I?xuSn#kq(}l-tFgo=weSG>6JES0ubS%& zC8eZ-{b1ygI4oL~?aeaW^>qGGs`Q*>D#0Y*H~D3KMg7)o_F?N#i&Z;qcy9!xwiaEW zMCZUxg}J_GQZ=m}N`X?^Q~BZPFjQB>V;n^AjB^;u)LT5v+@o{&&h=z9=(ue5NhZxd&maWlNl(g1ZnkQ7H zQkf}_y5uQ)9M`w=?1*g~g4lsK>I<<-fjxi&os5G|<<-^{(N?<8@`V@#CfQk+1;Dki zEEd+1M{)?B<3B=X1Go-bp#u5|a2Ar5dK8EqKls$4O*+WP9RseaHjBm;(8m^_`aD-{ zF5S9OFrmtb9--xvX56>`8G^fY2#c#o_PVQsy?azkXXsdqT39VKQkgSpk~Mi$YcgcS zychNqDB!O#VR?Qr(|PLDvU^LxU+v<+J~!Ae8a%$SV1|`-G)}2JHy5+Bv_@`r2YY9z zbf65s;=sF|zeP&v4PY@}tzom?*$PIDw4q;b9!tI~7QMh4&_pZrm{-k8KKipxUvbQn9RCr| zF`J8(RrXZ_%&OIU4d z_Xp@wW@W0guqMLT-blXb3I48Zd5<*2AK_j~MNa30PWL~d$UD|<*JrCDi!N8%&|rQX z1hr6hBSl>kn++TbAjxT(r(k;;2{*yxmuMe<65_}k#9P2U`Qy7(SHT=bsXf+IwL|N{ z{+|N1X3C7%WUEVc27&Bce#5XgJUSTj6fv${NSbf7UrJ9o1H~deoUs+mPk#sl=O40T zF=ITf3Y;D2cGLg0l(h9nNl(8I><<1olhT(i$w6gO1G zGKEOY7grqJ!cHz`97X^)D$xz}Jd0qMdraj8IbPAOwV+BH2N{0aVZQ)c(G4J zZI#PiE}^8XodTb7*us+aEr<||w=3#{-du#nS^j`?iGxuL&5SB;n6F-2nw=P>(Wme{ zE)mE74OHSXa|eQLC?)txLJ>aSrQxMlimy95s$wguZvt4-Dq~pSnDAE$!=Q11cXu z>eZGt7At_%qPb3mK~%YiHpIi?0=#wc8G9=eLf-?VP6>XuoamoC6)g2BGT_=_sLdYJymUwL}KpZ7$@jj7! zW=9c}Ra!xw;#GjuHf$m9nd1NjEn}#cw$1&0R)7`GA#h&>ww=zW?WL0@y>zdjQqD~s zc7ZwG#N%}XQ2c$_Ts~_#dNwk^RhZw?YsFl?Rr#?{S%82wj}&n*3L}MV#gNm8@^Agz z*|@T;j#yUOIA%E`BH`=z209bd%o_~vX0ssDRF8PbZlb!|SrECZM?f;%sO}IJ&jeL^ zZnDT=66Z@@y#ZSm&n8v+=X6M3JvDQ-6&^B`=YL_Z}qmr)C+oXS_601uZ` zzz8&ibIKbm;susY(!Qj?^`|UZ6xUI8T0B_weN0N!I^(9##B9>PJL7}3YvYi0*NhuY z@9-VgxMT8zYUHOb=FOf5KOSUYZq@6%pShRdi@3|f*@}6-R&R&ph!i^GDl;vH7s>{{ z-E(HgOkFMfnzKwUcm?qvH*`Pm?;Z6w)*p^VW0O(@5m@f%gGB)&nOF~>=Is2NRDy~J|z>9dDoC>qNLN5@_wWm&3VM<4$n&~WZ>)WH) zNMu476I&hy3`0~iz{0H+r>vzmKh$m>31VMFwZTbmi2X5TR%Z{)(NdK#x)l}H6lx0z zy30Hv&DO zzt>56iRlrQf@>b3#D--wM~@aoM&qVsN*z8E**3)Zd{j!k*>jprSXEAP`(xk({_$Ejms~aq`rC0l`T5p>ZQM1N16c3t>9x?{!%5uUk zizD_T2aw?=qVJx6eH29f&b7-h?X`7I-!lHKZ62cmljs1zxJoL+Dny1r2H7%z)!S$ zqW`w@juvuY(th$JrE#7yY3WO!-s`+v+PnQ?vkt`@R6xlV>BHPLE`=!9_MpmwkyX4C zfJYZ?l$MDU9?<%riHu@IJI*oh{Fn;0-@Qp%Q?hhyWAa7_G$}r;VkkNnBN`le3h}}> z+v-2VZv@u$MBx~h{;nbEHK0e)s^OMTW>)M%moA}bfe@WOg&7t1P1{Td2>{I2T>tv5)LdGC- zV?Ma%$W7-n$Oj0Y<>Ex^D{sE0Uqu{tok*H8*LwTnBPKL%pJs$c&G0iia*0HK@)1Nr zUFcU=+zCYRt|o!x!@TjT3Is(DvlZ|4&DrEX)%)to6S*Q|&X^-1aQdr=W)5PQ7HIcz zH@-@|L9|bxrVYl!M8jw$TpRfF^lAa6!ff-R2Alu%otXtKkMLMUVMwcJv{?Ig-+18^ z9k{m(xwplEsUHmm-;rck7H6j9mYBPh^%!QDcIx6>3R4@*Ebd%7oc ztan`(oAvpN2#O0Q)=IyQNCZ=wA?IxkKSX5m-HmX`F#%-yO>WTC)|m2wufd}0moth; z4=K$?>*AJsTF|Q4Vz=Ul_+CP1MWl|^7R%}>$LV7J+*o12S19>3ALpsf!33f68|$*4H7T2nd+n zN_`O8YiAH|c4U+RPY1DFJz$p4Es4_AkT+iAU(ID2=$o9NQP)bcK>!CI?1uV|Cf+}{ zl~E|ARl&Pz!sc^{cH>(Ie5fu!rY%&*Tyy@4beJnT@(%Vv=WXsOoQ5H7_Q&1TR|@2h z1|bU1z~2s>=N#fC?Y|pl`fgugLVhiPxQ^3uGivrH-`)d;?NDeLf6C0_%iJ~dc^qi2&hmqpJ6=ilM1m`YuRH=Tqp;oSc`;1+WiZ$kI zj=#y9*Az^mB@(tWuOj~-P?sg^9Oo96dK{Y$ELky*VR;nLOGZq;r4X`DB7GbYa{e=! zqkadq?9(7vCeTYcRdp)Yiw5nzX&{|US$kM~DEg9dZDB9j;41XPI#_2^CVQzXkL-0g z2E>R!%Yt=_znMj`{1*>~9$%h?o#~omz*8+B*D(lz%H*8ttE1xHNXnorjT%<0&c4D3 zZ*Mm72n`})=^)F&oqg&s>!;}gk79%d#8YoSTL#RHCb0T-I{(2bJVdM%Mo1fYo$<0n ztJa848hRp3qsoWO19fq^G7-6X^NNCFKRz~nNE2Ta^-{f755@x2VdN}~W1RmU&(BO? zKq_srvRnFPV$D640jQ@xO9{oK*Jp(5pMG|C{6WX9&DL>jr%6>UpF9;uzw@jKeW2zW zT$w!B=UawWe<4_SZS^uoS=LX(35v)~Jo`}aEdTZ)W?o3)FsI%6DIUm2k@z6%B z&WR^V(Bwmv9QqMttkf!(JJ*QQK<{StX|gXV#Rukn=wo@yVt>bC{l{S;y$^o!B$gAu zzI7X?VtwhSt(z1~O>-3&HXcj$KL>J#^E5R6aG4f-mG?E(LvN{P;C1&vlkHbLD)1mS6qFvk1;8^hxA&$)BO?qGrt( zMsbq`RJ;eL*Xsjb09&NwVvq;nfK?}`^Acse}ktVcC h08CH91>%&qv|rIhOPO~@b8MQA)&_(Aen>w&{145xo-hCa diff --git a/adder_8b/db/adder_8b.map.qmsg b/adder_8b/db/adder_8b.map.qmsg index ca9ca77..a0e05b9 100644 --- a/adder_8b/db/adder_8b.map.qmsg +++ b/adder_8b/db/adder_8b.map.qmsg @@ -1,5 +1,5 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:22:20 2022 " "Info: Processing started: Mon Mar 07 10:22:20 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:28:54 2022 " "Info: Processing started: Mon Mar 07 11:28:54 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off adder_8b -c adder_8b " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off adder_8b -c adder_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "adder_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file adder_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 adder_8b " "Info: Found entity 1: adder_8b" { } { { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} { "Info" "ISGN_START_ELABORATION_TOP" "adder_8b " "Info: Elaborating entity \"adder_8b\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} @@ -8,4 +8,4 @@ { "Info" "ISGN_START_ELABORATION_HIERARCHY" "7486 7486:inst " "Info: Elaborating entity \"7486\" for hierarchy \"7486:inst\"" { } { { "adder_8b.bdf" "inst" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2096 272 336 2136 "inst" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_ELABORATION_HEADER" "7486:inst " "Info: Elaborated megafunction instantiation \"7486:inst\"" { } { { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2096 272 336 2136 "inst" "" } } } } } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_SUMMARY" "47 " "Info: Implemented 47 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "17 " "Info: Implemented 17 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "9 " "Info: Implemented 9 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "21 " "Info: Implemented 21 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "248 " "Info: Peak virtual memory: 248 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 10:22:21 2022 " "Info: Processing ended: Mon Mar 07 10:22:21 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "250 " "Info: Peak virtual memory: 250 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:28:55 2022 " "Info: Processing ended: Mon Mar 07 11:28:55 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/adder_8b/db/adder_8b.map_bb.cdb b/adder_8b/db/adder_8b.map_bb.cdb index dc5ba25cf1393eca0cbb2557bbd0e911d519c0a3..90e04342779684bba1960b016468e0c215a11361 100644 GIT binary patch delta 351 zcmaFP`hs;rSp8~71~AZMg3vFZbOqy^pxr@-4Fp6CG#4Z;c%rUQn6%w#WyXRF>_3Zt zI5Md)cib&Kr>xz^!yLO~W_8Baa;y86OQ-bBDVC4^@8|!3x6#@y!KhGrxABVa=^ZQj z)oo39)GYqF%*^fIJiE5(+$D3FxXt@B>uuM+j=UPuy+`Bm*98m$%ch9xGwfmdzjofD zbKI}q1XtTH&2E{~Uix*o?kh5mCael&lw z{G*V+b;e9j^P)Qrtq;P&ZnYoZ{Q7KOkk`utmftsi%&ub6W_c&jc=F8N+jAY8?Hyfe zEu?*Z|NiakJvvp|8V@H zP$yvD5ww3#^0iO%%(Tpr;kE_UFBHzSU$`0&Exobnrt_xs$UE(I+%Fk>RtUt;dAnn} n4_{IBjK{n;8`Sp9Kd@{;{ko7L?j%w2jQHVrVA9uo6*?xx+dP1mO z(#@j4nCYIcb-QqlzPjY?d^~%PK#`KDu-y{hd}(9m@gt)usTUI!kqP%fp=K1?<@f}C z)Y6DUUs2rzt2@xji8Kt<4$Eva_MVS*WM3(DyYlh`)5ti{8+eW=N+zf``_hf{lirBY zz!t6O8;lom;TqpG36vK`KLot)IayGFg>E8wbKq_Zf`W1))ro8-2U)in!*@7b+B;`~wWZT!b;@Sc=ko%W--(kW=|R#YQUuGtCxeP8J2(nWLaIHnU*jH7W3_ zR`~xUS4D4BE3uM9v8nmsB(Ah(WC7*T)Pj}`uD8?IbkaO9_x^47gYDz%ML8b1ip0jA zG1VSJ|5xub_&la<#83E;V_v@vG-%q*;~x-IVZmfc+8SKI#(lOI?=L)Mq+jpuV0Jfz z98A%)eA*VQt9@I0YMf~DqxZoyezrkY$jT8-lh}^x$T{hfR<^WoM4M#i;SodANT&AP z5(8jx-W4B$v#H_Wy1rW#+rQUkQ0suBHl3opZc*v>X`0rjbD?F5h2Fug&X}^_R`a{{ z*rAy7)l3n$3}OZ{#Z#JKPpm&+!J0i1N4|Q=Ao09*#KV0ilkgR&)vRx{I<4h7!0&lS z(2gc5;L;{D&~-+!KJ3~Vg6qxo*&$`OfwA^y_||9VclxLofpuud$b+5V%e9>E&+Zwk zRz4NOeIT=zq#e+9{6D*GtyPEQP;8eQ2+peSBD#zbA_L*-{eN za8j_PS1(Kd4$Jd4gEH&hi`OlMlrdDX2mFp}v!p_rgE8hOk=I_#5W^GFECqUlJJ{!l zI8QYbWFZIjH%XEm-?#JiJ%wNPOxN`cIv3aUQoc@$#i-i;nVKUj{hJc~);4!S_$hb! zHVfEL_REi&=rqHNk2Qo$21c8TLp&jBk`R!`MBDE#A)V?s5vk`moclyN3)H!F{1X2w zfmFXKNd`ucp1>q8&6Z{>vXwqx_> z@$ngC-?zqf5xpG3bPwFaya3V9cQ6$Nru6vV=qo%9ffVSfhU zS=|mI51%EcUjY(7qu5w0Qq+`8*^^`HR9K%uVwG4Y2xFDL;G`>%Zf-kREGWzVN0llI zS6Hdu7YqKqC#Hpa(4hdJ+})mz6&BUr;naUDNNo1Z|6Qjo$dLPw?^P`o^o+~nu%lN$ ztul@)!#OIFywuP)rn&V3zz~t;VT!q7)6oF46uLI0SV$ z4g}bkO&(jq4!d8Tfe|eWg*5%uZ}P%0h$Q%h5*)&Sg7*szKlXG>t^{RvVx<82Apq|D z8#ROAgY7zBrYqmQ}3g zj+Gdfr=G8Z>w2V4%u`!`R?jc^D*PBU9abM%ES?)zr_Aj6;iP3_B*`II&X}56kELxh z)Ar0}wC~q3zSG#c76NTUC?DOw%?eBeu<%9s=bj&q37r7OGmQdge;~yY{0}iB&_`aq zpL!{GPc3$L&nnfLsQk&dkMT2{ubgyIZ)8D-Rh8eShtD)hRg_!!LEB8OfkCWDQ!7Cd zl@=yF#%~u+$Y+NHR*_D*n32(<-YO15Dtr`)!5B&!1ahy@H_vFV9B2`iDsC7NfOriy zHSLra``?znVe0R^OBx&~2ipmg1wk5yRXCK`{03DRsSU#vbB()>44sK_4vJdbhk;8LaB=2dXbOugdPvI2_u%ZcA7qi97`W zQ2yWFUgCup_I+9vRC)RRj1(L>`-v+8*%yW*_BuMr*6N?q>gknW5TdOzo&UmTtGQfu z`XKxuxV36B$?$`LvuJ@Vl^Lh6O|NwbJy}qJBx9rxwh6re!{&XQz08^{=2LW(bKs3M z&y|<4ahv6S+0+TO(eCbv^U!pq)0nAJp_lXfrkSAA-7@FdP3I8GQx*?`ks4S!+?24# zvLDPm;oDCEboVsS)6;b3&qz)UpW98zNX+G7bHH5fzRVT(vF+b`^ZrBaceQ3#59kYj z9IM;SE{OZmf^;&^--TiD1|coNK&3Jz*>7-QTIfWM`5fA6DdzP)A($MW)YN76Hrq*- zuZ=K_kpAL2>UM^Khp+8kgeE}XPRnXg4D0R()~Td`Lc?WCLa@9_8y6c2yQ^yI+<3@h z`o%e{QH1A~sixu~E!ll=paHxgE##Gx5Nxc{#>s}tNL~bQ;0c{5(q1JeEMfMv`Q2NJ zoqSB7Ptw}EdQB1Q5Z?oOVsrA;)YrH^RD`(;4SK847^=li()WvJuZma5AUQc>V1R=* zASc0`IC9KQl*&j9!2gzY3(<$uL`8;Om&;{|7m*Hzw8MT#_#*+IYGV#vCE5xuI@Uv1V_6kChB_DzO1@!Y|BQg@-zwbXCK? zHctTg{fMP$LU{|+mxy{+Hbb%2-laKwj@k*}MS)DD z#|;n0j1y-Q54v$RImEYj4=ol!2j`qehU=8yZ>lNF6JMFh=55~V_){!n!Is=Rk9B|` zgi(2A)rRw@`!dAM9Z8*d)&95Pqxavn9^?{tq7Aa{6?v{#MR2Zcc_x#-6g{NH-Fx#~ z^M9_kojX5j9~vkYI*ClU)mF(*NiGFPCTV?LP!1^hN%1GHUxwObuYg7+w*Xe~&QLWAro?Y$@e05r2eNp|wR?G%YEnAl@&vmMr-w(l9AI_pI7JyY1AV?c;YdNp^h z^3OVr9FM}%?=f?kr_Jm(DuhnNnA0Yq1Z(>wBhvJlVLnzXJ00c+9p%D`lo4H?>i>V!1AFHd_c7ohWMoMe`erv*6w z0FcudCx3(bw~(EQ($N&ON;BcdSN9lnQ!8n?HG@|%g@e9|(Yan{a&; zQkjhq&a2fFv#~wR%4@RB*xYhFY#{2>t0NkH(%Qz!cw?e&W!}6NmkDEGYfnj*25&Gj z58mnTTszMXwAw3~1khxAa7+U`Khd^~bYGElw_Frl7C&9=8B0DyR!GU?9 z8U+=jp#dIdgDMvc+e5EJFBN542>B_5DwZnQ@e|Pm6|)7FZ#}SgwmjTp?L+xS9u|Xt zW9`Hkt$vZTs@R1Bt)#JvB|94$b2TRFyE>w@`R1)|2+tc^)_3c{-~|H%PTXM zsK@*o%jN{PI;rv1!+tbT> zY>@1qrRG8&YaH8Cu52TWzw`U2a$OBEHaa~$b{&cwaJFMx7dn9o7WfUQRDMh@2XDNf zMHs165`9!TeZAlPW)Ev{)Jv{mVBm3#1Zl5|5`qb0re3v^XI5{Y7}8#eGedXoWd&?@ z7E#!&loyLNS%(st2;zj+78F+J4af4QUw{7b>^jLb&+P|)iCQ(CJKPoWdPWwM$CsYi z@>a!?gRKgKwSMCjL#9057CAyfk;Fc#b8edMS*(6C4Hiq?UtC(mTNSaP$H#j9X%F8J z)%<`Fa$r_z4Wzub%X-X?FMm@Cw&VUw_9K_1r*grhCOOA$L;k6*fb;x30vR1!kPY)+Ey_hdbrchpE zPkzToya<(x#B};=5QkV%PUu4r=znRhuFP0h3kuO?Wb<4@?zR93-C`S zI(JGyK0jlt+8OD8#3|L6>23ls)(dXqr`xP98}CsB+lb$KtZ7|)3m8S* z$*Qtpy|8!K$XK7KM7TO8gfE)>NlX}Bs(UU$Wwz=!)IvIZx)=~F!Lgsc`19pe1-F>S zghCY2xyB(L{GWrU+2)N7RBAB@!L``eZ27Xpy5k}==y756e7OEa)p4zf%3@O6YC!i= zdV@B}EPS;=QFn;@vJHL98N_SosW2U<) z7LE%|{45Ocar8Y^E3B{ZR0IOOPv;yJtG~`I2yG(c)E~EBZPqU|gj$;B-qP?@x;Z&J zJ3(k6Jh10EIcCwuReaA0wQWa%zr-PP4>~J^_hjL-xFWK&x&^58)0d3x84dka)^(PC zv}++DC4W9ff$)zVIR|HaCMV#@X=8a@Oc{-0OR(&hI~?;O(^+8MntuP(%96`3LW^~R zo6>pk>Kz-69G&IVAs1(AGe&i|OK=LGScvxHCu|a4&J6;#3m)H?wWv3MUhb8bKPzx5;dl3-KwI=c{wu+T-tWGz^OdNow!*XICU;5qlzf&JL>Rv$ ziti_JNLSx8rE^v=Pym3(C0-?osGO6%j=2s^mwdHLV})upvwsyfu_o=1G6AW(!POUIO-Y zA+6So4Jw)iQ(dBwfXWYeWcS~w+Q?ST>ICFEO`6n+);H%Sh)rn@5$STJAC4(YCLHQK zn7Rrky6*796o}T(K27x39R)_ZPj^wX1lLmaT>v!1ovd5p%&uFg`Y4LO?$#f@dUj9E zn_!%v37YESm%r3BZHzn0s6Ud5Y%luY8D00+khcYQwF+Xr)W#bkPqc0UhAYm=$^89= z!r+9rcf?NF{PskXUI=>lcLCPPVZg0IjD;M3n zIF@5_K#)!zPvUq&-Mf!lBvi%`Y4NuB41lYj4V!Zyc+zfXb@GAsyYmbo`^|wqHJ^Ti z8PaoCKui)&E*;V{zqVna-_^TPS)9&R2JPh&eCg=ETL@2@jrLZOVr+iC-UM4T7@PCR z-*&RCl$;5_1H1S*dibA?!(uy$7x@_!uq|R`V_>y5h7(1%8CObnZ0(<73FM`x?6J7Ehy% zV|j~b*KDx1R5`RWflUadcPvrzvafV=9cr(EE(n_KEcun8b*|l_&IIPP zM6u@sHT%j%Lg-46={2Rh$zQX4tpQqp;-^N^`=a3~j7w|KN$nic{nCpuf2OI)wGd<7 zcz5x|rV;4PzOHY9YlZ98sGo()+3L=3LH6RP zEq?&V{*#yXHMWl%m*gSw6&QzfiGL~su*D7iOve2-v6S>L^@v8`Bp8#PUszsN9u0Fh@CGZMsiyp{24t#fr%mfjA(Ez5<>5LFA8DZ7?-U7LP=S)on8o_d)T z0}S{T$-6K|4c0d6Gef2y5)T!@^L9i*@H|M)O>?W;k{}S=qdPt7cxT*ku4|R*ymgF% zooBpnr~YB;y$G!LT65snc-VE+oF4UUWgkFej^5M8++xOhl^2Ie-a+>p13#h++x(rEhM52sYnx07ERq?bsI5{l%w}WfP(de-Jv*_b z1+0ClKcoDHjeknq`>SwvZu;6zPUB^7hM@L-_DPt+6`bu=EN7!4cNw6;k9&f$mkXBM zvBYiT8JX!lr7=M8>C6Ku2FAmKKW1*({dUoJ7xkYY3XL^ z-?vsBG;@N?szU*1N0vCH+2R;jaAWy{oKly=bMfj0wMNH@*`-20n ziH=r3=%K@}yL_e#b{-sAZ4pz*hVagt$*((e;jgeJ&%fI5s#uvGI38^NU6j&S8RyhO zz9+q612|9=_&xyz%B!TB?#{26nPrwG&b8L@tc*$LKXn-udLl)B{y-AS=Wcy77}NiR z=x2L`?kFH@X+TeMOyNgyJ)c7D78f@Mw*vbhH!~sDGL>~3@Mu7Ex!Sy6%thV3SbkwJ zA?fw41h7{jszX&5tKv2L?bB#_n$Yu~5e*Kk`0dX#1%p#<41Q`~y{x~jOig|-_%naS z8tEyyqWUxhzIyBGPyV7aFf-~T{yk26;7HX4+{V}iZ4y&lCpH;FV7_YnVB?3zg>o~- zD*gSirTF~=O-GAx&BL|5Snh^N%q+Xcciv9o`>|LrvACg5ERrr}MMZf>%Sw#q`!1zF zbl8N*?piW@O0Rcu9f`(CPtw!|Z!Qt`QG1ytlSe#!GBgXFxn3NMP!#@fMomEdk-z;N z;rke4wnRNEq_esdF~n!3V!qEGZ>&(|7C51XnG%&T?PGh;GtnWpl@@pLm*^{rDXf`m z?<+cf*GWR@56N$$Pu!Z`Se%+1#b#01-7bWB)%L6OLf|We)f7^cj|wzKmwp~{WZ+Ky zPQTat9sa=c-v&LQpdF15UyJIBhCJ%0eCrs-Ou5-n($Z$Hd~Ec_A9jfKEX2<1oG5Ox z*c-EPBR-?G8^(i!Uw2CTZKJ5_Z4u6OS#7I`vYL-yNs8mJAQ|gSfY)&v;Vz8D;eXd7 zbJ5S2QVs-oDy1)r@<;zZD~U+F`I+q!D$Gnbr)p;o4)nGCc>UK(v~-OL^Tua*y^3{Nff+6;fBlvB69mIq^qZ~-5+OFq@9+FL;b?Tj;lP|UIt^=@&=PX6ls zXtAaj`OR6=mu^uv?%ol^tt~xur@;!1^GL3JDDI`WyHkoD#VOiCaX*T?!{N@M#qADvIQV$) z)0ywRNoJC4vinDV$z*ny5D5vX0v8#{@tGu^bsZ~LH(Mu1fDi{4K+40`-V(sg!w2Bv z=X@u?$<4(j1kki{^|ZCH0;ri;*a5f!njZnWR^|X1OI!EnZ7s;i&u1VZ^}hP2Kyt+R zPl-bLpVAu{mhF>F*%vS%2MDWfdN030+1bAkypa7vEW9fRx{|Y3z(l4sjdHcEcDD3uTsh!aA zTfjs=Vzxb`O7tL6E`i_8jJn0_*85QW#^jYjgEb;UIf(?A^v0V}u`TUMqeM42abrpq zwR^a_EnKFrNlcTxsxvicTKgzKv*Cjq8JfeUM?3k?#q%MJ>0mHDtvcY}kZJwfiKK%r zQKTaMepyxHTHEb|QxBlqms-@0o)Jkf{cHLFz&@%1jr^VD6y}3C*ODxxR{vT6Mk znT@L=M;Yn-6uv2k*!op;OE0!0>Wn>iSU9#i-3Y2 zA^I_+_rRcjeb4~kJ#hxrxWkOMsKahwjUu(`>FgDvqMxz?nmY+Awuu{f`Ej%;XTFN} zz6*K0Rqbi9o%0J`4puqzG)t+%J{?qYJ3rj2wrMh(ew%?%AFVuYaq6rV#r*t`gt3}T zUI=RCo{nEkgZtW>?k0U`cJ!r4X0)$*nmwE48%W})YX-*m2WM;gm)jBm2W=0H_b}c% zMus4Wwx$;pPI*hdRd(N(eJdFRM}Jp?kFAdWJwB2!**2(0=#Cq^c(M6#_Kj1apHN3n z5mJv0mB@V*)4DH`sJC+dhT_Z7^tg=NQa3?$=TVW@-mr;Mf}nDUyfd2asYUiAV;9;y@y3w`IP$ za;O=+nmQ~7+Yx?HhkAtnDh~CE_{FTMXip>&SE6aBm_DUwod2mOImavx9BrImUOLZQ z2IGU2`o)29O!CVi&*lXmMBzR*>5760&ZDwull5m@&^Fg)N__KoK>vR`YM&k1f5wGv zb3LZS4}S;DDcqMQUFkEy|I0y9CqY_7O5l%!AO70^q;->cF7-4rLTA05#XG!CMznkJ zHTC4g3l_{uvF=5jXBEYa#t7e+mne_g=>PEw!_qD>ADsGoWOT8u%*-FUFQ16{Dk3v< zq?K9o3RC2jeYEy%=~l$ z+HlyuB25N{{C#gj>mtP8$W{51{{khOU_xK3L0=s&aJfD zW%UcH)c;+|{}+pYo$~*|qEhAFN3eDcTPWpV`HKE6_Ijtg_%e`S-yam2&yR5@ z`N12tW1e`|U@AGT{qyFVk!1MK&VH|;w@aa&vKh2igRUsF7!4z8=4$SV0KQ3Ex{Bg*odTYlO9P(7pyVm{Q zBj5x+!w(tbj|2~lronY-V7K7x{F}yGaQqCWU;BZW>(bTf?XyWU_iVzd)cu$JFCRDW zYj=k&@+fYpwU5yZuKazLXOFr0vVH9T5hPmwykA&u*6Zldpg|h?BX{UYsWuZd{X<7Z z(?5v>g)FLK+QHc6jZg8=k@Sp#*rhb5IL%O2KQo>-=Ia-zJ+!9bvEo?0 zIg_X0B&VUl2CJ=ct1VfICTy%nHb{l^mt>Ylq@Ep64VyfB?oQnmjKXesRjj@= zAn*pF=F~8eCp(IMdE!^?zA?02M(5^c1CpcEH1uhMlMF1k{LICJutPtVD6&?R2437F zd3PVvFkh4UPOqQ_gcYnx)me(~J}7a_b6Rdu16o;OyGv^2oz6~wi#=+Z+wB3zNyNtb zYH0cKPk8=%V||#N$e7#(As42HGEQkph#0hK|8jKG)LD+Ab|7y_cnlww?TeCEI;s8n{B(zv=6>+;Z#6Bp= z266y9z9;xuZW&WFsmdOuC13_G#E;onF^vxmb`AFCjMF6uYS9~GNAhnDZq)@BubA2G ztyRVJ8d8>bpDuC$WhidNDD;6qlkW+3!6!$~cGfOSn6F=AkJjRk!eImCbt2|O1bfX(F+_8vq=6oV%a)7QiR_##mB=D}Z%z8x1O zh~KE4Q}~tvOBB9tq)w1_*D~DKZXQ%OAp&!5I+Jik4#W?q=?g0XTbI5$0@n1$r05HG8^}o1N8E>s63JJMOc>*j5Ih6RLjr#zyDsSEU35+S6j& zQ#i(l9IS+Rmv7=jy)5UCAy`g3{lb5IzLME}6LRrdz!#x};c6TdQO~;W(`S*C?kFNw zHh10$1X3SIWe!lEo-gn?QlD3Ucp!m`FDMF1t)jUax&v>{xoyaBi;6Jc<@-)e02&9K z(ZqGa{Z|RY&np#&`*gqb|@k!(sL$L=XBHs!8A^#o!ao;u#b6)ces~avID5 zyXCpmKLsBCg% ztd!}jROw}u>1ADw?)97^uJeMf^TMw4AtcRHWPqEPJv1)gbzkU^uH{x4_Zc6>tuRqe zRBYN+5C&4v+wp}1NQ!2wzee;NtMZ|`eRuFopAuTKo~gv_->g^ zWM7(5N?g%$>m|i)WNa1=8bkd>%CsdDO-e%iki4-hjwGxAo|t`sw668p;U>b{vKVRW zp|h~gqNj|LBF@sZ9A#6N64hSMPw%%`+O*lPVTKq3=cM9C>wHhnG&f>KrE};v!EbvN z>_wKJREO#crvtvaUZ1%u4n*xMDZ+(x71&SpI6J)+0V1=4i91xv4{ok z_v4c!^;pPa{{UDvu$- ztHy#JgWQd4uT!`zI$PR%P!T&ziu1u+D@`ANjc5MYdvnm2d=FjOv8}OtFUN~r&SLm2 zGJApU#e7V!t|XR*|8sM{JijG}4yjshaZofZ#TL^}ib*b9A4-J$={Yr~*SJ%;O!?e< z*n?Q0SNE$PQk?@8W9DJ!od+wzYiS=J>I`SeIg{QQ<4Muu6SP;hrhc!iN$Gc-eOx!N0F&Bs6s^v`g&?|dM86NV{hjM-O3efoWb_I3U9`;5>ZrGI$B~vM!LdbZ^i8 zt>Cd~mjDHw!Rvl2f)Q8D9e1v)uu4_>J5;(NYAbu@3rWct>OFe^hRg=uyEIox_nCOt zKdmfu>gZjx$_S5`9dl;q$8Xdk=uVkRS_ZBxibgIIvs{K3HZi?IbS{L~w^zk%HrsrwE$L(4XYsdsNK>0l@`thEO z=ss#o#8rGPCBeXI>vxZ4}Cf{hgD7P?La^0869f>^%_bcY6IxWS)f=LQEq0X)^`hE(QaR37!|kBFi~ zWHua#La&Y?gHn66-a-VCMs*~XObvsBJc44_GEjUb8e{*8&a^aN%v5}fImiv-rm2g< zsqZXjl>ut{bH2J+N<4do4Jd(icEDcAeDxZ$R02(gmOOV^Ewjz-lw zU}o#{yR(Fnr0ilcrqbCch@iSY6U(l2osc?QW#-M#6PqTd%R>29h8KRD08@?~PEYC= zL_5PN=yWdTlHrW;bp#$7lIa~QS*RhJvA0w9)Z%>bP&mVjW74SW5J?65>$t1bgn(ZE zE7B;0w0Tg1pd>xP%cwBv4by~l&KKVzdr=bfm|w(5VpaGR?`?4<2pZCZzT>+7-lJ!K zAs5s8j?RS*>wWEPMEq+w!OW+|YMQpR(7yvp$CB6kJ8%2GNuKiW^hV$(^}LZ|WSm#3 zgjQ2Wyo5{;Q4{#U;WFv-V^rKwa(J- zwVoRdMPK{}`^&Mv2_=`Z@f89T4W7IPPtJE*4Fu=D7=8l`H>9YZQD60Lq+U~^J#aX~ zt<*+=qs-Ntw zeP0GWE)vFa$6a$?_2*r!`;8E&j)we*c2*A|?1%^L=)}c?>OoZyYhk%hB66-xWA&#A zS6B70(uCOE?>EW@fj+3bC(?hR;S%v8{QTnYmW7(VxAzKSIE@;voS)wC{>Fcw@|^{& z^H4(6;XbhW&FUg?5?Qf&6+gd=pSR5>-`;z%nn&ncUa~$=edH&EFW+VH%jOWWozk{x3dtso( zhE2xG?rXQ&EsC>x> zRSq%z5{mff~dSL7Mg}v zj+c9y&W&bqm!X%G8Rj96ee>9r4(KTd3H8AoK55(#SLvF~FGxmdcJxaIiqEkG7L)B- z7K6_n6Qj@X0W4!f8l=02c3N52#af2SEj*lKK`bJ>WZGGp87z-x?13m7tpdR*%!efd!n&YFaY|0^lUl`hm50@w9-Nt*a=J7knHE$FCjA4l5pwe& z`wU9sy3;)3SFg@s@9`*w$`V~O*T&y&wwUan-NF(C;QaL5_QdCrxrI%b$E!P;|1r@E}n=L*_3?%t(z`~x2^k7uYf z+cNqxAJSokXX%c5=`hA87dYdS$S`%ogUfBUc9jvsTBGmL=hfet`-0q1FL$A*j$48K z@L)b7Cf-s6PQu(6t*gAx7j$nwbq+?p&r%Q(lxqcGTkg$-85J&Z>FIiE%cdxvqX& zV9BFqmQ!J~)ef=icyrF_pOdbT0llkZcPQP>fmY`#r$N74ALiEn3Y<2=G|0_80xR)J z801#Zj;GcGA?D|{Wj&yU@f|l^g<4zw72B3*>uDnu0}&-JefwJ|#8$EPmbbt~x}O&` zdSNHH_(Ruw#JZMM;!aTInx;PULC&aS>4BMAiQ30Iq!kRzxQ@LMcmw;m>l~0GW@wU> zo%=jD>^77=lX4q9w}bo+3mzH|0H-_^qNAm{8w0CP%5duX%rT2EM++$eRGfv6wvc&C zf!lXb4++k7ks+_v7I+_qPn*UNhPc^__b>3hWtH!`MrMN@NLIvmlJ~!8x?wZA995(U zdr$Glo3DE{CnigWWzP~;UU8P4!6Hkr+n5;NmT2X@&qF4R{Y~@yHj4nLVkcVAP6GBZ zyA0jWn!aZdCc2pi?q(B?%r7w~nWdVtyq!*oZ?YFDKpP$X)3iFG0q1_}5n4XY+3poc z%BU88xOTc*t|hcte%jXA`e`Qci-AempW?cxe6eV!2<2@kp2mgE^V0ui(bL(=%XM+8I;z%T z4(_$T2(JWN^>*`xRxpC*`CH-X$s5@}Syld}I^{raVWD;NY~c^8t`qS?Widw`GYb4UCfsd>J^w;F{{)mkn~Bp?4LI73Lg7QAv2ROSLXWoTo3;;= zE<$T^cmdC)M@EM&Q|*{vvaw-FTN!wMxWZlyrZ`m3$mT7hG$fv%kTN;;B`6Ul8QQ}g z{Z>TgisWN+@wZOdQtGbzGz6!zHEuop$t@2gGb!}y@84I;6B9%ky5*Adxw-N|VPR4i z=bDj>pVWH@qD4tFU?!?EQG-;&9s{pvpuTm;!vxc}zm;+dv%H~-%Hw2Xh!YzJM23ux{+x^2r4K=E*cD)hfTG7O6>@0_uwBqXS-(&eg-bP XF7H{HpL_8cE0oq1&2%{EpWX8xwA5t> diff --git a/adder_8b/db/adder_8b.pre_map.cdb b/adder_8b/db/adder_8b.pre_map.cdb index fdbc9ebcd358e75ca3d3ca0cadb44ad6d2dd23b2..6d220fc0cc505fec841dd115cec15c7217ab082b 100644 GIT binary patch delta 1720 zcmV;p21og&5~C83RuKpY00000008X)00000001MAXB~gZCfVqV>2-}Uctltf4}w7g z-Xh~UrtOq=Y1<2RTYB1PJEdLP z_5$6Oo;KP}X_vM=U$>>FjkZ(TrEQPuw)C{oc1nM{wC#DiEj?|tozgCCd#-LvPaAEg zwAFQ$wb;hk#4^>^r^yV^%*xZoQrY16p5QbQhoN9^ka7TW^R$mZ8MP-i)4bs7~o9w3bMI>+A~I3D5&$6p*c@_~e**Zuf|1IMEh ziapQq8wZZZdcyHb2aY3kahyu#$)fY>Zpl%^99u=#j^pd%DC`#H_?hGwm0urElpLp$ zo1!);#~|0MvU-Vj_TG(k$@(eB_4s4Sv4S!mxqo07o{FQ){bH6)iJ@B@KXBkEH*3Al z@m&XwrzP&|c|Y!S;CQ+x9CtWyobZI>Hj}ghVFBfn76UOBX-_!b?!a-qCme5a;CPQG z9B*{sxWSWM0~dcQ95_DX3CD{aIKJQs$4Li{uXw`odj^_f#X(BIG*XiamEvl ziyb(=?Fq+ICC36USkHwlpG)M&oM2azr1?cD^s-;Diu}A6r8Fjk`eIh*<>{#pNYrDH z82D0{_e*iic@?frK@hThhDWhlK~{k{yar+$g;+}>zEd^DRzqx^UF=SXt+k7-gV<`j z*aHx|-Y&KYVt92NYVesC3dkLlPCl!0fLiK1VVq!E;bx7 zD}wFNIi2U~%5!w0r{uua?B4Iks{!GN+{kl@9zUHM|juZc-+`>#-IFF?+qEVEg9pSE73k`%91?0?d`}(m0WGskyp0dO)7po6D}_DXF4Jo@d8Kk^ zEI(^?)7}LJEE_cWPGboTSf1=M7W}6(fYUyIvCUrXGL{ho7QW0P&WzBJ{&JWB%STDxoU_?3`-wWQ((0!DH6kwyODD$BJA%8!Bze-l#DIT|LaJ{tf3d(R<(0Up zr{u-A)HBU>JB6KJGwhkLjCTObTNSZPbO6g66|pSo0G64GSaME5v0qf~FX9VWP`1C| zzc35q4%z-w84HhavAVKY&glS_Efukx*8waX@A$tWQu7DfiQ}2`t{m6QlYx11KOys! zxU!G9-kzMnk@kN$$LaGNZL;j53>|@|GL}6VZ6fXABxWe;PesI%b9jz&m9hK?EIc%r zGKK{0ah+zIRn`eC_|x!8KEj_&w_y~RGx4*GyiDs+FZpP%pj^eS z+;`kZ2Pww%TbA*9PWiTxk^$ME%ths4Q3D#q8qjDBgAj{}Z{FB~v9Zzli{>w6rT+l{ O0RR7Z0TQzf2p9nzdsZ(1 delta 1740 zcmV;-1~d7i5~UK5RuKva00000008X)00000001SCXB~gpxNgjg>CGBr@QAP|9t48~ zyiHUPMYJ~?Bp@C{j6pn5JW*7{JS4$vJc3|QQ54jNAc&%(;st6vGJaoo)l79wcU5;+ zW_oIz3O&_T_5byMU;TA;cWoMtMq?-lf;}Ss7jZDeoPTgPj97-hg@i~Sj`%NRxn2hr ztY<6a{%n6VqMvX9Ug&axmQjBh~UrtOq=Y1?doW?-FaupwWR z(k^X#Ot+<{jl5IZrEQ<8+tSlU+bQkRw&&@#^t6A`c1pXn?NQy9o;KP}X_vM=SGT37 zjkZ(T>blBWY&~^yscP%fWCmzvW;_z)^12 zdY$9D4jdOG?(2C!?r`9Eh9?}iJ8+!zgyU9|vI1cN>5~)#F@G6PINt8Sah)d|Z*kyw zk0%^&bl|w&6OPw8aD2!Uj#oNxe8dxuS2%Ed!V`|m9XLMY3CD{aIKJQs$0-MnuXw`o zd)*aHx|-Y&KgVt92NYVes z#dfjnlO_Zy0fduK1VVr9U2HgFRs`Fjbzu9x7`7wp!1i@9Y{%4r?TcdA=G1}h^J3U= zTB`eFfs0Xr0eDg!*zo6P5#ChUCI`F-D?(xK&LN+`D4yDF*znT49&82L4IkL*!8T)W zH++n*2OGW|+I;$YUD$BSz=rL^y0D#P$M%&oHlCje5IB?#`w)LfHN`kib2hZYtO|j( z;V_G1f0M^v+OZ+06=Z^9V%r`jr7>hFsEYmOj7_aA-EOiZwks2e!}P{17hAz?9&3aN zUV2cC7R2@@8l=_VdJEgYr*LoupW=jB)SBN0KGo(o*`o32kqN{-Jx*~-DQc~!1E2PT zPjS*EnrY7D1D}8PgHLfjgV^i?3YBz@32U)w)YZ|P%Up2$9ELtg#<5#ZORuzeoahr+kb^xdjWC= zWtq*=3+)2eB!ND{|K649UzUltkW#!Evy3lUn;FWDPi23^sz6t7<&aSG;(O|d4``WX z;%$8Joz_0oTOsV}c9~wI$t#sRWBFODoAxd+U|FxpcN$A*!1835vEVvi*5F5 zm$8f(u<&ITab|>$^q0d7SU&19mMy|w);Kyr;M|+-vY)8)Dy?qXUoG;ouykS^y(73w zOp+(%R~pTyj2m)WCyUkQ4!1H z4q%zBh$ZI~6#GTx{vy7B1!emS{tL4(?vU+2m9g*$7pp6a<(v*+*<2CJc^$yQ@s9s1 zA~k=ooj9I3@5*t_JQ+Q)I9BF@#bDTcU(I(3-%Fq#bDr4E5(I(O! zPGW|l{!~OPIfv&cR~gHXz`{d=DPu^`9@lBcS!JETfeT)S@?HTSxyYLS#h+7ThusVk$ z%Q~^ITLv8ZI|gE+J=5NMGs;!$%6-RubdX|9zhxP(=ag?7DH)Ir%3M?)7B!$ztO1SI iFbJ`j_(sRa#>Yn&%pV(NrT+l{0RR7Z0TQzd2p9o$C{o1$ diff --git a/adder_8b/db/adder_8b.pre_map.hdb b/adder_8b/db/adder_8b.pre_map.hdb index a39219ff5823ebb92ff5f73f246d3d9ca7dfe301..70558d19d39659800bb0ea0d89c3712f0a16f610 100644 GIT binary patch literal 8640 zcmZvC1yCE#_AdPJlA^_0Xo6EDKw8`h6k6Qf-JJr#B?MaBy|id?DDK6A6f5q9;1G&C zyxx1?y!&SU|JgZn_B*?KX6M_pGIN#~0|TRi5EH}sZ;|+0*S2!^uyb(+34wV)(q49s zmLSM$J`fK-H?IIUgoj56q+#XmZD(NxQZ=)106{<+??F0N<{(*1JI}wvFaOI5sLdC-9`T&?Spw>M38JGn-NH0p!$O>m4wolf#C_$eX4ON;;8U6QFJ#ZaJhSJ z(wmizY|xrA!tKqP-?Iq}$ex-Z$ul`RFG`#21R?g&rZsQtQ5q^9gkvo}8NeX%x}&8L z-Fn$!a6Y?__M~*q={VB9KVPnTxY@62@!AKsJIq!ZiPR_!TS9t9vxX9&V2}Z4k)?Cu=6!_SY_ybiyJrwFW@PBkn}xQBBKz z=*C00{rS_tzC}PK*`B&NUHTZL$lM@X1iLd#Z2R)ecn-Su@hWLh6lXbO%;^b{=^XBS z(p-~D;}mWwaht1u@crYTk=UG8{?|pg*Z_JJQzjpOGJ(`IXJ>v>kwW@fC!KDZZ9P7GsxT1$9pgE4;gG9V7bg)KbVV z9{@TJnZi>C&YAd2jw$QrMIjtwJ@fv5UkAp7ZJv8j4G7-JHA6WOz@^FOsd^N1E=mE| zGZixtFZ1{GR}F?EgxkbA zox`jNS>U6>@D*6=O02qC^bVb#DlpuASw;P_o2-wcCg>?Y*r25Ftp!G{epB{7WhsVa$UMUatWEY2{d>GlrV{YtV>j5>O*awmw%7)jzd=FD3HtBdrTDYPM4m=)sx6M>vJ)Kg)j>LAPT#B=NdCM0Y@v1G%KOt~4srmP3lT9XppJTJ* z_dQ-JtQN2dSnI#6t9Pj1bMM)?_5FN!7zzWsjijIwzti55@93%+>7Z9(Q&WK5mrvM* z`x^Tm>MqNn7CZ&FVpe!#N9vsm8W$?Vg*cNBc{fsfcrCyZ-`H)EJ*r6A133HfUGAP?x(dTQfZ!kX)q@FQpush!yW~hjtAkMIaNMIvAigC<3>%SP5bXe zSBk41?_lr=#6I!BEAeL4>?Y9il-@K_y@m_3*yVlrX0cKl>su_mm9v-r7mSw13jGDy zd+8qk0ScgK|7zEAU1z6m7Mws8)OGI}lKu*W z22V#2RJU+r&g3SRgn{#J-;IaVwT~KS36Q8Y5E0t5Uuw}Zd~tNt$W(AcAZqKi zC?XMT28&w%0@J?F{sMs}p2N>!>m;OB4le3{`_{4hsw$nuW$M0HDpyeYuB+sjmN|FB zH!)xzl{+#${D+~!^`x zB<6M$4KCXFz9CWVB!x1R0`VX~0KUQ*wXkA)K)yM(!UYWE*)PK4DFY*7E>;Dq3FDI7Mpsf~G&Nua09!Eqv zcBlzgZ@*Y-X3tKwz}sNlqT%Mn#z94!Zda_7?@8Fss4*nT%#eIH0te@&lLXDh;ES=Px>t5Trvy*s&%!iEGN*5)?xgHr)ff#~ zH2>cMv4O>gI$u6`;sTD}IWqVD{+WT8zP9?6RpVv)bpjvJ#PhO2H6w2z*Z93;Z$Q0v zybU$0nj za?78P0yVs&@7`C3m$rpOdSR@D#<(#IC7970Sb!^CbJ}vz!)lzLR`r) z$YCcSN$sX(V(}Rg7$N_#xXX}4(ayj%_+vn1LJa7n5;<&Mt|{=RGH<(M19sbhWp|W@ z9|B?8Zs;b8u<=G?h}dX;N@CO<)k0iV7my^VuWz(T%j}T>?Vd51*T~2e7?F`C%&5r7 z_;Zrxi~0)hRY+Wl!z}0B#Upwm1NM$nDf_pj9#|ZAT=#gh3&dUm$xpAZv12&^C{qg$ z^O1y)d401GceQ?%8Hf3aj!$|1v$Yu=pW5`jzi@AaY3O@Lb8iS1TXCOSUBWGU)s)*4 z8*GAQQ>k@}m%Y?voyD!;bYk^y5@4>S&0E#}f$}Dsrhi_D2|X7jaH4#g z%al^={paqBuZSc3i1~VKO3xU`%zgj*Amq8p<)UqK>5@at6j3RiZHU-}33$vBJiDom z=b}!2Sey(qyK^5E@l@*Bj7@ojre}xyKA=ud+kmNYDR~2hqPaLMKZp#=GVpW7rol&D zgHh%Z7tz?{VU=>~*-!Yv7$B676-w)u8 zk+-e(ABXG%Zr%%tRu(;c<%-WW*?T#)BVqUW%|LF<|HnOBy^4KawS>=%{5ESY-Q@K< zVR4xOybFp8B4fPH(KwBM-zQcg)x1Ar#tPIg6hjY6vFFJNYURi+iJ6gTh4|$pP%DSj zMHcq_BMUMx1=RXl>S6cWMf)`c0_kqf$gA9_%PJ^v4KZpKkz zxx+-h%P0?Ys~LLGf;~S?P+LZ3349;lE+OSD8~XQkRXa>(nMG#lq7Yx99AEKi(6$b% zI>msRP+f>=@0Ayo08-e5&cL4xOSA`=Un#ggGZGScK}NMs1DFp=}88iin<+u?*GG&u<{^@rVnvHJ>`S z^Oi-eA9trOEswc^JM70)TN&t6zps?$l`67)+8b{?q!=2$3}j@}dN(vkK}4F|5#S=X z`{K+yIWWC9HhOBJBrULGbZC03cY3RzPiNn}PNy~La51NR0c|<$z3Dmi^IKZdVVIm0 zdGl2Xc{|Uny;lx~_V<}uA`P)2%@E-c`@|LTCu=km_h)di1~;*Dw|eHVt^;}I>`U! zxJ5PhZ0&SzM|a49WYGD+f~4vY1)qmVe-O)BmoHmo?UKT_|rZ( zpo`Ga_;)L;iT=0<7NtKz%}Zm$@!ZJx7nVpW%OpFFz{B5#ytw4 zhl4O$^nwh&O+dZOK)EHL2r|OQZYO?G0laBi=SaJlGJui0uH^vVIsi=~y$fcq^Fx_s zVwNRRr`NsX2{s*%V2`cA&iyQg;Pa^5l?SxDPD5Q|Yt@3*%aY8<9N@6uy(at)Kr%Yh z=3dW#e4it(=IrhI76A(KrDH@B-m47`zY;P~unYjx++veBVldLK5U^OKxF5;?kPY-Jv?)8YHmdeM%7pmx_E z_cVgyKJz-2)89UF%hpW|Muu!{uMg#5L9P;tN`OxAo0_7M$H`|m$JWn$zr5P( zt&!cY1~;rd!Er{ZO)1#!V{+5^;OR5?Gj})f95eZxP9Uj zlCW=y8Fh9jb%x^Oknv@fNI5IyfF+WW=7d1<;LtBj@kEy9#7k1}-NrP`TgnSm?ZfC)jKSS8D8GLPHirsicMRQUGK`la1c)@>GTP}5mjHaLa z$(bhUqO>#iBOxe$8uwPfbpoIn3cu5Z-^sx5{)USpeCgG{1B4zLNPuYnAkLm7$^y;B zL3uW*{s_(aklR+RE-tYcd5);VyEU(*WQq$Z^0u;xLXgY!4oc!LAHR{j@}3KQ7{Y<} zrJ`l2aD@9A_N{84etG-CDp4ue^{ngypm_rup-OVWi)A#ZWOkUip_9z-9PpkC0^ffwzM`n@!))TGB`5iJ*~8EN8Y_oxI{r2-Wz@wo3V;I7_8}g zVh~h21a%)QPrgDdXez!Fd>xOq)kBodLB|7h>oWYy2OAH#@{Ct*iBfK9I=0Cnn4ES~ zU-FO5ZaOgSU1bveBM2%^4;3fCAE4QgUN6SzGU@kMZZZaM)`>^?5?s8^K)uQ<2;_Kb zFZ;tANa~-+kT~4?i(y^zP1X1hCrAMlK9WMejf~eBj`zTns^=oQex896r8&{3QBS0| zk~~-#O?tP5^+U+hRVC3W?-@H}cHdI|Dap$2a<%>Zc(fAZI~+BBjJY#mN47J*95_^a zsIbEX?udypb=^LxGcZ|Eg>yG8;CMcjys&Pj#DAV2IU8t1p<(woT!R`~$MZ;sTZ~z* z%K^=pB=(}!1#u1}EHN(6rke-luz@3XpKlToR}hk`y7^59hC!DQK^msEGC|G5-GeGm z9Y5S5BPfwTcOWZ=s{lw;qm{%*`$}ltP8Ea^#OW>B-#M&QK2jR z-TPO+SC&tZFmHLd=GwaYli4X>R%bJvBhjUsaxGlk>JvSMf^b(tez4Na(DEMI=+cyG z=0&P!ChTZhaxum~2GOJ~<8LTqh!<`eSA~o>=yiWEU};u@FM*+G9_U&0EjLE~VHj}; z{mZAFGLx}a6eQmbI{E~E-?kIilryg~LmxoUhM{;E<~wfzr(}sirppZa%uP&5q94`< za{j%FVYC#v{oLG#V~5@GMUQl!(=FHN_);yaOwYEp%k?u2wDx*dPH zHu4}mHp~$xWqWs@6in(jG8oKcU_f<7M(P%As7Og-zqnxT{Uhz>jqj=Dqo!I~+F(oe z*1oyJ)vvx#V|s?scrD*T`4jdGlp>9LDnQ5pK9WlBfsxRbIF_?$1ZJhujHj2a$<`*F zU}0FWk`?xspZ$D%fi#p96knT2zYfdssji(|tq?Kw4~kX1w5M^e1o*}PgosI$r+7}; zEbUo&(7Bz`y5+<+U3VR?5BU)`ugf=J2u|y{F0!s5h1yVfp;yf41 zvs?^*{xZBi!Nv}ym+j(T;%5`DI#gr~L8+5RjC;hZ0}7>42uzR7h|sn;np`DQ8B z8pHi1Ik&?ggDDUcBgFC}pjjVo$Ox@Grx!YvL3;$x4P?I9bnjq-c5p(SQ|TYspdCc` zHYlT%z7+5V;+X5R?%}-083xH}kO6pa6*J)m`N99W0{LArpjlRX3l=>0;^Y=GNQ{wS z@7bz&%7~XK5?r%?OAeY#Tu!;3mf8U9+v(-l{M~-rRA!%_$J1Bg^%@s5Lk49bsHYH= zQm{PNwKd+z-}8g3R=9`g4P)0_MWdz+Cpqa?Nqnw$L%1O)R2vze0|5_XtR8ubx^{cmkc8VByu_st|VSWmeMHvGe{ zpO_aR1c&9))8fZ2NVg1jWI^LmBRUFkSY2MhxlfF-znzW9z2Q~tx$ap3L`Ea1q7YPA zm=TTw0Ypjkzxv#Xs7U1y2u9gnH3oAyLQr3ZmXRTrf^;AXk-@O;L&{5tpYNqY@=o~~ zDQgrW$x7CkA<Q{eo@jBe1V*=^np}-|;k9hRHB^ zs;v5_gw1Hnxx=b(i{}Ph$Yt?OTi`~~Q;##TZ4d-DFT7Hx1Sm6|>OU@j_QUu|c#HSJ zq3CLxcnbwvuHa0SQLCFR-7~#rx6K8`)#CsUdC!;;&evtIoVqL^ynEKif#@P;kG!-~^CIY!JT*LWT|c zh3f*zNQtrX(ayI=pNP&=Zt=b~Tn4WaJ#aRNW;cEv&veK$;Pmty$;olxXsF*LJS3r5 z{FuPk(RzH%X5V+Ix1~d=W0rukiMF3jr0VhIA{N9L&5E0Sz=}<8g#OG+-AOHa5%|ZE zQ1^=8fe|yElN!0RID3_+k$*D13X3(S>H1ZKw@*MQ#Bb7dTdc^>)kK2QEYDwTwTixZ z!%|A#&$1b51!Lsj8%4ho=h}!X;n0Z{`JE$d%h93>Q>ze5z3PJrvp;4Lo_em?!Ml6B z|GdmaZ1Vb{l4xjyxs0^&}W^2hIrAQ4D8k$#N zARWzM444}w0{5Wn=`)wx-*GiCa4@@e6$go)1$4H*#5pN6=4;`4QJR;X8fz$gJ2_pZ zJaT~2bs^A)CRR6m|5Ch6v*>;J#NjQOeWPfei@`!|o7eoGrJ2I(i`b9A5uiVc(BQX$OZ}3)sCF!{-tO+g>=Y#d z@On7?tf9FA2xKQJx<3yJ#`lQftEx1xFzQzy6&sJs(%JVjDg`>FS~ec<#;{3v3?sGj-9iHS_Gv zbqqmgQO8;7x|+N9Dz^1esu^_mzR3p<4>CuHWUG&c(8&Y3Q_&6?a5=7#* zA7iepeHE{w60^=6wZ)YK-XC0^pZ4e!FIDstOyebs^5No?k}!aAdZ-Poe(IToC`n0%0J^Q$a`8y{Pw0<^#)XsM_hPpZ(}FN7JxA+ z@^=i2{sP5&6{+Wqng76S;saTdgYZwGhhOjB3XBG(U3r#l!K5}#ZTPIjDPeRH^v|}R zdU0*n(Fu>@(9vyINYibL!RWS^1L!0m&$jEZ^hZS;ghyZa36HkNp2E2{RJx)BAJ0#x zP?R4keGTLz9L)4*!a{&rYZBM}|ITY1s05N+_|AO1PYIa~cQc8zn9rlRdIO~uS@CS+ zjHLpA{Yr7nO|Laqe9q?#V&fLWHkf)^YYw@Di~I^K7?*02Z@SUvVOP3czGPV2q8s%A z*;%Jx_#pL$P?g1+hrvcgq`0^-aFmM12R%tW8<4FaG1%w(g<~MMzlP{6ZNjPt%2);$ zaHQ4mK(CwOQ@=k0;f_Yv7ai-rj_Zd1=FBqV)V_#4yK2WlQ;5v^qN#s+XN;9ToADyQomL+jxHs4a@RGz1{E^0`OW5gyB#t|IN7pnzT z(M{h{lLit$YEjZ4ecx;vuSEDqGA7DZGIg%G*}V*4T0nm%y#IOHyci$7P?tOFLkl!K zerfFM)jNRH8>^$6O>dO=uC^>8v1~f#w{n8JTCsi1S8gk!*kHucdcWtjDiL5_e%RQ# z*r(n3hTFGoMdtvbod?{XtCOG=(9SyK7?}6}U{V^Em79%o_~6m>bNiA-Y`;auq$EGZ zQQWL7kE_bq*yGUjSK;Wqpj^hmhGWYChcADYlJ`o7dEMa5uNk7GijNhdS-B0OFKoo( zF1hzDgkTb9aDh{{GIa`Z#N7c@bp{Q?fF8|4luxU9@@7ImSKLBXQw_qbN(@hijSXGQE3dQF|V+ zh{Bz1N3ujis$A84owrDJevq$2_eA|s>GM>j1?%~0H;2!u7(v4@o){C*4 z0p<22)b|46@&U<`QNL)d;8Vind-SEOMaG-N+;(G`~+^pm9g z^_aKNJ-pJV>Jp!ESf+^AXCVpB{2@_;?5xf?eiRo7Tyle*-r5YM`Z1IYz+BmIx1uji zwMx;N+<1#ollek^6!%%cAkh&AM1QyDz|G)Gfj~f;a^$5jx1GxmwcPML{0y)MLld$_ z#M9~RK-NUAz?I+528&-@8cI`)HS>eZR#v2Q+4(KluOe2Z_d(Ou1&8$#)w-Avg!?BB ztL#$L+ge^lluhmCE2B=`x!?%5jRE4335g~y{F|A&y;_A9e_i{!GtS(m+%DA7PAfRu zQS$cZyQ>iKj8$Kg1$7{v)$^)I55*zJ%gv;ena#DWO7=&ZPXASFnlv$!8JoqcIk&@W zDK`ILD??iH`~kuUtiz(kre3^e!+kpOSRmHA+wX^Fk@Y*!7r9{6@^e`)^VptQl7d#fol)L%>#K3rb^uhE`R*`?EMmvyK z)R4KWotbIvU{_&Yl90@_b8v8g?ivMJuY|wlAvGFehz9TFL%l9lHH*vH!ll39e5&t?k+CP zDy4B0eYkSJgwLPU@3?5o(uzg5^uZ$<^x-CCBleZbynaQaoyBPqUic_m zKzZQ@pYM(dok^L(No^+>3E0ZjxnJlv$hsLTo$m*}8dcZ6<$dj)4Ox;o;tknf-!s_R zn`4)bw)q0SlXlCWk|bJNc`oj66X}{w_S)j;U9#qj?su1Cx*4v~%3WAQKR{5a5DM0# z?kW+9)Q4s{o@H~QyL`ABF1iD@^%bRdb{ufdc|GCLvXyKS0Kwl?)5}*XTAmK|F0fxY z$yIS81%2{~=l<>ta^!r?dfi=15W_QCoIkvCav1iJ4F9f^{2;sWp6y4b0| z`DWtk6NsSbhU*xYrL-MkYf9>l(+cT|{G&Z@Yj>d%RaUZJRNeG;W2mrnSn=5VDBNS% zd~IjmlhUqwIFSo1go80^X_}QUwJ=7k`p1f8Trmo$3>nuA<;F2SA#bP|p2bp^)aIbEmuvVXGo@Fuw2YTr@PIXe-ZH?`|6W zoX&dw&+i(8j@ju>3H-cIwGR9ao+i`W<>I-vrbgJ(IHN2J{8d&07ruFo>a$3|Kh>N6 K`mOQ!_R1*7vazXmR;La4S}%gyLG9qBS&l@Zj!JT7n0MVufObLW)~}K#@R@7AWrS z6nA*J_rCAk_mAh9^*OWF+I!C0Gv7IT&7OTov9Pczo?v4+KbYJ@tY_`+VejJ1A|ddc zMZwD+3Sj{Wi?BQw6%-N^1U-K)!SdGH-P_*Ennl;b%8>=c^7a*rzO^NbGQ{5V;WZyN z?1vgySi|)Hc339(|M8^E|M9-qyC#Gw9LkxMKwVuWIDO<-DpD%i=J+oGz1>no}Qd|4#)WBq$Y+09(Lc~yFnnUzXQ(q=UM=6v=BM-5g841v_s>jQ)V2YnIj??~`0TwtfEO(;oA^f7%acGK zAxXP@Fex{sp$rGHb*q5@trcb>vx7xY1n-i>!1e|PD!1G4i$^HNbtos-& zS?&qhLjITZ?FTF0F!r*fRlfIC)*8C^3)k*P3_C0Kl=HWaONrw^yVB_kT+;nDQ}ssD zM;Db|aw&wn6Y`xe1d|0v5NaS1+LQ7GzR*8^A)NkGUJ0C5A@c$BN#8oYETp{a?*yg( zBOoJAn@BPARxko!PfOYc0V=9W)m6;4FY#!+Exh)_=&rG=&F1cV2D|Q|>ToAWXT=wL zu+MNr1pngWoyLq7e-^VlkY~+wC+3o5hriSO`({*1%*o&D$u~B-q*p<>5`LG} z7RP6dP1plKT#pn{YT-KF53f@SPC|@I@03cxZ0}^rd9(0R_kIXzUqjjGYoee7oYg{E z=$?l}_#xq_7D`62Yulb;+ z!l9sz8x|$G>73EWq40FMpYz{51P`1~LdW*E>%xrotKZb=Mm1Ld8~3P2ca_TH-vZ+6 zKE6223dmG&9|ZEQ{eFcxIu^Y)c4R5AWBdnZz{(aqzFsb_r1aaM zME7NdNF^D$vSS6W%)@{YB3e<2sv=I+4F9`uIPmHR=ew2HVJGQk`t1-r-^q4Sd_@)_qKyG5) z!Vjj+mcj(u-3Om|q>1m@>*rvBq%(W4onsB{8YZtt@IporBlHi0zpQ9pQO1N^C^y-G}3|fR2 z@fk7x_=su+uQa#7YY}h7PEDt?M;x0`^2bt1Rrj{M1u4bChq%Ydtc5>+lWn5Jv0njB z!cC+N62KGeM~2YY<3ba#OzNDf(QQV(CeY9g$`cA-VmroM=EME6GZjFG| zQbJ*mJ&ipAwnp&Bflrly979frZ6%=qGazy7=E?g+R>hFNPFb!>;O6_qlve+AWM$%A z`I*;XqRtj8+pAQTUYQHY-9ht>*l0KR7Z0T*`FNB{Q#LaS$ykjG*y9u;r2mN__@lwc z_U^h0rb{2AT$(nJ;JQP)D3JHrwDH8*cyrGYz=6DhuXbiSyj|GS?sh z%(}`=9Rt_80%-k(`1EnsOt>bP{vxSPYL2rUxsGR;1ayi7CidWMA!TKuu2Sy`B$AG$ z$(-)5JMYuQ4e?Gl#C3w>f4*$e@42hN95y)q)@w(4n+}}10|mUoujEuSgIXkY@Yiz1 zkjJ(q(!Oc6*TjRgvjGh4?#UZ{U*vK^z}JNJfMNQ|5Wis8l>{;7=XHP$X0hPr!_l}r z=is$d9I%iDuVWDcB9<(eIdyA;bSqJS@fEDB*KGB4d zJwP>fx1V-u3a-3!9!)z-ZwYC!gdn04TX|LLWmM{)s+=9sP8A7N*9lce(N4u!L1?enB6C%zKL~#4K%}kg_HIvUJiSTOYiY>2q0TeR-h>T5bQr@Pv+$iDaFq z$1MB}DXY@$hhFpOc;*C%?5Qq;%gEWJE6#I;m&5p7roS>leE@58Bm^C4jaG%A>p~ZY zmz(O;;*MW^DJUU^ho;*?2(DGZFR|0&U^8`dyve&{>cPCp(kw|gMx0BnKBE0ghYr*& zlddVVR|)B?f~l;OpjGS8$+>&c%+;@;RZ2^VJiKsX-G$8q*SQib@qb39?Scvow9-{n zdwBm?)>tyJw(;zE#SmSJvP9PP@9AP_7I#w0zS*YjlrT!d7gAXpa1^*`CGLK1{D{r= z>4&#qRZUzChl=Az$BOlDS+bi5A{Y?=>}*B56}Hj?wV}4Or%I>qTPtv z0ZkWwmA)E&C#K#}g!O#NtkGiD=mrRyAGA6HT9pE=wt!akfJZX&yRFCR zpuSts>TCJjkM$AicAi6PIb4FgG=iE57K|&|heB_&q)0wbr^*;ANxxUhejM?OF%KI} z{>#15Gfn=`ec4>F@1=Z)r&!d}|c|0aSt{d~!Gl8SPJU``78joKe`zstDP z^af&G)O^2crRxi)x!2_IBPMeQa-2kZUT>g&xy!$ke<6w#W!?88bZZIyX;!w-SQ_Z3 zP&|Rl)wnGPLDN5g-C?F#vE_G~1?SU>TFA!oP{tWBdx}Cn#a{2n%V^+4A^EY__fQ=6 z&;vEtOb(gPdxhCQC_=3*I{CjeqXeD}ekGbm0>Gn*2f;zBGNG;<=EIrKJtW%1c4y(pNRV5#}M=bm!T-AMoVy;<`E9iJ&cG44QFHVcG5?4D2BRL z&l|Nnh=i&Y@_F1w5!qvPibXcKWak>Rd+@$d+4(_8Yi4+2K^dlhU`)$6#gcx-39P1v z_kI8+o6KKpYBA24rQ&&t$e%eh;S)&a_rwf1NEk)S26LHT)XaGV=NXJ&TPKmC;R#p@ zaaoQ%jg$EzvHJ+hKbNHmcC9ANMQTBsN`VXnH&Zu9Jc+9S`9;U!?fMO+BE7P7)&4r0 zD#^q}fjLs^N6xqrT$fe9RXjSYlU(yOy*TvR{qlAFPp9LIMTZNYQ8U!y=CX|ddU5&` zPGj1PD$k^Gufj;3CXF{WLPKptY0TIZIkA@}K^{}%{4?H~n)EGFlo8A0m*AZVZRk{! z)sFVOd;&2&3w2|o&Cl+Dd0wy~qe)mmO`Lm8)YEYlzNZl_Rc^$!uNhu9(5Uv58%!F! z1)a~Aht^A7I*_}?!F)?#zBFXvav&JyIUk3t5MZ%bmDvsrhJh|Fk=yX7?a zHmBH@H4RfYU>w7#>O)Dawivhv1nmxV7hri)zKh*6zY21HDFIBP!E8~J2eB2H=|NDD z?|S3TE%33IE<$a6KJ{oc5}H*eY?9E<<#CP5=zPi+RLm7rG{qv|a>($ba(sHcH}iyI z`7xnecSIvKp<7WzBkQ8Cn{}(ciME<3QT8@pV>?v^BnSz@wt0^;@DVjBH3x&0Uc!)f zpj^G`I_6XICa4BgRBRNCCc0=WNU7aTc9e`` z6ZrV}@nnor7ah4<70kB^Hp#+v_f823R)XRwNJ>B{4C}F2H67}sIS_F5`aZZ=%o>JpzlrE0vlGK)fLdHKk%sG-`!Eglpi6WAIILa z5n3>X85SWXFoVZjv9Y{izU0d5dGqL=@8NrRc1$h5OMUr1bJa0a?VmaB(b<&HbsO{D ztKcUDQb4u^fPEx`JuZTu_uH16ixXQ1+bOM`qDX3nj9#k zrk*Vbdywk6_dx|U`6!zuK0$u*L?2wk8to6Pe)$QC*R`Lb1?sa&T-9J(HdE?KSMFjC zBMWR-{gjOtLclkS)3sk$14&v7MVBjYa4MW~C|FbES4ZhKDU}AYy>nrEXT~lzPrijSTxa@s(4>aec!+5ATH+XhA`;Lp1}zqD@6^drfdm zaU>zz8Tdqo6i+ho(qRi5tC2+a*@{+?yA#3hNmub9<}(N1aF}laOdN-R8`83M%mH*S zG#E-&?t0C(Y)5`bMeY_06W3E>;9`rXA?Jd@D3!a^*_I(J5z|3sYSo%b*=%gusnil$ z9O^N!k|)b8ImXLd8|G?YWuFs$TiB#s05{VDRd*;Ih%@}A zXr(^W)kqT(`s$ap*%Z{48GIuz7m$sqI~-Eg$p|Y;jgEWcMo^c^7h@FXT=^}nFWxkl zPC!)BS=jCtQ@0$=TUt(|y?DCkUQq~*JYLaG9f+V3npI^8g0pZ#aTr(u6!d)8N2pDj z5`QxKbfy%3C1~T;@EI(j7ir1$36(P=2A$l%JpjKPu5!D&-)lu32-ZX$8y{$nhHX&e zlS-koyxG$HPF9p@X}vQWfB$&0s`9%f{8~d))+4%?Xm{gt%zc2l;Wn8k#)@UF_~#Z( zQ)_KvP*cm=W_+z0H#sw`FEIFvk4-fKA=cmwnqfnpeP%{7KRWWV5E0PU$(dO;lk%E6 z!iYM7)24scL_D=4R#$M`Qr2k^W}a>lD+Q{T&NV~pU7UuS=ePPg=If^J!UmcH+Zudq znz<&@cMf)Fm#4et+szDAa^Zc_oQLKDc{%;W>j3=cl8C{L@pb=z>k@oG%@j1axM2kd zpjaS0zBHc^JNFIddT%_Gp)Uw98oKX>zjE6X)6T1sIXqguy3boa=n7an;`!R>o(IV3 zJaYc4k(haRzRdzYgN~vpdTo<5)^4b-+>T3(9{nmu1)dmYFK}ol4~_}NC%6wIVv8Q% zSp4W)@#LIerAN%#Ce@>u@hMHKhu3M|(qXp8&%~v@vb2D1V9^}S;9b3Wr>42_a&_jn z0iY$C&$FvycRmO^Gm$@ED=H>OG;b{h);O>KF_PiK4}z}zfo>0!(^nV&Yk|Xz?U5Y} zCbRXS`ccMJqq=O1qgSQd-^Wm898w%8V_zj!wjvv%2L`55*)>QefX$|@@sXq$jr`q-p^Af!GP7t-~ z2tuG7ElCQnKciHpMqMbtRut-y)%$%$wuFI9dGOq<5$^k?&w z)Ord$U}G(`26T^9t^231qe6U1kfkF3RrF~2O4Wqhos=r$hLZr}2BSXXMt4%Y2hgv{nJZ=Z@Qw?^wpq_ z43~k~C(4p$$8Ag{K9dOXv);hT-Cyu~73xp#a%sY*0ZM`rcSG*lDDt)QPzUY0RqO^s zLfEkCZaJf5$QaWQBhYt$B%|<}J3W}{!*OpR1AtDIe$v#Yn2W1eRNHw$sLFY#D4Faz z)nquWxbgUBm5TL&&laLgC+_|?^938tGQRw6zj#XUE&M!%Qm_iL1D$Wl3}cJ4QuEFm zX5S^ur8zE37_4#L`<|8c!k?5;D4wEK_mfTqDMK3Elkc^}gtU&FF(OM^H-J~pBOaBj zfd0zvH~ZjAze-=tVBu5tso8vK3A-#oP50yaeg!Ut-U0{U$mKQY~g1} zQbAgF6VLgG!1D1}{tNf+sop?=I42Hp!pW1Ftci7J)a%K-z1pF`K<>_f=WI8wAc-0K z`oJ7}fFfhv%tnCJ&6KwB#Bc8z`@pAcVAA8g^vlZj`aOVcR)*6p<8E-()W*ni?@sq} z`c(bpRJ;s8v2E%KTD4kJH`i^c2$GR_GJU_{YMf=J=3nncC^xGuI5izHC~Iugg52Nk zDAvfT@rVa4Ttb`q(q3c@uS+>qT|nDTYybQV+_8K%TwKCG*fXWQA~SSmFf>2>BJe4P zC$Xvo<|tR0a7D(?ch>IsJLqqD!1qU-pxVoy6Okza69*65?XH>RRwh@hx)CRY7J5_c z{?HA|5k<8{Ut*8;j#fF_z54xzqRJPh4l^#2F&q7r&Zp^rf0*ap@Ev}=VhDloCseGX zuJ(TE-Wxp*3XZ+&jJvmyndI!W&++K=Zi`H8Fqv2i+@5OJlOiaQf%KKM)x1WD33~^L z{1u8;(0%EHg^-FAXZ~^C%DxqzV?53HgNwC&1P&~g*y;DLP#{$aNaK3=nMgl$4Lq% zK>0mlk$~g(+O_w!TH-8p15WuK7#r_D1?q$n9G-uvT~gby-j3OgI0FD2)>5^N%R&c^ z&y0qrcGEj)Teohm#2Y8<-2y1%kHl}lXwOWK4(0gUd`B@!)8VU=(_feC{s|M?DLEd4 zE$~Z$mkocef@lSgw=clEd8GUFs6Ls?wp{oDl_MIR_g&(uUSY9_Eo#tb9P;5K+hqRxk9V zni~o*oe57XzPgS`VBc!2C0$>!b%BxASKX3tl-QmD5G%9yUJVG@^+-0fg=6|$t(k0@ z#mb0%;O)EKuL1Xzo_aY2eiTgQAVX2vU~(zZ`+xnsyTA9v4ouNfqkc`*izq&OF{*Fx z@VQm?dpfNHgo9Ah#bzEECdNE4OBiQfU}C8By9ZqRtu>~=$Xc0|ibB~uf()<1VYI;d znHa@K7G*Z&jS$=YtP(Yv{aL?*yY*R*yN&FuN{biXBfDP=f4S!uxQ|vTrbia`h^v6d?-|n$kR6VQhPD6yv(X8zG5bOKH6gFcaO?? zh>0d)ZzQUhU8~%c5um_~rRrjGE-X{stnW2#oZB=H@@j|lao*+Wf2f~Bvm(#`$5 zgsUSNI3Ldjo%8Kdw7|($WTIGyMMSEt*0tu!+pZu4m0b3Z*sae`OBr~w8p1c;X5n!Y zD`=-UubT5XXa{eD5Bv=xwR#G`LZ3WEM>PXE!W#G2sNd(wG)TLWxqfRTlX*q+g4yGB zDF#9FY$rmgy7(|`Af>1=Kbb4pZGxrac>sZK->$m9YGay9gtF>;rK$^umklXJ9rUe+ zKlq;J#^w}LJ78&3U3(n6{u9ZmLJl<2sQJ8q=bFgmu-1J&h7mKjIK4@qtMy)qPn{h)KLmO*2NQMMBp5T@6=n3Dk9r~x1CBNH z0Rs#|kb=W#3D2sh@_-i2?M_0!s>WzliNfYwQP<4nY=OoZuGR-6g@@76{Jb?yv+~+#$GYf(1{IC0HQ1yZhq$^1XZO z-TUL!bXU*mp6Tj3Q!`avHMj@}2(_4q2+se8#J{;N2<-mZ#Th8X!3C7|{Oo8AjW2l3bhBh^GMD+t3#^CtniMH&-rk>r%i0R!aD)Y~+fscW)cgw%NPv_yt> zVYG-V0o6E>mP}G!>Szzm7 z{oKj{+p=)rTJ6J8huQP>oSEm*tcl;=c%F#2c}~)>wR}1!^%B=Iw;%K7gbaT^cC<~x zKnrzC{CqwVu2-o?!6MCdxL7&$apIs4aUgG}RLKK7d@+`teFC@^>;0XZii$4NhI&pv zj>d@3i|oOlbmU4Z3-ES?hqPtu4ynObXwpL=N1ZwjLY4A84zwR~@mVD^e8=Z=V)%g| z8c5WX`p7*^GlSr$Hz1vxaw&OP>W=bIthM#*$@Gm`e}U?*(*AgW^IunlUxZMxg!o4f z6({IJFXj;1{44I5Xphw%@!)hw2+cNk9CD)i#^B}D*chr~IbR8}B0JO&*eq!uI{l^+ zc7%>Q3qz2Z)xlv$KMaeZbL`XWs>j)vQm+#H6TRx44lKhJ_c z1J+94_Mj1`N3T)-y|fX!dm;KIqhI91a8utm2*Pakz+YTDUSkqM@WD_fJy4S^4S3SU*@mv55s=@A@60uvBpGgUj=W-LZ9nMt$Wp zNeok)JeUeOZA$W4)>X0BrtevCx6y1%Kl7&ujO0L1Jc}IXK}Q|@_bC8-MZ);jgQtb0 zt5xJb-Z2fTgxZqJqS9zIx{rq>%S3!vgh8MALKCj1J$GKZ6jUdmr=eeq{stHwi}+6n z^P$T8STpfDf8w~VUID#+H#P*GyjD_|1buxB&EE6DDGcJq)`SCBRMatcP_(dVWAayX zhey#PCQy2$~#O%R!$amuyZCBkxc@}|780+ z%q$yK@2(ntxSg$PZ`B>^YPLVE)k!gOARkOXvUuLfnX751pLz>;hAJ__WkTBKzuBJ* zszu@$k44w*A0iPY;%LIRD!9Bu?7gLlay8|cv{zBmTq>-RZ+y#zck>Q2y{oKND--?$ zat|}ztE|Q=6NLT?e49y-!^T}$oIj7egi-Omu6Y|u&A#Z!oO3){ayTW3xMnE_cUNz92B;|L zp~(sFlaqTqp8p&Sv=derc|lszl?{q_GI7 zY#Hn?+6{Eu6>$#G<{&cb+I&N*MaR%5Ey`*k3$7d79e)=1|(*$(9xb%p?=mq=TS#(u+$lV#Z~ zB!xU=AAW+Q|L1@;&GHRr=dH7tvNT0{0-1(Aih)I+8a zyUZ~OS>2}NApwNsTe&63Dw)5@ee}`R+2=`_iYNfYn~`|}5Tg8rh@Cedw*$N0(O@k*ckY_rcG?kNqM zonu!JFO7zv&yElcJ^R?~@i(~34+si{?HETwa8=&ozh@91XO%7CxJZ(xp(R$4m0e`O z$N&5+E89Orfd4ziwrKUi{mfkR?)0Qso}ebvk%TMI({@Mt*ac{NrfDk>K%G0)lo9|K5@Pqy;_MKi zr%M1IrhON~W^3y26+WqoWdXyvd5`xiONW=kRq{gaeGWRPd`+8iK>?#*{G&!1CR2+0 zQvTRXt}A`?wMU!a%x?Wfd}o^cswy#iRJ>gp93`f?breL-E|BJ5o3u#NjKtm`@-R|b z2(AnlsEoP!^t+48O=yquN7;|=>_E{=TnjM4?tRL{1UyJz+~A>H^m>*|!{4pe#>F|q zdwoi{COCsWnb?8bm@G&UtxD0v0o51=t9r&mlc6@}%G-)uv>Xe`+S-=ijshdLNg-1f`3gD&EnL)dh+nZXauhg2CZxXNiG4ZEiz&R*^`4}JcE|Uu1I0J# zva@wItW(iSv@Pdxv0C%?@{Nfd zHEM!D&TLJO4#TL#nH2HboBjG5oK{u>OQoZ{#mwK%s99akt)#`_)Y9n}~+sT(^v8^fD9`2Cwj7P?o4t4`E)o%GZdR%-l& z7Q}tt@g>D33QuCX*Xqt;n9Dh^A92kTs}fcGs+s+#yZ`j&pWLgVawu@1Yag4MMb^=IUBAnaVCy0ojiNK(}SNHWC11~QX&&RN#ZMmMu8ot z8Lx+6$+^#$wH-)1Y#U-Xgv%h zNQR*^A@j{9oGFe3N~g(6R76TtDN1*p)M9}fnyrnol&Gr7_imXu-$1Y&5Uc^q7Zd0O zH={{e2Fi5Pro(lB`yqV*`6cPI9@O3>#j6|atJIEfCV^tlGpCp4Gor^&`m;ynJlnP* zt}VN1)y*+yF1ImY_i$cmic5FEC8neuGTl&pAkK*SD-!^W3%t?oA%PfZT36@}Z)$UC z8t_eBrtZ+I>(y+AQ7AAV&&O`>@TLNSTM`dgaJ4so?_W*!o+}4gtVxoL%dD&{ z^x1otri=9mw+VJM7@zDOfEo_-K-wl~k4>@FvBb6x&qQ#P5sE)kkaYKJ?vVK-A2z%( zHH8G12MA$+9J}o!Aqb{|&^X7ynv%;VqmCwab4m)YE(HKUekWt`J!B$8=bhoRIX&;l5=;5W2j7`g<4O@LsW)-X=Q#@q2| zP_lwGj5j3rEs3&z1AIJXPw)4h&0`~#+pcEt=uFtQ)}QIR<3`#|L3}q_JZW!H6ufTA z7T2%fRoBK++Y6M?ghm)@mSb_nBx9B}FOcH7sw+G#)D%9jy%f3yOLrJH{2qj+X~zZ6 zI<`bOw>9YMKwbzVdR3?QWx})1voG|WxjPHB7(>)Toa{g6P+0DubTbP1WmdM*mLFJ2 z_ZQTQQRbJ3E}xJAOr#1%Fd-wwHNK-vYPjVe%Z=?R*Pzm1D!4IIU9ox%4m zr8{L=M^0yht8+ zEe+;=(-)-C%ppq0qh;KR3t?-U5#XDlz6s{<2vDQHXUAg-i|{6j zuI@5HO3PP1GjI2w-(Z|Svqm{Zj0VrK*m?6xlV#6HTq+cN_QQJN(9>b4X@-U1 zIt1X|`*b-;b8MG3m_G=`5B@ZC& z#u`wUHWD@GwE8`W_e_H+N(cJZ*jRZZTllP>{qXdtzjne9sS)lotdu2JqnG4YS@ax3BDg!BQxI zkMBl46X8427MbXl{EGI#1-K-RqD5>WQN*($xdX@%xSt&%fkbcC2u7sP6xmxj9=0TYAwPQ{hm$#g zlj$DX=>3BVfAWa#OCQpep-R^o96z!%z5n)^c2l%56_Hvi_)gqZSxpw}O&=ykagmI; z9ET5B)D%WgP((WM=Kvn~7XEhrNUr}QzFR27Cmf>BK)cF9%Rzu&9ST*)aga^-ltfR- zzNQ^svQEb4*+#MyqE1!f$e^K4qA>!&(s;I60N`fa;VdR_0aCyCtTAT>oB?nN0)UIL z?iB!+o|1xeXLW3q9^?vfE{Fs66M5xmA$KoJV69gi$h1QD)?fYXbqNqmt%+w1secu^ zmZ21c0MRZs0%cPR{aHqhGg&J@=u1DYWUIgd*y?KxABwWe*bDZcBh7rp@)ZN#2(zat zaX@KY{>d9z`(XhQ^C(I#m!uhh+gAX}pmP_9*0LQ!iX?4!K*X~x4QTopRg+9pjo3&I zidSvYrN8IIzc9gfOM&>{p!eN~Si`s*qI786nUncE7 z3>^>YX5qrcTulK4hVQF?L)It2GFJfniyFv0uHiXRN&BA8ufVR|A!A&`Y~d^y z8p;#8Q9V3&*?xfd&(4T|vP7;mY0fvH>ek(ce(wrfXg8Vhxs7Bg*lBkm5G&dKMoIKq z|6E0ICqz(6HkX<8k|2qmmDZGnR-G816G^&Zp?#mLlUF?08FLj1G2Mx99s^GWu(cSE z7~C%#3>fn-eWKbo%ys%KL6_r#@j~+sZ?f0ugz*6nTq;`s0$8Vri}U{GtiXttm9V~q zpeXy(SF!8uQrNfM+O>w$TB{L$r`+3?F*{SZLj zNCVtLbF-_uj-Ro`oq9W6+tAtug_#K-8~j7popwW&gT33U!X= z%;3?>1vLtgYef7DAl~6=%j@{QYp}0*gS|iQ-O2id<;X8zk+-$&H}?b53PVrr?Jbr@ zM%{mKv>Lle4YoQ63lfWT0}4P=ASEJV1O3xVFU~;j+_}pTr1j2w#pj2Idz&c~!3Gy| zeRf8;zMp6K#3fV)8tPq$nvUbm&Y|ZYqodcjvsyT4tM7xLbHpHnqu&hb5{ZEXuX(^-0fyG$+lg56|)xTQzYsQ)7 zNE*CYR4w7R=dx^xlXMb4Zixv6mcPk5zw2V?TzeVMNaPvoU9D=rTZ>GXIF26wfceo` zI5z^eZ|62Jzi;R4C`Yr#oqR<4o>;_iNPcnA5R+B@5^_k!M{Novt3h&(U`LR0X5qPlEYheZa(psvzORilZYeIVHhjT31Bnyx+Ijwu8CJLro zWxchTO27N1xhH`pEVnbZUcP0%X!eQ*;hOV-AO83-3liN~MtN@YZLXV3dziFQ^2&jn zDh1TU*v<_KXKgD9FF9_d`M*`JnwyNsIJEs1`#ur$!RVI0v)05BMDMn6uGDSal%clj z*gn=$t)!8%mU-@iyt^fLcvJbwqT%4|0{(Fo+l9_Iyf%kWz5IEIG&%IuDY{oscauT+z>86#rL4| z4O~y%4)=LkgSey*MHH~LD^1w!5Kfo(2boDo1b8my+2?8oN)B6XDTVaNY?OhFFB;u= z)-SHgQBAX!nc^l8S4$FOhUgP7Dj4Pw*-C8D205)LJ z{N(YK%l-YEBN7Z#nRE83UPatS?q7c6AAF$MdX$#dkA!-$r-za5*}-6A4;k(o+h9rc9Xibb+axweD3V%|0SW4>R=w-o2@jc!QmMw zPVAcB-zzjB>qNb`+Zk)YGUzjYM0&S8D|=L!m^c>=mqX3(;2ZR$-kZ_@yXEiyZtQTY zL3#!`-owuK50m0^qV#HdT#?^I5r{!TzggCP?wlR9YOoL-u^~-N>!K42atZa{NoZaL z<^8s7Z;U*Xq%ym+LA|I90H2sQz2-9p7dCeczvNR+W8yO0U_|*zY+Ci%FGTn|1an^q zunIKC9!O!PbS{9^ddb-O#R){K5T&E|KIxisZe_Ndm0ANqBdpdtoSWEux0 z2u`}$Xlw~AEI4F53-YJyW1!9sPMhXGNg?GskSd9;>LBp~4!7V3_B)d)Q9GwM)3|&g z#oIrve)`{ho?dfMxE7a>So;;6CWi{9Qftrf7GK+dY$ve}bNU!(D^SO@h@40V!vju< zONwr4_C8Pzq3Lx->zPtsaD!*zjzb@IPcWUdZznVa=D+2)7WH`_`37LKF5-N0%BXbi z@msl7DDv+*Bs<6m1bI$SSfvX$z1p>T%Z ziz!X~;Fu(_w`0iBm_M`~sm_=)7Mb^`bmt$}Dti#j;qX_V#;388aALL1^SMpEqVx%-3VN0?x*NUj10kV8b(gUpyC` z>OXhJ7)1E;fch+!f7{shpNHtF41CMiK4<#ZAS1ad)r?caxyh(FcQ3c(hLSolX3a$0 z;M!g{7$cEP6*f*Fk_zdfVcmB-^zG@DDDu_NPx!R<^j<8X+}~x8uyb9n@SWE#A7XVms*+PkUD89}X#=8& z85oUkPgTb%>jPxZ)tdbxn&u-lgXSimLKaQ)c!{6lVN9jo{V$cpZ;Z{hrCXkh-=^|) zF4dsp_T~_e2sNkP7wChOefTu4U8vG^_+7JVNsgMOW!3K(qjJ;8m7>0FV&Qg2n@=Yn zgz&>N2{Zg1E*Pd+Qx@Vf?$LE(R+7Kr%b8tu-Vy<7uY7LW*tMo|#7)b8`R8SP7uaCd z_^A=4njr)*-kvA=!C$-;Z#QuiAa>=J(lw+4uF6jt>tKR=7A@I7cgLDmZ>2O!0G5|} zhsEwsJ+=!_o{D=9#S-%frwDPCQ(yLBGmc_77bCv3{lRanZ=n^VAX#LW}%op>(~O~UY>t;nCDUVoj8H^YtVu5qY`5)m)jTwj-o+=8ICdgo=i z9o;94_EvG9s!p6<7UEtnEr`i8#Ez3dM^86L-xX;pO>ISDI}LiAp+nJW55`1&s1CvfHZ*f2i{mtqDtN^y21?0?+=1@O=zx+ueSr zlOxtK9jxfeQ)*U$ZfEi*U|PPIqsx@Jmf(G|SUjh7Db~PEEk!$)2KHO5)&oyxjX#_b z4sE_Yc9mc3i1pa*qM8I1J>c zPlBY!gvK&J1deC+wVPGOr&x1$A{1DR;6P{sw5#9hAdG?rC(31A5lTpm={AiO{vbB$ zEXUgT$&aeAc2N51cTlt!=iHMvWl&<``#M&-SltBHaDG=Q1;je{D9U1Xs4|40`##Qp zk%=tZEig5Bn&BX$v{^XcXf*1co9U52FNkY$;CJvao8hbx&$lx-L6$}tXI$j32MOHx U7PNkxgJHdC*!jU@aY=xni$l;r77gwed~qiP*u{efC&AsF zh2!4)etbXfs;j1DYG$hX>7MH9CO|_&t9*fu=JG@`PqIG5&E4MBg+ZK$k3s&ky%U&0 zKv0;0PlT6WlvjX{Pn3D`m%;N8w;OJ9`OVO3K=6>|egv z=>9OA`pS)#^{V6M+PsdIr3~>ki#{YyP6{rtVi(6L-18$_ z&1y_`!CVm*b8#C4zk~IDR%X$gN*IcvR<(5dkH$qqUnu-o5vY_|nLK+v(Qz$HzxMMa zcwv7nz3;kKwNCZ-N+?$ofhuj4;pWd{zRN(GnPIkesoy0{IYE(h@%6Dj+d;MV23k00 zCyi3Hi*dWTv3Gm^{$^N7Mco2?Sw38S@Hg%h0SHI(DMj82A}CYfhq9G!&tmtM7>uw8 zhCAU`RZIV%tMlE#t^iGqtVp_%l5bz7ZwD?4DG zp=I`GWWVwHb=k0IE27S0KZ1WxT!+Cm0vABu`u`ChIbE~gfLBT({)V8;ciQiZ0RuKpeY+c>GB2;z3cq|pdH>|CIe4`Nn8kjj zNUfDMg%Tt9-)J9)U=h{|h$p`$d6vN@I>Lq9q1iVOX5cz^8NJ%}!MOh_F62lJYvbot zMSZ&ot?%GJX*F$09M5h07u*Iq6yMq!W_c2Be-`Ml-=2?3?V@T)P1|1_jlC)bsG=WX zoOB4V$Z#+q?yR`QS7avdL(y+=(OVt^sOq@xMY>nBnr1rXvJg1ySD4=Oi1t#PBSe`$ zWgs-DOSGH!1@h!lZD=!BDjw4VK5Q(rQaAajektYtJZO|2%Y%;A;^SCN!%-Rf!xp;q z>se{AQ3&L|b8IqY5-J5gIkYb4lDiAs8Y7UN(VG4()0Os zw^!v|o|;7$c2vHP#0?Y5msuxNuIm!{(hyJ6CcmleaD3`d&ed`l*nw}mi8;CUb(+eH zEjpUmQsPk^-cX!rK04@60Y%!OvZ28X>TI~syU#L?WV$!ch?|Nf{ySzr9&}#-MDd$H z1$D?~k$VF|Zu=~CvPA8UB!S;%q8%c?D(B#5hUjLl78QHB%%7*fDr2I0U(^{a<#PkK zJiDZALC+M#9@U=z(X10g75hP@=y5XtmPETnL06h6SzR6?F-T$SFQQFZ7d7}@UANyU zU%-YWnLn{KHcbIC%*C5g=X|N?oBY<`A*pJ+ zlh18*<=?1RS<3sIUmIr$PcThEm&t5Sp%otGu|d2u$TE?9RGX~bRz8c}un5tc&VRD8 zP9&=ra;7R9jF#>}>tDp((1Nyvvt0x+E7ZRSHQW%_TqYS(dpwwqh2mwJaDJUP21Mn5 zHe{%kXO5oPEcb|17&k28lN%APB8+}mMR_%kgYCA_kN zTZpG*!5$A$1@I1DzD&Y?Jt3!QR!fPg*>|L_tD-?;AgI}3F{x@HW!{l`G8J9`s=W@YMCqsCe~sQd)Lle06F4R~Nx zrpn|>e=i<-nt7~2{xL)t8&SW~)^Yk9+I;=fLh_}je+V$@DSCx_q?J1T2Sc|f43l`I z2D>N@cGO63r3{}(76_NfgSJFBs(uLsg=+u_9eSEO3W;UHgiY9kwa%vX<+80ZgLc{H zv9m2`@OIuCQn3BD1W*T1saD{TUNW3W8QSp)hdGH+VbD^roO=oe6)l9+ zwZOjm?@>XH{;~oh2l{c#_e6Q7`PKF5 zP$vr;I+J_eImu1#3n$rh{RXn2o)G*Jm-_z7li$JLN69_JtN65VI5XJ#Xp%@2>}s}^~8MC$8enkbrx zP`B!re;+mmuJ_i@Ht0bTyqmr)q^WP@?s5ufyr|<7Q?WL(WjfYuOC-IM&Xtc0V2o0B z{l7a9I|le}4=3|cjxyQwrjKrGp|iy8E2AerCFr$KEJ9VSmjzO*al8kwrse`nA07M< zGH19Kz%BYM`f0}y7p=Owzh_|HxeMMgg2VffvtN&0XQG#AGt$+eKGwmX2EDW8=MEl3 zAW)k)cup@efC6}TL@^iGi*$*CEztnm_!TcNDCWBQk)5Q#JFD3JGk*A3FH)Kkc;_9v zpH4A{V+}s~3hR(i^!yyVPsr0a2ZUS(YPFx%qqx2C#*ws)Ea0&@VOJiW$b8DGE_@Z zni)u+0*gl?g=LRzPZo^}`+Zon#wW3vS2rjrEgvI?Ugtu9|2!R=230&GRRTCTePne{ zIgN0SI_k9X;|_YVUzD%J}GPI38jG(#)^Q=+#LrdRA} z2zg`b%6QW9or!IvVUe+u{xGmt6w>CB0&@k3S0uYe7B`%6TiA8@@K|3R$v09@ht@zja0$m(r-Y5mmvu7v>!Ok>vP-gJPoXM-tv8u1XmwE z!Uw->YCGPh!wtVb%w}#o=56c9+V8_NZ__3p$<8}T{5_HQU$t+q00*!?s@sg$*Gjt{ zy7CYqDSf9ZheCel68<0q#eSuUky=L0=M1EWJuD(v2qY!Vi{5}Kar`h3mB99~r05Gb zWI}g8uEq8G{jps~*qT8@x{Lmg4aM6Y6?Z+~X2UXgwJ_)M`1|Ar@pO=$Q47Zs1!u;> zVw9FDn{nI;8+vW(37BvxtDkGnH(m$&mfIG$WDsdDyAOFkq>{}8v_;pBurx(}T@9-W zd;Y>K2-`vAv#02>c+knL?<UhMxbjltZ4wb09mIMfSV{T0!yH zKwu7bPoe}Uwm~wjb+J$r#ZDk_B^hP{ zUiXvT?>HleC)`2SwHe0bO?uwjoo5b7Xn+iPK;P(oN%o7cSr?0V(Qq|T`@xkZ^_VrV z7(EcSXyO?9__IU2>By_tidH1}RK#e`b3C6Go{%LgcB|(w;?`l)`OKe8EY2w{yS{JN zAXGj)EgqbT(VYXCITBWW)qc&EpD-Jub!PHwvur^I>sJCm8-4uGackJ(9*J99_g~&= z*G%0`uDoIy09*6!7-St!;8c;}I;Kq6AB19MRxRmu)#x_O4!Rk_95x~5Ghnt@P7m@i z!Pq>oNDylDVqW)TTdz_>nqg_!sTcr$nyUeSFKuSQ6TAkH<^#w!$hwrQ7%^qZ>qjcI zhYytdXNP@mAbYpkKme*WXEO_VD>fE?`;jKfEa+hNwAPu(%?Up zW{jMa7(Iy>GxvJs=n6~_qgna^Wx%r)n&r+IuF7V^<(g`%fKw${a=-kKC?55`i`bq|?%T5K0PFB$kMCHUiONL@N^RMGd7h-^G zdTLG;>}h^S$+-s_ohr;#ucEx7d)s86JzR&yLXC#E%)utB$xS26CHT|T_?EL&%_p*uAIqZn}{v%=;t^5_1L~Mz2XM^_*8J)A{!-tHTCJA zj1dd^HY#VbXPnY#54b~EYzL5Y0&a1!T&XbkVC70UPHe{jWIfhRAc6dBhb&4Oww;Pc zc?{caK9L?~AdDmM_O!KY56d{-xQ#pv8o%&?w{8s}Zv;-Els@Q$*`WF&5!#BN1li-ckzQ==ojR1ywq?22X)d9Q#NG5=>s^*e`K+90Ip@MzE08>-}=1SK)QY z3xBdr${O;RUMg6#GBb#Ym|Edq{JVc-OtnN|9v}ZOJIrCoikd65^-JkJ~s4kK3LlE~gNIAcka$^_Gi5X`$( zITKy}cn)iuuU$~OxgDq4Dy-TKqshi+Vwk*CKxzvjxV0g;bFN$qGEP3+k&(zcut!}z zBmCQKa=?0l?$!YXp`2G~Vq@!MR^*s)($G=w?R$S&0-@vLFUc@|7coq+tk~^foYikS z=ZY=6F2Es(-kAYs36cFjH4wq+TngI1C7q-F+hWUKE#X(_`F!3Iuknl0Iv+Zrny!Ij z4IQ7^nnwj*k6r&ZWAOHB2GNzjQiVtoYEf6|ANN3~DKOKQR6o7iq0X%9c4E*2IaeTsQkW>YEm$80}-mLyD1ZzIcL z&eMagKuX(NG2&m6D{)K`qlxWE<08TOx}kVvQU@*yTZ zuF~}%QLxvm{QxZIk&bCN8CBA32B>qAQ5XFqbl{xhaS&;3DK;+lJU^ZKSUdhHLvF#m zip)SauhsV_CTN9cR^`Y3$AHDW1PR7>FRx$)1jLwqtgDeU$|B#dAi?9jqvs6NsdP!) z3L=Z=wrza~YfuZx+ttwnYLWf3FJ2Y7TYtn*kC&j;tJJyPn@X?Zo$j^gp$Por;w+>a zJ<6L1`->UtlHDJyUB#KUki8gDY4_-bm-}1Bt@dm1DLOh9 zE;$or7B23NZ6mMEw|-aH+y%tOO*|O~CJS{{UcPQMUe1ky40Sv?M+aGHhXWTIw|8&8 zG&fout?+UcWNX1%)cZnPpq?C}-{~p3x7$T>OfvQk4sxhyhHdI@(w5_FX-cp0NplDq z{oUiYynZ+gQJ(E?$PV3fQG8$@MfJ}KHnM@T7atz=ZY6wp&7~ovsYhoPI)=-5U8E9| zk09ExvutOohTa{)fg)(Y^SkEj5@W1VgW$Vy+fM)NAM3hd0T!y1_CpMSL9r~A-8I&m{oPe%8-rDX(2)R588-BUlj7PwX3;s<(~PM2MBec6B(Ds`K#z>BvH-PH zu?(k+l}ahrW#+5ht*{R7nVO(~<+6T~;!d+>)>h`S5@9Rsu@yA%V zWlK5I9qVkn-wUB7>qL)D*Cr@ygAdXZRmQ%Oes;mBY_p338;%JX=ik?fq#WSoaqL4L z{+q;sou@XYHd5rpH3H2Fk7m>g4vn=f5YB>U;dSi|=@km>&yx=Js2*?ZW;GsFGIkr} z`w70_>AlqZZs%i@XmvU2R6lYcZL@GGz7a&>FzS&rpFp2@My`niL|2ECX(l=SYRy*_QK|+ak%bACEi`Ia4t1ltWoeG5T zVN*x=jHx5y@q2xgG>61#tJX5PWY~~s3$$?-a`vm|^lc4q?hSc+VBfBps=^+}Aly~(xXLnVY4*SlL?c1r{gq^z7Ba2y zQcU`g7(%}1SszOyNC}FE)TRu~b=34@7|_QQNatIlkEKy~Kh#x!rkEaa=lgO)vE~zp z=-vWeHqiJ!+WB}NBD<|qZ4#;qTF(n8Bm>octjW4_0Tzaa&)0jW`UegqSZAC&z{D6| zFiZ#mV<`|0_8%vXkip)LS0aVX|cr_$vIa| zi@Nbkn~oFiHG}F8Cxhj5Z+S{pUQPq)TU2Md)Nb37GwxkmqwEvBGOD9QcVx8O60_V{ zWVAMnxo$?2bGB6C2V9E-zzJi?QrUE$N6c4Oe|E1OE$$vk9`XvISY`Y!K0ovSkn2ie zNTnm?d+LXG|KSj{O7PYs-Yfjy`_KoO^0lAiE-26PbTakBK$4@IlF5KEx(g={M!POV zU_yRMrk~DXkr{HU?5+J2nSu1-*2Evho(tu!nhqc5Ltm$G)SSlNVm!e+Zvw?IdX3^y z&vfUm(fZK5xcP%!E&lFB{1wgaI~Vxp>uo68~Sta;l$j%~(wXB6#zKyC6^QD6LQ zOdoHZ^I09OAO07V&0bv}Jg4%2Cq;m{F40!*?vcX9@$ALGXe0OEh=m;%lZ=|=v6Pw7 z>Nek+xrv!MpE!Y)yE!K#E_;!j5wCfxlR4;ueR;%9V_!K zQM4d$9JS#$Hj@+xJZ{>m+0D3=M>f7J&yPjd^!|D>{d z7yC*$>(#C!`_Koi@YA7<0rh~rq_giN23>WN))P6V(gqv5GXYUbxR(QC2?4q}lk{mr z*5%?g0TMz}T`4`;^aWiX|8^M>G)8?i-V(R;>x!s#ZL9iqS7!TYe87--`3)j-k@Xd$ zGp%;7JUCvPGz7a9&wEL{_a>-gtRXaf4=|$+MSa)VEY}!$rJ!S>?e~o!8Bz2bFe-63 zgj2=hI}#J1#s65+@YmNG999&X>Gd?2kjTY(YHUkujdXvFs^TsEqIH}eD&a6eaJWBk zW3fChcW%r&b-nq~y7M&ZGRKn$KN8D5V`tGpu+3q-FpP$H{yJJz)m&D4%5K612ZtFV zQPF(Qf8@wHKRQ>Dn$PDuez$k9YFyG+sZO);>E0CSk?o!r^X4+5a_NsZ?cQY5+au9g z$9DaaLGf8%a(HLVxO0O)eg9DV8)@>rt+!VfzXyab{H5|9+`Avi4LdeF=Yq1lb@PKB z)x=90cTc4k#pG@xYztdi+Dg*zz}m##$87R*CIp1gOOQadxTm_B(xa zF1|_IelhA)8!v+=tAAGWvt;X~jGS!)d#h%Tb28gmKZ4f^vH1uDb`t6pN?>1Tc zHZ`L7z`alZ;&=UG{)y+QHK3fq4n5wx{x^s8DPG2PJIU?h3*m51+Em{oS8`qdVf~5d z!0tON#9CgZp>OF1+?|)T@mcAQm(G#n?MBQ5NFi&=nb9ESmmapUHyW$3@*mbMag__1 z%h8sjVDMIV*`Djhn7>Lu_}nIqfJMS>?Ej!rl%Nt1)n@#B8tVd3ga0)xx~ z10?UPQ!RXZ1>q4itj`zyuekP!+rAO5NkuV^2@AumH`SNQJ8!|ys@UwYfRL+;QX2M* zrjRY249wSf@)}7l>lW+|8UeeeN4|y;>izkq{9imoCe@(K!Oe#oWO_MLO_Co8KbAEU zN~w?w(YtGx4pozL?u9A9i%)`wlZu-264??z%`*Jt^LwT>u>amysX4_p?46RHLhZGK zTvJlfPwEb%8ZMUX*sNkQ2Q&@RJNL7XuOe6#i71U#8w(D+XN;AecL)kzI0aKgUgdxy zX2}Y8bxhu6QieF%%VRcJw8|~ajoZ`;sy{Xk(GD%G&Tms^tLrIny=RXB*l$^z%kaXf zzBpy)MSbYO{j!DW^3SUZw+Gj!wmDi!ys$MJWOmzPKE>Av;YQFlxK;aE wxK72@v%jz^pu*QX>sdj}niz@(A7eO2L<@O1`{Q2Wl&e<`GodLx{3n0@3%YU9m;e9( diff --git a/adder_8b/db/adder_8b.rtlv_sg.cdb b/adder_8b/db/adder_8b.rtlv_sg.cdb index f1be617151da80d88dc0d02010dff677d28493df..6f1ac1e9318c3cc90c377174fbbdfd34d75e9d50 100644 GIT binary patch delta 1651 zcmV-(28{X058w}wR)4hy00000008>|00000007_z00000004La>|0rjB{dYj-R>JW zqeA04ZZ9(mee1R};|4X|_#g;Aw0}Z`5mcDR_HXzL`~eE;!zez8_#ongh~n6;xS*)u zzRdOeQb{T&H>p%^--^@DO;erZeKZbb5Hyq<^z0pX--ab+n4YKhT%; z6oeHNg78lZg=pzQ`|A3HR*yE=u+ zA84L=bGU+IX@6YLab)>I1^zKd@R2n@|v|)g>*Ldx{u}8JF$hdyZ*D6 z)GXP0*u{EZuKx;K1e^x3!)$o_WD;%Fy;rOfy$7fYIKC8mLhBJ=oBwez%F zx}omtjd2>S-7yaZ*KBh&+_*j0l))=(Usc$?s<3^N!uCz_?aQ5fB0e|TQ+wa|%*7Ma zuRZP#1t-iK4{x5tD{Nm?*uJW;eUrlWP4ewy9P!l`5Lu2WR~ zBY$yBm~;xa8xK^>@0%#96cZ*Nh3!(thl6D1VibGin*VKmKIDJ%G5tm~rqJnAZF)zj#Bg=qkrr2`6*y%CI zaxv4XTG(8#&#Yrh5xHzFJtqC}e+d}g$bYd%Qkx|Hyvp(`xZ}To>j8bEf0Y}&HeueV z#QF1v!`5<`W|P-%feqWM+GAnW?d|P;Y}}sQmG14mer$YY=8kl4XZo@6r624cQ`?ylIJ0cK)zE=eYMp3>LgmhdiQb90j~#M;2bOL^fV%=M_t2!Rk^!m}cWrgKp|r zSDn%NWzU)4g$tUM%RHX;7}@iv_(Y4ax<7aiCmx zuStV`1<)JPY`b8)JFs5(Ep8Ng z&V_x-_6QusMD-tQMxa&%`47!1k*&j0Yy+m`(=3h5?0>g6yj<@LmT6HkZf_S0-4uxF z*T@i*7wEkF7_ z(c)Q(E>Mo2cCd;sQ$(L2S-Fs&W&?mTNKJVs)oYp-(v#;qhu1AyJeSBa@%gz4%iqn) zTe*;)H)~x&dYW@)NKYOxiGLSJ7SC@ZK2O7>=jwS&hn`pKwve7Y;re>$dZ(WJEN31) z4|V8iPTCs1}2?q?XGT=O31k2!fb zetfbOIXcyxD=|5q%J3atD7I_fqhfOSjv*?CpTVPYe6SP4`?*8zst&myJLC>@$Z_q6 x*++lI^u+feuH4j&Q)q5=w1K@zl#4x8U|Yu8vk+bMdB><{^Bi6N1+$q3F9EeqQeyxB delta 1627 zcmV-h2Bi7m56BOYR)3ua00000008>|000000077b00000004La>|05X95ocK8TL&g z2}mQ>uw4?6rmKe}Y*J-L95`_p{sclI5{bld@^AP7AVCfRaX^RzLL3kR5r$PP0s#{H zGR^n7Y?oiPT`qTbnG9)MB9U2iPTKa3alO#gB`ZqYE8ioZ>~(d@rrzqLEqu%G`w%zIta zv!6}y{XUuO{0U56U!n{HDpP0vg@^XJhxVC=_Nj;ViHEl9p?&Po*zW4&D}SJQX3gOW z4w-R1$C2gBk$)pqf1r%`R_;N)H!I~=@V9mr1tj(hm#aAW4LNC-;d)T#Cy$d-r&Yym zYRp5@q_dYzN4hAq?i5rhCVldl;bcy3H^rnkg>*7D9bi*3wvbN7-mt(ZBx4KdWbE-= z*g`rPyPOMKNGD^D=E4@z$=K_2VGC(@nP;!DS+ezni+}yTUI7d(B>e;UIKQ`^Y&iD{ zF#u=?NgqTTrMJcEkgECiTy}4Z5lhAvrY1{^S&}R*=6ABRSecTg#hUs$qJZgZT#N(u z#(PQoesUD_mqEWC`SFeQ^@pE+_Vk(Y3DoMvjj`}6+ReoVldeGF`BTq5a~1OTO4x-* zUWxaR>3>qip21>n8&GiZsF$14@S5PxV_y?gdGMMr?29*_KbtAn7jLe3DOG%`;m?DY zIX=tG@mXe$&oXm-mYL&olsP^}nd5VmIX*|3<8zcbK1ajH=XF>u?frfD@Dy;vN2h=r zJ~##3@Ubc2h7U~vmmZnzeQRuIcCCuc6UWrf(|>O1hPtoU#%Z)>$2=5Vz0K8dqvl+b z2QRaIWoG-z%=V2l+c!?PFLm;X_}pkt?S11j6;DjR=D0f)oG@=Rym=BYvwdY|`^wDr zjWgRfPPdP7%qRF)>-$*fDI1@daO&8*>jc&IkvJqwI)$5!2P)?GO%zoM36qb)b}3sa z_J0&IAGPq|5T(iBdsxIy4Z7Wj+@^^!n+@`Qx0%in9()%+^^l;(;{{YuRvgMw_^UDm z$LU37MO==hh>EbK$67JQ7+D5PJ;j#u#ZHewmW!!Q)xzd_eQF(BipXVa=`rb#|4YE| zMvgs_+9Yvz$nrVd@n6LCsJ_v^%1vOCFn@1U;{18TVQV-{v&pNsz=rKr)nZ}Q?d|P; zY}}sQAMfqGer$YY=H7U37y7aBr624cW0vw=qaJMEfbFJuZ{PQ0`w46~&&726r5_vK z3EIKC2eIC;N$$&bv%fd~{Et9kr1-$t#B{LEVr*`_X$fC;>9Ap@Tlc<%!Gbp`pMOU* zjiZ1!?8w3^l*q;l?Yu&XELdHt$Kq^UYS2v;>I(Ek(8uGwonJWUe_|T}b1v*tHgy7mv#9=4^$7UJ6wNA;t;14m z1199tEVaz^f44WhT<;8)X;Ct6Z&wQ46o~29$Pknl=)Bs=?XBJB)ZN~sZpC?Lhs;oP zq(o6GA!w$uY%`va`9a<^N8x|JPC*}0r)`77_i)SgiKskQe!79FG5q*MW<$QXY4FJv{ z73G~&t!bK1PoD1_UbkrRTq4WF=jSFYe>W{}<$QYHp>^@;Y0jBGJ$b++o+nwnb{p|| z8YVqe&pSKxyivFL^yCS*t$&BEck0Q{a%R!|x1iWwkx~ljz{klFU zjrIk`Vkwu=pLor%TGcV?juFZg?{V!hClAMuPqqR_r;2kWB*#-3zE8vR#dgJeR7eir z6$It*Gk8#r4|YO$KX=I8&>{C@hunbV*p7=h*m7ADx^3AP|Hn7);a-pXR ZY)e>s7NUzj?-=!Lo}$aY0JE_MF9FMDNRI#j diff --git a/adder_8b/db/adder_8b.rtlv_sg_swap.cdb b/adder_8b/db/adder_8b.rtlv_sg_swap.cdb index 7e46c61d7bd0c7a7d7e7022f7999b74cc93983e8..1459f590d222a5b7e2e7b7a3f8b48f08ccc35eb4 100644 GIT binary patch delta 125 zcmcc2c7<(1nC3(#1~717gwP?(5W0f#O{BLUvmwviLrk6LMI)3a)_F2APCOASYrABZ zf2rYYzw`Qf>(~8Ojl9e9x`4fE$<`Rd!0ED+ofw^DZEyWOwR4TOGvm_LPK-;nfq1ne QkOtz_2kvfO$he6S0K#1=L;wH) delta 124 zcmcb@cA0HLn8pMq1~717gwVmv5W0f#O{BLUvmwu1CLy8u)d~~qJsA}zo{W{fyRgck z)Nr=nd40Y0>wc?7-eq}Rz+SavYm8yw^w`PHj83w(xBi~mxklTWap`I&#--XoyxI{+ P1M%tudp9p)+{6d~eqt%d diff --git a/adder_8b/db/adder_8b.sgdiff.cdb b/adder_8b/db/adder_8b.sgdiff.cdb index 2ebafb300dad23d005bdd670e0444c4081b78364..c6d7ce34839cfdf3edbb831855075bf31c8a2747 100644 GIT binary patch delta 1330 zcmV-21-mxw@)(@~Z<|obB;^XO7OP`V!BQE?uvOtvKi7>SBinpnulD zTg*nWZ_l-P@rP8cfWNtJtxO*iU31%{Dfgw_)iB;q}%%N|U@IQKH!qfoz3;oot1wjh1JjMDedI`lvA%Hq4W z^`gV#_iYyoqEWuTaro_s{X-WIY**~d4omFIE*3oU zf@oCi+kd@g@Tm~mO~LyR{O5WR`&solEotPJ2x_a?DXj3*w}QQpVOxln^RTZ4ducT6 zOTk_#!^kNGr_}ER-RK$kZ$km1s8hw7y^#Q8q%VsO*x6`E+!kOz)?xNY1a?)h%1FIa zU@wk#N^BLFcY0m0$}D)Nz@8uNl-MdT@AQUXwtqr$o{V^>z_b*S$sG0Rl}ZifojUEv z+O3>fh%(pqPF;6oAAXqaD7)#BH{Bl751NUX4c7*yT?Qn%?#KeuGp1(kP{sp$UG@92 zQxAJpu%F5>b3Q?A?D&ec=#-sr9vt;Bj+rZEm}yK98#}&YEjs0ZFb|G;n0^gQx+#=k zrhhR(Y>(Eht!P947PNsNI@?omQ2IYQ=WDJ?MaaQMYDyAYfFPp<0>C%wncM z&JM%OsoiRTeKxbxW#{ybx>LK3;Plg(onCNG->f_J>HT;rr=Pf60XK&1cZe{oq0Zc~ zCo5;>e~=f2uu+Ib@0`W?MW!v54C^T}s(8yk0~ zt=?~()?|FX0aTE0>O>iB{R-c{B@}ME=~>B3PgnGA zXMd%EaI%?qFDFo=y{xHB*Jq<3Al@XnCv zyO!;GoA@A?^p*009=D}<4MNZ6ukikqj!EwQ7;kyGRft8H)U{stWQmdau@ZiFUCsSJ}U_4xRKs5U-3S4!jaxjyIuiR=M&P$u7%kz$!w o?<5r?N?cnM_{gF|x!(aoOnk?ePAx5+ICVfWnYLBn!llT|ht} z`0{_pU3T5N-RDk@j4ij-uIjG8hOQn?pL_cKe!tf=&3dN)ihnjedLD?I6SeYBM&$OB zqJOJv)_QPEJIliT)$gBGXsN6IRKVOI+%;mkXSMtm`JI&hYHS5hOuPDB@ zCZ7s8nsIN{bW3^O*ByUN&XTJenkT+%X!#k!H$oH zeIeM%GK`#3a7z7J(2bsf|27mLiaJ%S*&7KkMtVYYz|KZP;ficJIS%N7+r6yy^CszR^s?Y`8Ws?J^+Abw?JMo-s9RhcX`6>#Env zPCe{Z!M-cQ%=rYdvEwV&qEmLhd2rOjIA*SwVWu%bZ0z`owdj-s!aO+YVfr;J>84PE znSaIvu{~C|wyI@;MXY_b;V_v8NA1=G>6|2-yy=VhB|Y{ zzO0;?|3O|9!bTw$y>k}l7n!zLGOVY_sDHlC_}!%UrN&;lO19%GJuewwen>XlY;4?_ zwtBA#6K}CTXUL=8GsAr?#QZ^n4$U;DT9fhl22er1sS{M^T6kwj z^xe#My-j?OOZrNAL66%~yau6X^H+F(O3zE~{TOd~xl@QmnAEji_+*KlN%LZR`u?!b z)?djcKI{`I@=)4&D8vCQy`UQKZGRIyd5pQt*v+}+EDZWpf7pCFRqE50b1e>e9AP;|_1^)Z^plnCL4T zO-JPuzBImCuCZljtBnO4xpa=tKJOdY(W%GB4@9-`*|}00pU?HR#FxNhnfnuacj{-gs9Es*wq z1X>u*f8_wff2A+_fhm3l=v_`QzP?5m0FME9Dkt|+aSP;u1z67NS2=}nZ4G0E_X#Dx zS7b~VemU(GepL*|LFh{MU`o49>0Y^_OlNfgJ(yK4S9jBxgy3{w7*=;GB)DU*GHCI> zdC#2tO(xJYaH6X0;bPzXe&77@`%&f5-fW!%sxoKh822Fx zkU2X;+*OZ0@3Hc$GUZ5Hv?%Du@p*Xo80F{}mqK~t?~;S%^U;Ti-EP2`by8Y2A&5u9iP+-@ko(Y$ z2eh64sc+vpu=4qymK7*tl<%9BakeO7hin_g`K9Tk$_l}Ca=#e3Z~p!#2{J7Dx~Jjx&czg7YNkk4e!Mx!YIMaS^JD(m|uHw||iUvqLm znNyoReubK-keT6!SQP zCpnT5oIfDW4(0o~TlM36Pn9kHaZQRR&|&5ICdsvXhVz-ZEM@s1L42SkYrNMl^il1C zYfYRXLUUqq<*QrYG%qW~cssrxr5j!bzYIEJ(9T&g=|e+McDwJlgwQ<8dn-`YAt?%d z!X_s9lxMq?L0At9yIE7xNxhtcU~Pva)Y(!rS$|!fz%A16M6fojxUaPKu#cWm-{NyqtL9sadzm%`U-4?!76tH>?7Uni z#~oR!C64=rIJ!y$iFK&l+q=w4a;B3j-UaXBmeTD6~rkk;TKgU1yY!zu7Y&Ug=HiygrU;U~!cFBmC^ybYW(dPh_MHc9Vr{}yQ^{)F)!)a9lN$=$Y& zs*~inOv3J5+Y#<-1EOx5IgQWMOt4uhtzs+U=S(UD5VUpfdf(ADf2aEVr=Kjl@tHiA z*@x3FwZHbV0TV&8AyXgme>8KWPv<6;gmdNHDg6o64=@cBaH0R=2qK>(D}=KeFY3F& z;WgE&c3eFS;DKp9T_E_2qm%xBKyO>~2^Lnmeufjr$jYTuv?)D}t^B?r%|9k-Z^g!6 z&BZ@v#b0e|Z?%t-qbpVN;;AF_7~2ggjltk#8K!}kf7HSkTLMy%8|b7vzjZT|UTW}94~tqr&4GMSOekmwZeu})vBHt0;v>wh6z z8|3i>!c)B4I(`3vX_37_I|nj;q>(I9jLwf&8zr9{5OqP+kcwQKclHg~;x=U+ydz5k zS=a}ZDJ+s9M+Z!AsCxMma}6}|9|=M!Q6P?DTipXzmde%_`b*JeE5CK&t|#TSUCWdx zFOEGWX5Bu!p;OHDITQZ@=CN;|UsDwDQS)~}WuAO@`aix4TN^L@=dh}7+eJ#(rzZnk z%8oY3F&Ai~GR764jthYktV0P>ZwwE1zhcQP=sRHhMY>_=sE>*K4cq&msNUHtgia*# z=cIP#xmW%V>`b~o`H%giW2+zVJT0=(zGC|SvSHR+DaiudhzRuhB|d*|`Pya05){&4 zVVwIl}qn;QK~duC+b0K7?|1%orV^?@WSIXUycM%Z8X_5kbUed)3<= zW;x8|%{WE-(@%QXI7O$@-LfZY(ywa*Wcb!ti_MhgCpy6@gyj>t&81*L^Ech`xkjIn z;*FE|8CB`+>tmqeaU4R}@IWk-?^rG(7f6&Vx4!R}%a~g1{TKGdPtpY5Jw zRYFqw1J$KeT-BY^&jp>MI(hr3N#dWKOFP{978uIuDxlU_YNlvp=C%X!%#_3TIfZbQ zC@dF$^|AAEr}11NFK;`LBCs{4aX)XZTXng0QAod5vSD!E)d4i!p^|CMUN!jq_M=Z5 zd2hfpK^~KM^i>%mF$i4{=V~OyoQkzheNS@rA?dQp6NYyuyMEp2hx4c=49aVJqwgPd zVyi|yIvDqme90Z~sC<|_S6of^71fZdUxZ@Wy(R_G&FIgF`qKTQmQV={fn-*tfWg?Ac5W_Fw)g%a1mJO+}k!IMg+(p|Kk|7)F)S zLcdFaZ0h7eHv9@t@~L>`iwy12pqEeW0E4A3glSU((*KYWUVZczoKUxjm1ZT5a#F-Y zJccHdjyHr95B+u}p;w?U<|%d^S#!Kv`}ONc4j3_t?hm}&G^(GQmwcN60+!@z({PaJ zZDkR!y7twonpffTzL2 z_9JK@$Zhmrd0&Ooz=Hjg9~3}oRP-Wrcf3^YrG$pqaMO7&zJB?{4d=`a-oy>J%!uQ= zu?Y%7FPfGa%}&Nlx~N?q?Z{TmNWwvcAi9;{qb^^+D&K~Rm9RNZ7%^qGQsKIrza69{pD%?q0aUPHH+#%5*98Yw8B1>KqT? zBtk46K1dYdESv0gq?)#A$}1IZ-1l~uA*Dk3{p`izpE=i8wg!cYX8DMn+CO!F=Cr0J z{t(oe9bbs0E{TKC=KZdFS98Dl>s=j35XM89)RZEEM1bxvlGL@-FE zFf(20g|KuMZO8iMyF8D_;EIpOrbkK0lDL) za~shJ9-0cPUzP_=*LYzj(vOw`~ zgmt2yN#hQs5@(PITU5Gt!YC;z^l#y>oMT@d*()sYcjCvpbJAbedJZKFFrxYq9q9~o z$qaP35$m7az$Y)zR=BMtSWLLnPwn!4i(v;8zCSHe*9uO-sRLWKB;ZLX0s5h9YydZ& z&-x!$1*pauWViYe9#AAZ6zLa|KSv$~OMUO&PUu%h$kvbGqxW_ag(lsE{G}H0W$v$6 ze@2$M&(BwcgO_*iY*6Ru+w*O#D2$Z`%h4Ys0KEOI*3H1askO{5TaV_>+URj3T*2+c z+D&0{h|!EgA5d#TfED*=Frecoru1h5Mx^At_Ktcq)Ra3JW)!)AhR089GCnGdm)t8D zv$wGEDvV_7twx}<91MW>Z}#AnEOA3nDjVaugf6i1(wj)f|8-mV=8St9{y zZqD7EeRy{o&A%w<_uY(?t&0HEriy-r>6^3)y~GmSR%G#uK8${uiw4o3CWn4|r``KM z8Ul9qLqlu?UUW!DenRW2h91;-IiOsCW;O>$L!>drM;;XhBCXyNy%;Y{L|eidck2hNhkv?QHRuc{52ElPviC; z-(OdvbXJ)v?b?z5%px6A8LrTh4xHy$gHx1__+{S?-iH9gh6NI?e`MkazZUywzBHGI zie*rJ6qVv;KNls#q~^vXU(fXLS?;p@`R=clDc-j)xR@%vJ+WIpqwK#uylxru886gv zq>p5@?MKu?k-~hCc*0Bq_(m%1c;U!hxG6u3kS%8OXAE2bFvi6`zAD=s^(jGN z3%%)J$ zIRQXz!m~(#E|uj82=;ggL5Ow^c0%A?scZf>L-HPO(#$=D)oC z>X{#B^zq%h-yf~mFeSb`oCdb0e1=nEg$X{Fe-{OdG5NdY+luIsc*mPtFhOzia*OH* zDhAF3Ub6z6hybd!3=oda)c1p`={))6tABiAvLhh^y$$R&(Yitw@++ zq+=q3{vg5uf~=EZv~5S+5z=2)Z^<`hb0ZPl1BfgrQWA-%!suc@JsMb3ZgBg3jiXV1 zncd`%20!j#qquMhQ4HKLmWca`{~#N=0A@b}NgWW~m}YJSgedaghQ2?O#r-@VTD^Z~ zO+S+)o_aIIuue9uT_d7Ch@c+f?vmnXl{F)Px2dT>l47CAeF%~UEz#&SjyS^-cPIR7HpxYnBN(2R`fI5Jc|*?Ra)8o z<;2**#9`znR^!?|7!;Kf)JOaL9b5ibe216NE9uF#8pvfcgUv7^ zENTh8-w1nuzY7e9e|lfkB&g{B@8fq8A(9itQ{J4w+j7yJi?m!xBz z3WvqnE=LMcC&Mf(9 zmxZ5}l|frTxh)RkKHK_eOR`UMLb<6jGlL--SMhdX9EP5>B11u8kCpy&|kH?ta{b ztXOY_DhK;Cm4yis_)~c5SM${PSZ1&SJ34M;X%(km{l3kI`{LnUc+Q)@5m_*&r7WMD zM(-f|XXxlByw%41LF>J1IV?6&92>>Dqmfu%(OLkY`2QXB9s)RmYVGU+Y$ zqyr|AP?7tpWaA*R3`BsOU?x~NAUj^6Zn>hHI6< zZ_!z_{8!|`MIsYVNy{8B;EMNbKjanUOSNS?yNs-Ll8B|FR**qGw^iZMLGIc--vhka zeY5e!QfYYom)JUrsRiq3^VZ_R(~c${b=+JVN-;;=ywzv}+CqgO&*Ncc=SJJ;1y+9# z-;Vo3ZV>cy8@x@|F+Mi9Wm4}?ruO1z4bulArLMX0m|SmL=lMGJ zaw?^g#Zy?jlilFyH1yS(QTo=rsQX}S&-8RPl=EY~On`B>lrGEV!>=TtEoE@7KI@hO z!C4FlL}{H)PM|_iQE^y!wzYY7vu5DX%}&C2`Eb{nG~^{J-lE;toXuNhdRd?S=){(M zLrwNtlOnP?7gIEmL$g;Sy=DIqw`UoCHPDv&lj(tH@@6zjP1Enqb*WIsCF&6!JXF`> z=e0<^Un9D?Ky=^eS67Kjy&bbr@}@~RQi7F7+oF0!GB=b&<{j5l14NX+qsAiA_iSrp z*%F}q##fB36{e0*Mz`6&O6`!Ebk!xtrs4WBCH3UxjK6oE7a)QUk0ZY3{B?!H`i_3} zm)WeMnR|ko|3+D8=Y8u$op$Sp4BtFiHRJo8Y*3UOul5m6f!YvtgHRmo8%YG8| z>sv?PsIi}5tDPfnzv^w)flU`nf3b|+K-O@f_-D@LNeO1ZB(?fW7d^TcON@_g)S|}= ze!D3jL<8K7_)-Is*-AmoUBpYie|GeT2ik%*M%HhFU$q<3z6koV!7QGYnxLKc{4VhR z-``C(pHerO3v{N0I2QB=wU1dzZasay{ULo5dDOm8_dFg6T;F5~X4+)2-okGdxIBru zAj+2CdG8)6zYz&NUC^wNKjLTnOjm4|%`Y2L8c`*5RrPbuth% z?#q6`dtAgQFQe;=ek4@CsQSd8)FVqR{sEGQU9QCA%g=l-wGgx7!b zVAlG|SEV3gMoGLovHhNhfzE)g#;U&RgHb}*2yI`yAc#A59XmYM%s!0LpgmR4O#Cu+ zef@GpsQ<6e%q1B}TYS74aAcr-Z!vl+4dYnxdX8D;*XwX6Bv{o#$!z^;+Y5xbJO*+R zKR-FS{p+6?y3G~mw%FHno@LUi=D9gDFEkzcz*p}G@%5ZSM#gmyqD=WP&}E}(e|MHy zRoJyo4_W`P^i3W9A*y#7e}3JDe?%sV@@?>X9lP1f+>Yr7Tg#&QU3?l{7vBGH_xaz= z^bzx2fVTz#t@6PO!i%8asIaM@_*c^pR%i)Cudc>`Q~}RiCaYh4=mH*Qw8l zBLu$RZL`oE7>*h*t+ZT-adpSkcSGi(HS<+wSC|tl-VOJ}o-H$b>03+*sJmEGZ`8Jr z&w(&${Jd$_A$Q*_%qO@sJS(^L+1%N-Yu4zoivP4%G*?MMs-uKuSsrJVnVH9-#l+W< zS>HIm*}hrNS@Tmzfvkhp`yQL*isA|ovoVFSpMbcNpTLMeZJzmbpZjId*6N*1Vt~+q zVcJ!$(#zxi!gcu^+r&_FaY;_z9PhOq}EL4gq%HMl1-;WQMA{L3MfR)l%Tkk-LExSE-j{T!7v(Ub)n=TR-2-= zKRJ2~NTAD$D#|SZI$&@9z98-MNp#gu1wGjp2_Ft*R;>y^TtKTI6OOTcBbXW0(sI)z(| z|D^JUT-vyuN)y8Q;x<=-$$ayHH;}Jh!oH1V1Hp6L%MFIT(9xb-M;bcON^wcNk0d`n z#ae^UecN607d>gxufgdKZQXq*)>2|z3zAoI*>oGTFIw5Qb6r|a<|x-w>;tlb1=c%Y znLWK<&l+9z`_=;WVKlfqi-3>Ob%5 zeg16YU{_&Pl9z;Xab8RiF1(jPeVFh--lpy*Uld#aL1RFUuJ-Rmh$j+JWe{}p(#|ueYMD`fsLy*=T zsLlIUGD~T+jdGbezlN0aYaO$khkxQrghQ`Zc_w-y<<2yz2j?h5>38T%T4`qXQcO_q zKs@p2V|xZ^7w)ep^&~w8#%sbXAeH~cPm_#d8N3e0j46{GtMm6c!Rp+>d!)!QN&}VS zzaM77!7Th<%zm5b;HN7!@prPlOkoNpi?<01Ef&x?E1Lr$Xk+w}H3q6@s^QO6wQj`A zQ90A=k83iep}@{Ie%SR0@v0D$P47}NYpFn3@=;zj-|EYp5L{q{bYvI?yV_E?xla(s zy+?vYa}V%ZmZ*?$A@FKQp}%lv{cg|jv~b&Vn62X$LONbpBa`*Mr40K}ajJDwJZ}yx zMR`JR{$WvS#b`3y)81s?*8uyK^`Vsw;bwU;z^(|(ZMdK9yFh?<=_6tVqTHbY_|u$! zQxYd{>ffee#H7U-Ky8jxriwW<7Ivq~rG822Jh8u!n{SSXay<(g(;^$=s5JUR2z6WW zS1%5EVMTn4J&Oi}9vlykycLM!i$rR$`2kf2d8Y~3&WDC{YIUs;t&iDWC0tZ~nKN8# z?*S%Cm`WN1&4>IsRHYPYcDD_NMuY*$4uw7+1T>%jNKu{zvWn8(-};5>^5~qsCHnc? z8!4J*tI!-?K6BZbRW$QGohQ>VJ1Pz`5fx-M7$aA(Rx?h+jZ>*ctP{h&L9KcEr#uxb z)V@8(?EDko6b*(;2Ph-h(>>^AG9DZ^##e65-_DnaXH@)5~hIsAsCfl5I8DWu|XMhH6&3 zts7ufWx>Iu5>!x> Ee|0~^W&i*H literal 7997 zcmZ{J1yCHY(=Sq74=wIcyc`7%JKTyEife(xrFXbPp|}<=4#kUm(Nf&q<#2cR$M^r< zJehfKc4tFhYtF;+~R_%+KEiI5%<0Gv$#FSRX+}imy%#9xLbqoZACyM_F1VhyS zmZCKOE!`0h4KWfJWm3z@)YPPp70cC$KAG$a-AhiD6qjcf=l}UrRzgilw0OKnZ86i7 zE(JBD5}7BCL3DP>LVH)#xL18AZe9699g2mHNsok?J9Ky>WAPbc0qM$` zo}Andz3yMV;XDtGJ*JOy zh@k*PsjbNd#}LgXuW8W+&!RZ|uoEASS9DcD|Y97Ap zs0(OaMV;f$$`rzF{mFA_$7k?FB6=zD+Q^xTw<|=Nj`sf}k{@WY>I^z)aP^hTDM8zY z-+H_?zOYZWE^q-I;%vT)CQDrhC-7JMjyl9yR0E2+)dl}dsP+QyvH|nqd2c=-) zE!FILMW~~lH_`mYRdQ%@M~*i2xI4xBhnzC?zMO{pmM?mF+Z(>n(Ke3ynZ-qH1o{6( zULDA8`Ny3bD9=cp!Tndf5t1jLp*(AK6awvRw`~bYXUlnTFAWKgQ$u#nlO!o_-K7|& z@Er-fR=b=n8w_Mv!_9(>x|sX!=WuYVSD|MJ2MVcUuW&62%!D28L))fbuo;4~>2CR( zX-C+K{6$UN*G~MnJ+Frzs~ykebVZ-tG4>+G|8WH&^S+AX98M*en0&^9c6x(y%7VJ- z?p2WQq?Xl-lwPZQabYM-CAVnbuZr{anX$ve9U;&wxUA*1Z#yPD5OyTBKu~)Y0?z!C zzJ7rpaYLhD|K{o?keg-n6F8H9ZMmI<+Bzd3xFkyK6wY;=81$g#f1-CImD=Hp!>Dut zyrX{#XVeIkXut16(!o)+%uP1Du>xN2v^LPCl4IX1E97%%)-D88# z;EG8+&b1ng15f(VI_b>I-1@<6G!pCA&V~P#vE@{azVIGI0o+Q`B98z8+9Kn0{^=G8 zubugTTCLB4bD@{caK>Mz-;#f>)cZai=*bh0$9~?|@9Q5-uA`{88^$pid7F|~Tbxq- zojfv^DF0!vz8VDo zF?wtmC_XHfOiSZb>lI08A@lXwWTEN~PtumUq~}lmu~MAz$+FiZypoYnnv!t)r^F0k z18DRAhq(aSuiVyak>{#ifine5PAvKUu`5yeKdji5$P3E|asA8F{_mirXVN|x!IqG@ zW5FAv@;X6>%K2_-G!WN7pFL_p4;dhHP$}M}4)|2dZ`@5sAKoMM9n+=^S{(XL&sKBq z)iL9#NlBk;WiJvgB~2v!^ZiqH;5t4)#@12&)%`h6Pff~>2B#_^*h*3AQb+1{a(6!SKb-!s8x^DA{AWoC`%I1rw zv}S#qRe2=7i8gmEZjfaR`;b$ZztI2FrF#I+G zB!|cbH9_>ZhfLd|v1+FLp}@rd8}I)H|9|8CKf(XazrUCNKS8HMMnl3$gyK{$6iR4a ze0XQRjC+|P{1^qj)>6p~+RX5s#rx_9?8f`acW{ zYlP8pMR(f1M%kMg`NRN_w!#8aH_>|Pnmv6|kz{;Iih*=&dCigr%%A_)?x{fcK0W7K zsakgI;+e41M$e>UdSt97G*d4k@C!xxs}c4bF(ta=T-)Wp3Hn(vgLzK0@uny<*9HD& z)7_k_I*4*qUMC(VIFXO)8+$sVnC5w(R*XDTRp#E`@g6uN~zZNnjl z4j2TGBbo_HhU(zjcC%&$<5yAUY!jBsp+moB8@<)On%J2ATzc&?9H;u4CLa@Nds}aX z4mnMBBEzAu53fTBaj;4kCG4jB!l%>EWr>5+R8|pH}3c|NKxDszwnZQOtZkKag_8|0o0OfBxl}JI@nbcoBS+0Rw zfH9}=K=K(wqn>LzH$z3zngmi}azjtu;b-F>sLG(q1;h>2yz7%n>pK5Ztz*U_A`j|9 zZ6O!1Zy1fY(#-GO&=$49{+l7R(3R5;!omqO?3TFW?x_NBl!$uL@Cva1_L4Rb7@*HR3!*x+a3s@$s}MkKTKM-|b2Jadds77yjH? zgwO4s&a<=M9w~SFN1>p1c)KUM<5~n&oA<(**&BauC@L~YVXX$=6f?4)M>UQc19$o* z)s8*-h5cLy0xcEr_MX@_aU?{3@-(^$`EJqf&{SkJUT@s}z2X)CyL0}1IHII!x%1!W zcJRI)F*ttw0oy2~5UWF5dMpwB<{F)K{5WwPEq3AdU*0F#@u$al(MU@gBeaViq>Th< zrsRCaGY`buBDy-OyU&-B;UVkoykAeBLqyy%IYYCc?Dg>5`B_tQ$T@vh_@| z^*plIC2!}ud8##es>9yS51N{jcJ-0-RF8)$WrTT?J#@@mr5PO$FMJ)tO|H)?4#8)s z)zK%5Em(;(`V~VH9kOeFOcO`CsS9P_6M;Z>TFgCCiZaj~e5FR|IB`Aq zmE*mn#3)La(HI%9e;T++PImr@?3{-T#z}iyWH&qf#& z>N;9*_@PiA&M20wKux6J%N~EaB22M$>RuOaXa`bqcE))G&WFg%e80= z>(u4r^c1h+du-^pO?B0>&fB<0JRlaB02vw7dL|D5d;sW>HuOb^ADb5&e!yKAJ*|=3#nI|>v-H>KU zu?gaPH*u_;)YZXQIY{3Ia5DzDsp=0ejv*47*>!iaYe}Up(blRgzNS`pI?{(Lj zA$?xveYj-jzT$^h_dKbadSvIi;-IDt6i?5_iNn)2?prVT+=15wMSaTqEM(ctAiovM zYEBGqI>c=nf56XfQb?cJKrDBEtRq6FU${0mX=x&=XGp)yYC=;RlaxaOoj`wV8l;aC zxVZ@26b5c;k)0coor{v4`;fuXufFu{7cd}uQPD2LS$1^wBSJm8rB zBvE07Me12LxWzcw8v#FBx`%0?9RPmu^o zio5ui4KR2B7?WO*pSb}0PrQE^<@Lwhht52d{#n<1=#}mYcrQ~~pc;(b7b`&qE64T- zz!t>APqDY2Mn=RL6h@GpPUXT+(E zD8Vx29;eGGr#Rkwf`aW=?=?b0FnC|7bPjl}TjE7fr>(c7!nMKUPcFGaG&g{-3_jk5}jNO*0%?ECfsW4^_WAj{Hv<#rPMjRCKfm zj+2XVyY~gSi9S~7*Ewtir#k0<3v}(!kiLh%qtz|)9oHV5&a@O4JI%rI!txQs9~;_U zk1+3Jq@~oi;F_<6Ud)79o4HwjQ(LmhvK=l7i(0IASm>eHt@^_G3yE~ruF-u||NCG` zo+8w-{*wcLRo6mje$ZDm{_+6+aEATs^*(oEEDCnT?G-PSF;^C2R{C-RzzH$n1X=R5 zx6r&#yBqeb%-r-Tc!^QUfk*0+sn6bj?53tRsi&>_mLbah`gEai0a;Rc-a8 zL3Ykd1`EM%B8(Wb&AWGXLigwJU8OUO!?Rh7OR49C8W-ETYt57E+6(wrWSjJab7tPNfP zmJ#zFoFIQl`(iK5`>-H=5eRG2IJ_~YW#(-@59>jVl9C2IbPm>%2CQ@r=pZ8l-4o4Z z!XG%ug+f@z+%oLCG~9~*x|s-0;P=-m0`&AYVET&o$ihUsBRL;wu0;YFdNcZUCR0`y znsLYU(GSwngy=@{Z;7dHSb_Hsx&W!KzSpehRIF`qq^!;sq-%9RmAbUSJ9;B#`kC-h zF;YMU0pP$tRt5J!CMilBJ^rUMeSazB$1rg7$W$=7pD}qb)@>k`3b=_$2Fu588VE5T zB5W!}tcu~A?ceg24E9zJHsF?eRtfed!5`@>-6Gy9fp*;@seSP37c4+rQ-pmoMwQ-Y zcsZQZDS;cm<^u%cfY4?uES^EXkBP6%P!=pmais}}N$ zrNc=#4hkq?l`_H%T`ouj_J0R%LV%m};=g`+%ODL&BMq@bT$l;Rln~8sR@eYMob(p{ z_%@z(*iAaYzvJj^A$>yPhbp?<@pkwMZS?fdn$q5y(vKMEe{WuE{Ml(p2TX|8wIYKx zo&!2z6$=kV&PG`c zAS;IBP_|L?@#yi2sXlzjE{?w=nWzGHdZR$oLqUUbDao;;*MM#PT@Tu^upwHj(+_e z09qRgl9i@pr;jEC^hSgdzAo{GjJ`jNew`5jt^VD|O0S?NEutYUq9~n?8U(Mo82{pK z>HSp!&!`*Scd=}d>=m%8uCx*HrMGb+Z*v@gdDCrS;d{SWWE!G@QtVKD2fIZ zyPr!fE=M!3&lIt!7S2Ig59v|k&=!h+<}DqQe|E~&+>p9+K1|N8CZFsb&7SW*JHUnP z)iTd=+7Z6Fg&b^E*;IY*K}M`wvq9lDm`Rf9zdRFNwrmnG!W#~K;+E<15id%ccy2Bt zH0$=PG4*P{<%27m4dxExYP-nbijWo8Mjq%^Uh_B!uQ>2Yd^RNgB89}?)xO? zoQGqI01YUMKWkHe8>kjZ_UCA^lz)=#z1^Pp-UrglQnT$C8tj=HpGw)XmhWg*ze*&` z-|GGtrQ>p@`#Z`F?AeL!O6`b~L z7jx@ARNC+beW@ODw6F|59U;D?q$qq@UBP;M2Bv}9uSPylxf^{stwe6IW-(2b6Eg}5 z_|hCkfzvGRK-4PQ*lxA#RNy}J-0NH3blZ-mqQv>_R$jho?<*UU7g# zhq;9bFpFk4BlYm$V7M%Eh)uGyqIF-6MmE6PP$w#4Qe&s~R$JMydh^HCfy!m3JpBTG zZ7^d_-DPg!GFi;w0`XMjn<)nu{>6q8OP7<&O_P%nyBBc_7x3z$Fz<5w!Qj=63pUi= z^kkJ=oGA#j{?`C3oJcW*hi&3)lsH;8aCLpHii2xWGykaM*vpH{*oL)z@weXbRxJo$AlCLF&5`+GpfpBm;90KJgO{?C5P1I?Tbi=KRYX6MBi?G^pX zz8iiw;n$iPq6-V*oKfCh3pC6R*Un833}OdAT!$0wjh18iG~sxGWy&f069G<;`sqdMvghxxNxC{+YQw^%Q#Et@iWWVRARdVNhT?=5>Pc zWzWsiy+H!~t@nbiq?vpi9p1!6F)W2F@%^IBLTAiPVXHwWTF3K?#W4yioPKYNv$GbS z_G%)03q}+M+&)sD`C<7rcXEDY>Xuv3jOd*VA$NzsMj14kUL$)MV@`{@%Y~9SCa+Q> zo~{P;p{ZBiMV$k1k|#HN{Nm}J?H=VwdZLlbYnv(pEuwde%c9R05vu2efz+EhE;Kcbz;jCws-lzTl! zm3#5a0{nwpuOq9@PX(OE z`X#14Zp@cbOK}x_#GYoLX;PeNS9)9?P<|Fz?)uQ*%sCq*aCXAkq%hj_HJ46U^>-)F(fS-oU)&`yC(@wAFy{XknIZ+C#^_$Zyi#Sd} zCynEL!;YO|DeKMfnwUES@Bn!ziBXZB0h~pS+{`$=i?8jnvzV+!uy^_KP>8{5*^Ii>ptjHKY06F|%0R+%@(W zHB?^LA>K7t^OF6Rw#``G!RDSYlG>fowR-+`?!EaLrpK?st>(6b{10~4N9TV^(bBl= zM@9q(ymxB$s?{d!z-cG7-`8vIW+t`pF3KXxMA9=!~CQlP%$M`6> zI0n5)m?CdB*PwaBHA@{8$5kXLePi2r#x&~3hV5y$%C`qXmpAS!1M9Daqw*|mV0m`a z$cM9l69tmsn9L$@SEe!Iq+;+i65ZRCWdPQ8bt!ER3PEY!vJ9h{-nnJ4^|0gfnsr1A zzMnPSz0kNMb$Apw_{$GaIY=k0zYq;?Ir@XUqcQqiw;%yJ0w{vPazEJMX=ID-)VFVC z=!&w1{X^2F((&dr420+0d^-MwRnRyMcuyDW23m!a$KL(Jua?6|n9F}B06H16#)5K= z*RlDzLq%;>ypkv?3`b$2rSRsCVH-HQK&KE*rC6gY{Pp_*QS^P`5v?aD;l72F`+MUp z>DEd9FBMkr8@`Wlrp;?&F*9w(j{U`1Ve>&OD0-f7K=WdPVAVDrVw~8r>y6GILjUDv z3uGA6pxp>@IyiQIq7KQzO679mYV50GaXymmJ-u z(sU2D2!Tl-NWVSEeub&FIWljGQQW?uYMrDCP>d1Ad?Y%}V%_@^AziW_I9dWcYCR#e-A)6-pfbjF?TuWu(<_@z)^CH&0M21xv|*v z+3w2An+(lDtIVL@5~ZZ57~`(!;TJpvgqIh0MDGM;dE!q*y%h!5A11Y}?Oo}ahzMj%g7Dvz*-Yj_*aZl_)5_4x z?D$z8rxnVR9xr*EJZ~>KJ@2G1e*R~5_-kIJCVNX#W~;y~gbPo3aVNZNKgYUBX5(nH z7gbAQ42k;8Do4|MlY;-ugXOY`)CN;~f%|G@m8m9k26)KNayPJyPM*>V{_LJ2pgQO3FYAOoHF;vIx!3kM7sKTz@Y^EzR)+m53T2 zj&miT$_^YKd=gaWcSIR2~ zD*bV)Y2~UCH!V`8$rO9ft|0YR9tpZU$X){Wa`1rD!quU4o(Wu5;O$(sWMgiv8H_M< zR4dS`o$1NZd`1=c3n$t|A!u8St}w6xftN8-Uh5o^V*V3##6s1FATW0dD(0H(g} zp{Ca44Vzm2e727lPHO&JH!dKORuZc7L!AU|Vx+gt*T|d?dtO9}Fh7V8dlX}->qMS- zmdZPx#Nr-3-ZYP9CO#Dpxh0M`OtJ@3Fl&r$kU{yIc&|}5;Ww|tgeFnlv4O+7cOeMh zqcg~{kxqJ$c?=9dK(Ll+KrS8nh}KM{|8Ci9iPt_4dww{&SH76H$T6Pxy7JlGI5W;^ z*HqEp)irhMJK?!0lsE<^?;_gz=hCUY=!@N;UbL{ymeEbeN)eT{21%DJA7#n*_x6~I hhDrydHIxNhV(Z<7dxB#;tu(z<8HDIZwEsFk{{xiAfN=l- diff --git a/adder_8b/db/adder_8b.tan.qmsg b/adder_8b/db/adder_8b.tan.qmsg index 14356c5..22927b9 100644 --- a/adder_8b/db/adder_8b.tan.qmsg +++ b/adder_8b/db/adder_8b.tan.qmsg @@ -1,6 +1,6 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:22:25 2022 " "Info: Processing started: Mon Mar 07 10:22:25 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:28:59 2022 " "Info: Processing started: Mon Mar 07 11:28:59 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} { "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} -{ "Info" "ITDB_FULL_TPD_RESULT" "A1 CO 19.344 ns Longest " "Info: Longest tpd from source pin \"A1\" to destination pin \"CO\" is 19.344 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.994 ns) 0.994 ns A1 1 PIN PIN_57 2 " "Info: 1: + IC(0.000 ns) + CELL(0.994 ns) = 0.994 ns; Loc. = PIN_57; Fanout = 2; PIN Node = 'A1'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A1 } "NODE_NAME" } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1824 48 216 1840 "A1" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(6.202 ns) + CELL(0.651 ns) 7.847 ns 7400:inst8\|4~0 2 COMB LCCOMB_X1_Y7_N12 4 " "Info: 2: + IC(6.202 ns) + CELL(0.651 ns) = 7.847 ns; Loc. = LCCOMB_X1_Y7_N12; Fanout = 4; COMB Node = '7400:inst8\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "6.853 ns" { A1 7400:inst8|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.391 ns) + CELL(0.206 ns) 8.444 ns 7400:inst13\|4~1 3 COMB LCCOMB_X1_Y7_N8 2 " "Info: 3: + IC(0.391 ns) + CELL(0.206 ns) = 8.444 ns; Loc. = LCCOMB_X1_Y7_N8; Fanout = 2; COMB Node = '7400:inst13\|4~1'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.597 ns" { 7400:inst8|4~0 7400:inst13|4~1 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.387 ns) + CELL(0.370 ns) 9.201 ns 7400:inst18\|4~0 4 COMB LCCOMB_X1_Y7_N4 2 " "Info: 4: + IC(0.387 ns) + CELL(0.370 ns) = 9.201 ns; Loc. = LCCOMB_X1_Y7_N4; Fanout = 2; COMB Node = '7400:inst18\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.757 ns" { 7400:inst13|4~1 7400:inst18|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.387 ns) + CELL(0.370 ns) 9.958 ns 7400:inst23\|4~9 5 COMB LCCOMB_X1_Y7_N6 2 " "Info: 5: + IC(0.387 ns) + CELL(0.370 ns) = 9.958 ns; Loc. = LCCOMB_X1_Y7_N6; Fanout = 2; COMB Node = '7400:inst23\|4~9'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.757 ns" { 7400:inst18|4~0 7400:inst23|4~9 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.412 ns) + CELL(0.650 ns) 11.020 ns 7400:inst28\|4~0 6 COMB LCCOMB_X1_Y7_N0 3 " "Info: 6: + IC(0.412 ns) + CELL(0.650 ns) = 11.020 ns; Loc. = LCCOMB_X1_Y7_N0; Fanout = 3; COMB Node = '7400:inst28\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "1.062 ns" { 7400:inst23|4~9 7400:inst28|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.736 ns) + CELL(0.206 ns) 12.962 ns 7400:inst33\|4~0 7 COMB LCCOMB_X1_Y15_N24 2 " "Info: 7: + IC(1.736 ns) + CELL(0.206 ns) = 12.962 ns; Loc. = LCCOMB_X1_Y15_N24; Fanout = 2; COMB Node = '7400:inst33\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "1.942 ns" { 7400:inst28|4~0 7400:inst33|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.396 ns) + CELL(0.651 ns) 14.009 ns 7400:inst38\|4~0 8 COMB LCCOMB_X1_Y15_N4 1 " "Info: 8: + IC(0.396 ns) + CELL(0.651 ns) = 14.009 ns; Loc. = LCCOMB_X1_Y15_N4; Fanout = 1; COMB Node = '7400:inst38\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "1.047 ns" { 7400:inst33|4~0 7400:inst38|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(2.039 ns) + CELL(3.296 ns) 19.344 ns CO 9 PIN PIN_58 0 " "Info: 9: + IC(2.039 ns) + CELL(3.296 ns) = 19.344 ns; Loc. = PIN_58; Fanout = 0; PIN Node = 'CO'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "5.335 ns" { 7400:inst38|4~0 CO } "NODE_NAME" } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 32 504 680 48 "CO" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "7.394 ns ( 38.22 % ) " "Info: Total cell delay = 7.394 ns ( 38.22 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "11.950 ns ( 61.78 % ) " "Info: Total interconnect delay = 11.950 ns ( 61.78 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "19.344 ns" { A1 7400:inst8|4~0 7400:inst13|4~1 7400:inst18|4~0 7400:inst23|4~9 7400:inst28|4~0 7400:inst33|4~0 7400:inst38|4~0 CO } "NODE_NAME" } } { "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "19.344 ns" { A1 {} A1~combout {} 7400:inst8|4~0 {} 7400:inst13|4~1 {} 7400:inst18|4~0 {} 7400:inst23|4~9 {} 7400:inst28|4~0 {} 7400:inst33|4~0 {} 7400:inst38|4~0 {} CO {} } { 0.000ns 0.000ns 6.202ns 0.391ns 0.387ns 0.387ns 0.412ns 1.736ns 0.396ns 2.039ns } { 0.000ns 0.994ns 0.651ns 0.206ns 0.370ns 0.370ns 0.650ns 0.206ns 0.651ns 3.296ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 0 s Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "212 " "Info: Peak virtual memory: 212 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 10:22:25 2022 " "Info: Processing ended: Mon Mar 07 10:22:25 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TPD_RESULT" "B0 CO 22.018 ns Longest " "Info: Longest tpd from source pin \"B0\" to destination pin \"CO\" is 22.018 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.994 ns) 0.994 ns B0 1 PIN PIN_67 2 " "Info: 1: + IC(0.000 ns) + CELL(0.994 ns) = 0.994 ns; Loc. = PIN_67; Fanout = 2; PIN Node = 'B0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B0 } "NODE_NAME" } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2120 48 216 2136 "B0" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(6.491 ns) + CELL(0.624 ns) 8.109 ns 7400:inst3\|4~1 2 COMB LCCOMB_X18_Y4_N2 2 " "Info: 2: + IC(6.491 ns) + CELL(0.624 ns) = 8.109 ns; Loc. = LCCOMB_X18_Y4_N2; Fanout = 2; COMB Node = '7400:inst3\|4~1'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "7.115 ns" { B0 7400:inst3|4~1 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.373 ns) + CELL(0.624 ns) 9.106 ns 7400:inst8\|4~0 3 COMB LCCOMB_X18_Y4_N20 4 " "Info: 3: + IC(0.373 ns) + CELL(0.624 ns) = 9.106 ns; Loc. = LCCOMB_X18_Y4_N20; Fanout = 4; COMB Node = '7400:inst8\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.997 ns" { 7400:inst3|4~1 7400:inst8|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.407 ns) + CELL(0.370 ns) 9.883 ns 7400:inst13\|4~1 4 COMB LCCOMB_X18_Y4_N16 2 " "Info: 4: + IC(0.407 ns) + CELL(0.370 ns) = 9.883 ns; Loc. = LCCOMB_X18_Y4_N16; Fanout = 2; COMB Node = '7400:inst13\|4~1'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.777 ns" { 7400:inst8|4~0 7400:inst13|4~1 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.426 ns) + CELL(0.650 ns) 10.959 ns 7400:inst18\|4~0 5 COMB LCCOMB_X18_Y4_N12 2 " "Info: 5: + IC(0.426 ns) + CELL(0.650 ns) = 10.959 ns; Loc. = LCCOMB_X18_Y4_N12; Fanout = 2; COMB Node = '7400:inst18\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "1.076 ns" { 7400:inst13|4~1 7400:inst18|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.408 ns) + CELL(0.650 ns) 12.017 ns 7400:inst23\|4~9 6 COMB LCCOMB_X18_Y4_N30 2 " "Info: 6: + IC(0.408 ns) + CELL(0.650 ns) = 12.017 ns; Loc. = LCCOMB_X18_Y4_N30; Fanout = 2; COMB Node = '7400:inst23\|4~9'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "1.058 ns" { 7400:inst18|4~0 7400:inst23|4~9 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.365 ns) + CELL(0.206 ns) 12.588 ns 7400:inst28\|4~0 7 COMB LCCOMB_X18_Y4_N0 3 " "Info: 7: + IC(0.365 ns) + CELL(0.206 ns) = 12.588 ns; Loc. = LCCOMB_X18_Y4_N0; Fanout = 3; COMB Node = '7400:inst28\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.571 ns" { 7400:inst23|4~9 7400:inst28|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(2.636 ns) + CELL(0.370 ns) 15.594 ns 7400:inst33\|4~1 8 COMB LCCOMB_X28_Y11_N26 2 " "Info: 8: + IC(2.636 ns) + CELL(0.370 ns) = 15.594 ns; Loc. = LCCOMB_X28_Y11_N26; Fanout = 2; COMB Node = '7400:inst33\|4~1'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "3.006 ns" { 7400:inst28|4~0 7400:inst33|4~1 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.370 ns) + CELL(0.624 ns) 16.588 ns 7400:inst38\|4~0 9 COMB LCCOMB_X28_Y11_N12 1 " "Info: 9: + IC(0.370 ns) + CELL(0.624 ns) = 16.588 ns; Loc. = LCCOMB_X28_Y11_N12; Fanout = 1; COMB Node = '7400:inst38\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.994 ns" { 7400:inst33|4~1 7400:inst38|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(2.150 ns) + CELL(3.280 ns) 22.018 ns CO 10 PIN PIN_151 0 " "Info: 10: + IC(2.150 ns) + CELL(3.280 ns) = 22.018 ns; Loc. = PIN_151; Fanout = 0; PIN Node = 'CO'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "5.430 ns" { 7400:inst38|4~0 CO } "NODE_NAME" } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 32 504 680 48 "CO" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "8.392 ns ( 38.11 % ) " "Info: Total cell delay = 8.392 ns ( 38.11 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "13.626 ns ( 61.89 % ) " "Info: Total interconnect delay = 13.626 ns ( 61.89 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "22.018 ns" { B0 7400:inst3|4~1 7400:inst8|4~0 7400:inst13|4~1 7400:inst18|4~0 7400:inst23|4~9 7400:inst28|4~0 7400:inst33|4~1 7400:inst38|4~0 CO } "NODE_NAME" } } { "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "22.018 ns" { B0 {} B0~combout {} 7400:inst3|4~1 {} 7400:inst8|4~0 {} 7400:inst13|4~1 {} 7400:inst18|4~0 {} 7400:inst23|4~9 {} 7400:inst28|4~0 {} 7400:inst33|4~1 {} 7400:inst38|4~0 {} CO {} } { 0.000ns 0.000ns 6.491ns 0.373ns 0.407ns 0.426ns 0.408ns 0.365ns 2.636ns 0.370ns 2.150ns } { 0.000ns 0.994ns 0.624ns 0.624ns 0.370ns 0.650ns 0.650ns 0.206ns 0.370ns 0.624ns 3.280ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 0 s Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "213 " "Info: Peak virtual memory: 213 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:28:59 2022 " "Info: Processing ended: Mon Mar 07 11:28:59 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/adder_8b/db/prev_cmp_adder_8b.asm.qmsg b/adder_8b/db/prev_cmp_adder_8b.asm.qmsg new file mode 100644 index 0000000..3d63743 --- /dev/null +++ b/adder_8b/db/prev_cmp_adder_8b.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:22:24 2022 " "Info: Processing started: Mon Mar 07 10:22:24 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Info: Writing out detailed assembly data for power analysis" { } { } 0 0 "Writing out detailed assembly data for power analysis" 0 0 "" 0 -1} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1} +{ "Info" "IPGMIO_CONDONE_ERROR_CHECKS_DISABLED" "" "Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled" { } { } 0 0 "The Active Serial/Parallel mode CONF_DONE pin error check is disabled" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "242 " "Info: Peak virtual memory: 242 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 10:22:24 2022 " "Info: Processing ended: Mon Mar 07 10:22:24 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/adder_8b/db/prev_cmp_adder_8b.fit.qmsg b/adder_8b/db/prev_cmp_adder_8b.fit.qmsg new file mode 100644 index 0000000..5e266ed --- /dev/null +++ b/adder_8b/db/prev_cmp_adder_8b.fit.qmsg @@ -0,0 +1,39 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:22:22 2022 " "Info: Processing started: Mon Mar 07 10:22:22 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b " "Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Info" "IMPP_MPP_USER_DEVICE" "adder_8b EP2C8Q208C8 " "Info: Selected device EP2C8Q208C8 for design \"adder_8b\"" { } { } 0 0 "Selected device %2!s! for design \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Info: Low junction temperature is 0 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "Info: High junction temperature is 85 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 0 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208C8 " "Info: Device EP2C5Q208C8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208I8 " "Info: Device EP2C5Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C8Q208I8 " "Info: Device EP2C8Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} } { } 2 0 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "" 0 -1} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Info: Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ 1 " "Info: Pin ~ASDO~ is reserved at location 1" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~ASDO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ 2 " "Info: Pin ~nCSO~ is reserved at location 2" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~nCSO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS54p/nCEO~ 108 " "Info: Pin ~LVDS54p/nCEO~ is reserved at location 108" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~LVDS54p/nCEO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~LVDS54p/nCEO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} } { } 0 0 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "" 0 -1} +{ "Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "26 26 " "Warning: No exact pin location assignment(s) for 26 pins of 26 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "CO " "Info: Pin CO not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { CO } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 32 504 680 48 "CO" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { CO } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S7 " "Info: Pin S7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S7 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 64 504 680 80 "S7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S0 " "Info: Pin S0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S0 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2120 504 680 2136 "S0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S1 " "Info: Pin S1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S1 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1840 504 680 1856 "S1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S2 " "Info: Pin S2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S2 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1544 504 680 1560 "S2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S3 " "Info: Pin S3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S3 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1248 504 680 1264 "S3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S4 " "Info: Pin S4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S4 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 952 504 680 968 "S4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S5 " "Info: Pin S5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S5 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 656 504 680 672 "S5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "S6 " "Info: Pin S6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { S6 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 360 504 680 376 "S6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { S6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A6 " "Info: Pin A6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A6 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 344 48 216 360 "A6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A3 " "Info: Pin A3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A3 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1232 48 216 1248 "A3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B3 " "Info: Pin B3 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B3 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1248 48 216 1264 "B3" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B3 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A4 " "Info: Pin A4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A4 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 936 48 216 952 "A4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A2 " "Info: Pin A2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A2 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1528 48 216 1544 "A2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A0 " "Info: Pin A0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A0 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2104 48 216 2120 "A0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "CI " "Info: Pin CI not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { CI } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2136 48 216 2152 "CI" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { CI } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B0 " "Info: Pin B0 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B0 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2120 48 216 2136 "B0" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B0 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A1 " "Info: Pin A1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A1 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1824 48 216 1840 "A1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B1 " "Info: Pin B1 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B1 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1840 48 216 1856 "B1" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B1 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B2 " "Info: Pin B2 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B2 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1544 48 216 1560 "B2" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B2 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B4 " "Info: Pin B4 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B4 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 952 48 216 968 "B4" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B4 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A5 " "Info: Pin A5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A5 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 640 48 216 656 "A5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B5 " "Info: Pin B5 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B5 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 656 48 216 672 "B5" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B5 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B6 " "Info: Pin B6 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B6 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 360 48 216 376 "B6" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B6 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A7 " "Info: Pin A7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { A7 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 48 48 216 64 "A7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B7 " "Info: Pin B7 not assigned to an exact location on the device" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { B7 } } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 64 48 216 80 "B7" "" } } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { B7 } "NODE_NAME" } } } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} } { } 0 0 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "" 0 -1} +{ "Info" "ITDC_FITTER_TIMING_ENGINE" "Classic " "Info: Fitter is using the Classic Timing Analyzer" { } { } 0 0 "Fitter is using the %1!s! Timing Analyzer" 0 0 "" 0 -1} +{ "Info" "ITAN_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." { } { } 0 0 "Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." 0 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Info: Starting register packing" { } { } 0 0 "Starting register packing" 0 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Extra Info: Performing register packing on registers with non-logic cell location assignments" { } { } 1 0 "Performing register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Extra Info: Completed register packing on registers with non-logic cell location assignments" { } { } 1 0 "Completed register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Extra Info: Started Fast Input/Output/OE register processing" { } { } 1 0 "Started Fast Input/Output/OE register processing" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Extra Info: Finished Fast Input/Output/OE register processing" { } { } 1 0 "Finished Fast Input/Output/OE register processing" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 0 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 0 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Info: Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "Extra Info: No registers were packed into other blocks" { } { } 1 0 "No registers were packed into other blocks" 0 0 "" 0 -1} } { } 0 0 "Finished register packing" 0 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "26 unused 3.3V 17 9 0 " "Info: Number of I/O pins in group: 26 (unused VREF, 3.3V VCCIO, 17 input, 9 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "Info: I/O standards used: 3.3-V LVTTL." { } { } 0 0 "I/O standards used: %1!s!" 0 0 "" 0 -1} } { } 0 0 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "" 0 -1} } { } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "Info: I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Info: Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 2 30 " "Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 35 " "Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 1 34 " "Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 36 " "Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available" { } { } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "" 0 -1} } { } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1} } { } 0 0 "I/O bank details %1!s! I/O pin placement" 0 0 "" 0 -1} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Info: Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Info: Fitter placement preparation operations beginning" { } { } 0 0 "Fitter placement preparation operations beginning" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Info: Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Info: Fitter placement operations beginning" { } { } 0 0 "Fitter placement operations beginning" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Info: Fitter placement was successful" { } { } 0 0 "Fitter placement was successful" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Info: Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Info: Fitter routing operations beginning" { } { } 0 0 "Fitter routing operations beginning" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Info: Average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y0 X10_Y9 " "Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y9" { } { } 0 0 "Peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "" 0 -1} } { } 0 0 "Average interconnect usage is %1!d!%% of the available device resources" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Info: Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Info: Optimizations that may affect the design's routability were skipped" { } { } 0 0 "Optimizations that may affect the design's routability were skipped" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Info: Optimizations that may affect the design's timing were skipped" { } { } 0 0 "Optimizations that may affect the design's timing were skipped" 0 0 "" 0 -1} } { } 0 0 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "" 0 -1} +{ "Info" "IDAT_DAT_STARTED" "" "Info: Started post-fitting delay annotation" { } { } 0 0 "Started post-fitting delay annotation" 0 0 "" 0 -1} +{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "9 " "Warning: Found 9 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "CO 0 " "Info: Pin \"CO\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S7 0 " "Info: Pin \"S7\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S0 0 " "Info: Pin \"S0\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S1 0 " "Info: Pin \"S1\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S2 0 " "Info: Pin \"S2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S3 0 " "Info: Pin \"S3\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S4 0 " "Info: Pin \"S4\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S5 0 " "Info: Pin \"S5\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "S6 0 " "Info: Pin \"S6\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} } { } 0 0 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "" 0 -1} +{ "Info" "IDAT_DAT_COMPLETED" "" "Info: Delay annotation completed successfully" { } { } 0 0 "Delay annotation completed successfully" 0 0 "" 0 -1} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 0 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "" 0 -1} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/projects/quartus/adder_8b/adder_8b.fit.smsg " "Info: Generated suppressed messages file D:/projects/quartus/adder_8b/adder_8b.fit.smsg" { } { } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "305 " "Info: Peak virtual memory: 305 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 10:22:23 2022 " "Info: Processing ended: Mon Mar 07 10:22:23 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/adder_8b/db/prev_cmp_adder_8b.map.qmsg b/adder_8b/db/prev_cmp_adder_8b.map.qmsg new file mode 100644 index 0000000..ca9ca77 --- /dev/null +++ b/adder_8b/db/prev_cmp_adder_8b.map.qmsg @@ -0,0 +1,11 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:22:20 2022 " "Info: Processing started: Mon Mar 07 10:22:20 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off adder_8b -c adder_8b " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off adder_8b -c adder_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "adder_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file adder_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 adder_8b " "Info: Found entity 1: adder_8b" { } { { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "adder_8b " "Info: Elaborating entity \"adder_8b\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7400 7400:inst38 " "Info: Elaborating entity \"7400\" for hierarchy \"7400:inst38\"" { } { { "adder_8b.bdf" "inst38" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 184 400 464 224 "inst38" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_ELABORATION_HEADER" "7400:inst38 " "Info: Elaborated megafunction instantiation \"7400:inst38\"" { } { { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 184 400 464 224 "inst38" "" } } } } } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7486 7486:inst " "Info: Elaborating entity \"7486\" for hierarchy \"7486:inst\"" { } { { "adder_8b.bdf" "inst" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2096 272 336 2136 "inst" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_ELABORATION_HEADER" "7486:inst " "Info: Elaborated megafunction instantiation \"7486:inst\"" { } { { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2096 272 336 2136 "inst" "" } } } } } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_TM_SUMMARY" "47 " "Info: Implemented 47 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "17 " "Info: Implemented 17 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "9 " "Info: Implemented 9 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "21 " "Info: Implemented 21 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "248 " "Info: Peak virtual memory: 248 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 10:22:21 2022 " "Info: Processing ended: Mon Mar 07 10:22:21 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/adder_8b/db/prev_cmp_adder_8b.tan.qmsg b/adder_8b/db/prev_cmp_adder_8b.tan.qmsg new file mode 100644 index 0000000..14356c5 --- /dev/null +++ b/adder_8b/db/prev_cmp_adder_8b.tan.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:22:25 2022 " "Info: Processing started: Mon Mar 07 10:22:25 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TPD_RESULT" "A1 CO 19.344 ns Longest " "Info: Longest tpd from source pin \"A1\" to destination pin \"CO\" is 19.344 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.994 ns) 0.994 ns A1 1 PIN PIN_57 2 " "Info: 1: + IC(0.000 ns) + CELL(0.994 ns) = 0.994 ns; Loc. = PIN_57; Fanout = 2; PIN Node = 'A1'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { A1 } "NODE_NAME" } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 1824 48 216 1840 "A1" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(6.202 ns) + CELL(0.651 ns) 7.847 ns 7400:inst8\|4~0 2 COMB LCCOMB_X1_Y7_N12 4 " "Info: 2: + IC(6.202 ns) + CELL(0.651 ns) = 7.847 ns; Loc. = LCCOMB_X1_Y7_N12; Fanout = 4; COMB Node = '7400:inst8\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "6.853 ns" { A1 7400:inst8|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.391 ns) + CELL(0.206 ns) 8.444 ns 7400:inst13\|4~1 3 COMB LCCOMB_X1_Y7_N8 2 " "Info: 3: + IC(0.391 ns) + CELL(0.206 ns) = 8.444 ns; Loc. = LCCOMB_X1_Y7_N8; Fanout = 2; COMB Node = '7400:inst13\|4~1'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.597 ns" { 7400:inst8|4~0 7400:inst13|4~1 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.387 ns) + CELL(0.370 ns) 9.201 ns 7400:inst18\|4~0 4 COMB LCCOMB_X1_Y7_N4 2 " "Info: 4: + IC(0.387 ns) + CELL(0.370 ns) = 9.201 ns; Loc. = LCCOMB_X1_Y7_N4; Fanout = 2; COMB Node = '7400:inst18\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.757 ns" { 7400:inst13|4~1 7400:inst18|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.387 ns) + CELL(0.370 ns) 9.958 ns 7400:inst23\|4~9 5 COMB LCCOMB_X1_Y7_N6 2 " "Info: 5: + IC(0.387 ns) + CELL(0.370 ns) = 9.958 ns; Loc. = LCCOMB_X1_Y7_N6; Fanout = 2; COMB Node = '7400:inst23\|4~9'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "0.757 ns" { 7400:inst18|4~0 7400:inst23|4~9 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.412 ns) + CELL(0.650 ns) 11.020 ns 7400:inst28\|4~0 6 COMB LCCOMB_X1_Y7_N0 3 " "Info: 6: + IC(0.412 ns) + CELL(0.650 ns) = 11.020 ns; Loc. = LCCOMB_X1_Y7_N0; Fanout = 3; COMB Node = '7400:inst28\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "1.062 ns" { 7400:inst23|4~9 7400:inst28|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.736 ns) + CELL(0.206 ns) 12.962 ns 7400:inst33\|4~0 7 COMB LCCOMB_X1_Y15_N24 2 " "Info: 7: + IC(1.736 ns) + CELL(0.206 ns) = 12.962 ns; Loc. = LCCOMB_X1_Y15_N24; Fanout = 2; COMB Node = '7400:inst33\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "1.942 ns" { 7400:inst28|4~0 7400:inst33|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.396 ns) + CELL(0.651 ns) 14.009 ns 7400:inst38\|4~0 8 COMB LCCOMB_X1_Y15_N4 1 " "Info: 8: + IC(0.396 ns) + CELL(0.651 ns) = 14.009 ns; Loc. = LCCOMB_X1_Y15_N4; Fanout = 1; COMB Node = '7400:inst38\|4~0'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "1.047 ns" { 7400:inst33|4~0 7400:inst38|4~0 } "NODE_NAME" } } { "7400.bdf" "" { Schematic "d:/altera/90sp2/quartus/libraries/others/maxplus2/7400.bdf" { { 160 288 352 200 "4" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(2.039 ns) + CELL(3.296 ns) 19.344 ns CO 9 PIN PIN_58 0 " "Info: 9: + IC(2.039 ns) + CELL(3.296 ns) = 19.344 ns; Loc. = PIN_58; Fanout = 0; PIN Node = 'CO'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "5.335 ns" { 7400:inst38|4~0 CO } "NODE_NAME" } } { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 32 504 680 48 "CO" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "7.394 ns ( 38.22 % ) " "Info: Total cell delay = 7.394 ns ( 38.22 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "11.950 ns ( 61.78 % ) " "Info: Total interconnect delay = 11.950 ns ( 61.78 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "19.344 ns" { A1 7400:inst8|4~0 7400:inst13|4~1 7400:inst18|4~0 7400:inst23|4~9 7400:inst28|4~0 7400:inst33|4~0 7400:inst38|4~0 CO } "NODE_NAME" } } { "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "19.344 ns" { A1 {} A1~combout {} 7400:inst8|4~0 {} 7400:inst13|4~1 {} 7400:inst18|4~0 {} 7400:inst23|4~9 {} 7400:inst28|4~0 {} 7400:inst33|4~0 {} 7400:inst38|4~0 {} CO {} } { 0.000ns 0.000ns 6.202ns 0.391ns 0.387ns 0.387ns 0.412ns 1.736ns 0.396ns 2.039ns } { 0.000ns 0.994ns 0.651ns 0.206ns 0.370ns 0.370ns 0.650ns 0.206ns 0.651ns 3.296ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 0 s Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "212 " "Info: Peak virtual memory: 212 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 10:22:25 2022 " "Info: Processing ended: Mon Mar 07 10:22:25 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.atm b/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.atm index ddb4508d97c9bc2c91e18123b594c1f70cb669f9..75f3669aec6e5bfcc19139f709d24435a868025e 100644 GIT binary patch literal 8927 zcmYj!WmHuE_qB961Io}T-Q6A1-Q8V+v`BZSbO{KILpOpBF*4Lp5)#tg4bl&O*KhsT zbJu-w@A>S#&$)Y_H@6xW>BYaxzu?f!#A|IJJ=pgN8;x^;V#2{#uS4VD10MB4UQajm z0c9~!)Ivq)oDW$H=XSwdQtmP|mmUvUIn<{i-N)_Vln;`wn+dr;8?M&fn`Erv?R;9d zx;?zhxPqQb+Z6Rb!hIGe?-wcuVFY}Vf5s?liz=Er{fZ@=vYWOs4sYIsTo~Wo2Kpt9q>!4g?H$SIiw6~pIi@t#X*_iV zRw|50k^x)ps$wcdcXCY8HPksXFTQu&$>xhoE=o!|SyZ-VC>-v?4ir2us?e{;p`(-x z%l4CO-!;pI( z{6X2!qQym3{8dDZ7|}i#N$yO9)lyjZ>A}v;@cJd}!u-$Arz@`96+8(Jb>aNL&hSd^ ziR5iLMf!~-#U2Sm@l~l9EqoFD$8YkMn{a;e#XvWxknXh=eXb7JLA{M1}_;f#wnKYd2| z;lW!+V_B0!s)QO(ha@wn=VgS^HGapB!XNzK+TAYuDF~Y-LnW4%;OD`!{@hQM*BP}f z#g){!?+o+ag;@LBj88kBQR|cCClc>~n|Jj^8mnMeEy(*7g8Kt#&^1=a!HiKL%bO?N6sLgONMhFRe-~u&qUV|?>Q6Ur-PQ` zecT9KzlB2~kz?<0CJ~8aJJMv>`(W7nS=_S8&#d1hniFNah#qNo;D^J+R!RkA7h_{< ztyE~|4RvFjyB?HiWi=GhkB*7#J*j?wmfMef|G4Ui0mphDv94Yy(Z%#Wq9s-?c;X*|L%6Q`6F$6Me^r3fxGz<} zX`9p*wxVsi<0bZjDHA(RIc=Wkj$U>kEv+tFY(KRTpE$no6NVx6J17-rEtA9$Nx!sy z@rJ{G<`@;lmAoJ&X8Q#5Zn1gO3v{h;(xnda^Kh*>e`E@6;tX#d1Am-zFILDto2iw& zDm)F{Y}-4l0JFkDS5}I<>-#X2130Xn%B^{|y!8(nynC~zUtCf|j$|MoV_nCP_wht% zd%kd~?kwy4%^yKUdfb$TTgUMRW5?LDLGky&E@t`|I$2sj`7WMJxc+V>e_7{mPmYWGtz|DDo~z~`hwbPozsQdEcc zFH(h)t|p?FQ8$qi=ODhoIJY0vk-K~5xI$kQr0`T0-*jzXda(1AHbu(%b{x)9;f}6N z;sqp%RA$eM%d(0%KE3vapE|Ws_^wMJB!F5}UFXeMkG$k5(ikL!MG(?)m98NLXj)s4V4W7>8rA6fTYFw^x zK0Y$b*BL;CwVhW*Xxb6ek*u$4#f~H0Lbn*bNGK?JGRDOG`ul8b#L#tAlUH|Al6#pX zc99sa2^o8lki@GL6e7mkAdXEM;vEWy(UnL#D$ly zP*!xX^O^S(IR$Ps7OP@^3`^R{^bKU+FJgHchtuk2_4ou8EkW7Gxy5Z1Q;;;9)$E>d ze=f?xQu6-WP~mdeM~Met!EYb@nt>5uAo3c~gH1ie0Snm5;|iBNPQw3qbUliuuouA{ z3512E+M)aQt)R}gz#1$k24^KVoF!1yw+8r%Ir*tXKTQUXF+griouI$&RSuh5pZJ)9s%(`z?PH=T+*b@}U&vyQRYJ!qM?#cr@%hkKXQH3`z9pHvHb z?olVnV%yNZ1S90G_E%8(9ljY)q5Fjy49}Z*v@;IqwWq}Yw`Ni5$j@|;`JiY z4pds|TD)dc#iw{zW%D|F=;?Cr-PQdCW_A(JA`21*haRV;#CtRhY~T99S~mRAkm1Le;D(`Pb`LTn|4G&Eo|z4di6a&i!p8Ld&Vq!Ht7baO zMw(%@t}p^korLXk9YMc$KUqsNyvO@E zP#>DvgWM<)u1xQg^&!r0_GDp( zE1?+FK{2UzuW^DH`jN|C5~hxDYJQEz#)0&PKj;8{Y@Oqn*57)v4bYLcdewKcJ&)X! zoU1JUzb*kg1fwFgFLA`4N0vYy+n{LOyu++kT`H->??bLV0}>GGMxotpQvE5Jl`coDSogggC|C|4^Szj+fKSUO#q9t&*Dpo8bV8_Ih zk0~=i(Z?jMYDzW=J+q_-#}k)q*}zr=W0+GRLiNl_6a%14Po=%6y25g!St4!ccoKd9 zgZtsT}Mq4(u3l#9loL@gf|Xb7de23Irfm8k0~R3XHR!Rs+^jI5Bw5vH75Nw z%HNJ}$J93=se7c9AD((Cf9?QN4F1T&8gYayb@Vcaq8j~gz~LR2nxPY z1AB5*jC8eR;hI^~#~zQ3$a!rkbio}SHKhQ4NY3pjA>Q}PF|JR#maGmt2~e^JjIxur zfe80&H9~Pq#o02uR*BY^lTH4tlkNV^$0j0t`?JmAFd1R3uPZE6EvwX|2`Rs}LvbT|Wt2yco>z?IW|T)9+8XxR?GuU{#QoC=ok_JC;MSE_sWph?qJ=2- z-HzHkO853!MnEwhO?Q|rK@bAoM!;{vOMomv{k3umr-ol5rk?+FOvTy(Dk^rTk~ zH6tA27)f6xwmbMbmm|)9 ziTu{mNu51!Yf6AZA$JmmyRyKIzp?{S^iCy!)k#ARq~uQ@9Tnm)j;`lRmMho7{;osK zShvVUs|PUaq@~te#=+{K@Rg8^|6t1_pMXn9<&Q6T&G$^Ez4uVI+YJh6%jKhMt5J0} z`Xm446)IF-(D9rBBeqD&Sz60>U9~9V(M6fIok{Xu;t+YM!4PyE>zYy2-Z6*=jb8RVWym<9? zX8wRlo=@)Q80hhfSq4SspXd$W>;e0WFTPWVc`c2jnzfgUo+Ual&J?PXF5@QbD@@NP zzntc^hR?B8SzF2o%l2v*bn8O5W&L3bJMjEULw!JUIq+vW+Oxy^J1atOg2wrqrnY1QoZ2Q&er ztXeIv+(gU7Ay7yC?iZd~vNGgTA-lvpZuv7^cog@VwvDb$ISx*ni)+v;CCD(T+ftOR z)H{`|sLtWIoPaZ2ssoo8FyXg)Rt@WFrX8DEG)vAFS>H|O9-QDZ?UKEOIPK)a!iLCQ1~RPQV}+`Idp=p+l=! z%v8XTB&f0Y(iVN1ovrh17@!Bt19if=z#AOe zyc@<@OP@)QO=$hrR9lDRGD0StG#Hb07UR8k2zA+-2)6kQH77VSNRIi8G#ftc(wS&Z z=-sfzSc|9yQ_P#s8g$?r*n}zen@#!n9gv!}k94Mb zulg6^I2?Ga=5RcnEfq=(BJwtjl_TN{4}rLcGi|};Y2&Ssq0n= zY4s6m^>TS{XRroqAT2AdW$FbdXdflack|Dx&$%hyddNd@9gbFEr`i(a(?dhG69q_i z0VF8SzxY1umk=>$p-+|G^0czxdJ6dt%o^7yTgReGP20!54WdW*c#qr$3Jsb)i`W<8 zIvifCCh|xWsL!Cz#W;tP^DsEpP%5Um({ZY$s!XHiqM1&X$87T(;=V1fCr>>5bE}8U z;k9-l;>IY?V0J3b@lmV19=Oi@4WPt0I^?(JONfeuU4f@cKhZ^ zgX8|-)yxQ`8PhZ&e98TMAknevQ9t#5_poTk3th&3N9V;iA}Q{t&CfNABxVwwN~CCP z`|!50AoyqHJOWStuUO*c2Yz&XdcU+_DR|Sjn_GL#nU%s_rRJzlQI0k;GT|9t1gh)Uiy_0iP`e4lXsLVx%R{4351><=*^ZGnSmfZRE|5 zNO9G~vL7@?54$+o?tbE@EP}=A1KY;R{(6rOC)eDO4IJ^ri~az_^~_tCxeR8^Tp#q$ z+$D*oPdC5M)$&N6#3}N;Zo6Eb*t1)E=O0L(_(J#ngxiuW$HvhOaV_q^tAkdG*=TK2 z^fHgs2L3f-Ffv=)BU3^qY9o7hHnB(uW7BtcI`cD8b{&evYFDYkKTeYJ~x>*5zD;V#ij})_S6%qaNhe&yuYo1^gzO zI2j7AuzfETDKBe>+1_QXnEk?jGXJKgzOaV=p^_mxnd8oqrftzuA!S3LQzWdA^6sHl zau0jUlbiB-2EDP=qUOpnkQ8GvgL=pmnNzq!iY&vBx?N4uu-R`^0Sxn?`a6bIv`BPc z+Bd>0`NP1Wph!$eVzg2{;?o==yIhDmr(XnL3EtHsyvS|Q00SGmjYldr10nMBTXin31{V){(w3WI2`ug)5 zoW?hI>VvvP%B@6m)SXFd{E7kxG{+-MjV1qjlG#S63`9$(xq{t5aso?u&;RqP9?Wwq z&o@t_6#*leZpKV*tzf^v%Q8jtLTj>kH>TEx9)Xuw3tY^N0F4GfpTH7ufh$anSi9Bg ziz_U(0dT{3d}T#;uANP{i|&&CZil74WGA|mOm7^ZBO}Nb?k#IsvPKr~{+$47g;boH z)r!Q>xI*@8NZ>35^{8Kv*a+G~wn2tqK7p3~gE2uHdIZ_9mg|+y02P0u*T~#s+-JKP z*_fB>wV_snf1~d+;_prYkYYOfjtSd97`x_6vr|ByAZU4Zg{(EwU5M@m-5^l*G^q_H zNWOyK`pJFvnQ9j(`}lx(_!}*q<(@Ng3fKZMk5&Ftk9T)H0Vwneilqm_H2+64t=SyD zk+10e!f8W(TuC_N+1jv{+b^leUixK*Zju7Votq!n_%SoColn5n^33T&6JiP{jg>|x z{XHvdVYiD8>x12QXKq!wDo~AfGmA>qAAs@Z(W}0>hK8R!W)LeqjzhJwZ-mV1KJ^6< zGV;6aAMXpBl?N;>^f!^-r#kcnkm%D7Cj?3IHxO4dLo>BrRh4S_Z8+Ljmh188aKa&u zs!DK(YaawurCq(3YBX&hX6To~!csQ|aWv4s%s$LO03L0nsH^$yAPqm~1? z`~3PZoDB(*kXgBIE?SO!jr8SglzH?wne@vIGp+S75D?d(2t8pDIeocK(?n~%cs;#g zNY2bzxx4P1JcB;{$4YENg5chPUe4Wb`ux>HG}6+RXS@5hxnGHF^!O_1Z1igXk(l*; z2CAK#HtISRj0%1`=wTTS*ky+&59BmaWSdp zD`01Kby{()lN>qJ9hwPavC^YV^4qpN8~)_;gS?7{haRiSy5s*FE44|M+itP!f%5bJ zK2Wu08mqjTC8IG}+_oAUML!zTP%p!Db7GcPG*8%RD8PQN@7XivK|=zaXJc9VAi!Kb zx0$Lfx~{(RRwRO|0F~;Y@Hr(LJ#_&)aYp@3s}E>(q%wBtmQ-BFcC7((T>r?J1O}1H zuUO>3z+6o?!i`p?=&!1BU{FbbY~;|4G4w)bPhG&o?27yHS_jsPsmDL3OPSQ6{wz=` z!m0#*j$0o5@*iG1axWEY+P?3-pTgg-Br@g7ddxDHS(uq9O&RzQ)zSdb7)>iJw8}h| zXBSe6y1!3eT=*Kv{EJz}jzy*%Gm}O)Minfe0$JdYlaaea;fU8{meFG`@wD>&CHPdJ z8W145JtC>9;a9Jm>7WaPc)tdrG89)-wy8ib6l|ZDrJM!A`n)dF(oK+&_`NI2<*y%t z^vnM%ky-0eBGiq`hX%h?wr%P`*bu6IBhC<}S-RQ&34|K&BySUkzFZjmlWn9jfzCfa zAQ23@`F&Fq>3vgf|G6O=IW*Cdr77$(lqnqQHz<28TK%k)|Lddg%Ds=9CEu~e5GiA>|MkRJE- ze4j-8pB?4OVAf|trls*ABd_;>gRl4Y^d#lLORr1TxI|5q!Hv1Qtp%EKy}JZKyD9Rd zf{2f~x$m;+bf0&VRu|&YL8BY5Nx@e#&sK0`2*S!7QZ{SvjR9)RY?Zi4#}cX zSb74?;?F?>GtIPsnd;#|bQ>3j&HeA8T@}1M!O>OR>Y!Mit(vYldgrQTt{@JMC9t$B3 zWvo7Cpa>}cA{!cGO%d-?-IyGSyYUMkb&!!365ZkFQ4K??`uID}(@=rohYF9WocNSLLMf%oluc6(&E&PB zsZ(g6(3in}nLg0n=l037*ROj=c)Qfm(^X~VrJ)*(xCXetm!HO=UNt^GUc4V-7ddN+ zTe4Ot{0t-+QsQ30L!2Lac3vjl`Qc_nXInU%?c96}Q$|V_*a`mG-OqJUVgx7~U7-;c za**w(CAQ!bqVho|{;eoBbn1i`HQ||Kle(y&meYUJqgt83g^cr(C^3NAJ4EQM@0&CG z$Wn*+Qqo;%A#p-M^B2^MWNvJ6B;~?%1bR5A5`P; zFi_0tK%F#~Yfw(VmOdI>ANo_?#4<6o+Y}cSjw@?fs~~L$?;g$aXD?ZdheD6ewl zq%GmfulVMX%U;}aghPX<|2-tPos9yVo>5ZEFw=F}RVxOxu+vQ3_6b7bN@}j4%>}k* zvWbpbUd_V;2=XEr+ulF&( z?}3SK-QZC&1@)wsF7-S#ucbBAXrSwh?%2Zb%3t;y+l>^c ze$KFB##8k-9F)JixSpZdq_myLF3A{^osq5XXIHx0XkK`d(9cQL5Vrp7vM=`LHr3~0 z`{uofjeV7kK&w43HyaDPRm)v%)1l5BMVi$pp$yzk(YgWK%A0#51l6otn~V^AlTCL} zAN_g3+Xd${A<(3!TOjHafra?5dQH;w7qaWWQtMNc%TFs+`vJ-#qXs^I5Iyu6<2i0N z1P?Wb?LQao-^aOQS#XnZ%*ngu#p+2ZuBFqKgc+UcRmXXSTfQ=$&T8p^9Fl9>=#Vx+ z<0;jF0YZ^**N!S1-?lQ0BYeR)%7v@o@*OMvGEQw#bpr7N(+kC)1}PMN%r(yLK6)R8 z^LAd5HvQP@;|_{>Qzu7IwMb-tU;d5Mmx9&%9jj)kEoGZso%p*fo7MU-1X#^Mufecr zdz9(hQALZu9tBiXejvZub3tZBdwH>WugMdr$I6I>@6czE!ej>y<3jg~v?x+=B$>IYxW21Wlm*-?U}ZIJ!u02tI$%cr6b zF7-il$+by;DxnF?ToHUQw~WZZcC8i;4OFm<;4k3nYo${iL?KfTTG`O{QTBu-Rwsi> z(7b2}W>02je4f!d*sjDbclTnP^*zjJ0*NiD9Luj}x$^q(+MjT^e}dhsWavJp|HO++ zZCtAwEd39?0RD&m*HFyNM!~YIh|8ugEXl1w@q=X<{H0igwk2%lH>IDsCG0b3mTTtf zHtBnJv@}b71K}>8FRB2-{`_R+krScG<&0S42a}2nE)*G^PSXN19HYZCu50D=ex-Jq zNe!k6&iE1~i76~mKiecM1_vsby9+58hBzhHn^_>HPr=qY<_I4SXx?7{y~2{c%f851 znxLZanajrq`662P)aL+Xa^SuSOAVw^cn-rZe?0}E@F462mhg=q>^r&{C-j2bU%vmn u6bwKA?jP}qXw1NB?9Xc7Z+xAq*@R_6|BR2P{Lxh-@E?DlP#WNaO8*bC$3uw# literal 8873 zcmYj!by$>N)UC7%L&y-qfPjK@$I#s&AOk~6OLs{((k0#9-5@R93^kNUibxMJ(3FI^bGH3 zQ~9@}lOCU-F;(x{7wgm?me%09T;2C>L9dkMbANm-gfp60%ht(#MNj_?Dc$2WxMakl;2 z_J)K{P*$je8udo#88@xfQr$D{RL(#zupAqqQ?bqpD5 z`%V1(XeFo>adCHKp8WFj%uWbY)^e&*#N`|pJ*GP*M?_ff=Tui@#`UM8l8=A;Zg)OR z7JsVVuvBW=3i+n#n`w==rkv9=e|6l_a8U7~<>R5-4B}6lHeJ=#ChB9lurG#@n=yF~FR_3~HKFle=|6J}tqKz{*y2dsZscGkC%HZy?Or$DuJ_k2c=`8; zAty0eNHf2b0Sa57Ao$0^IF)Ef14vfibln-Xpl89I2g7 z@3O-(03Q+8=djMWp$WvPmD}wJjNImaYJUxodE2odf4tHg#CL*7Jh&}OMfY25jIr~c z&cf5%(=)l5%6uG;R}D_0h4(Lxjb#vmi=sqP>3+bW8>*=@Hw29B*?oE15|1;IljACa4HhJEJ$jojK3kI5gXN?|#}vjzYD#Hx$g-|Oh&)RoFZ zB90G8^z|UP9QY`lgVWFzJoF+{cm2hOD>k~szeZb#$WVR16DF0mZR4dd{@@nh6^>VL z+kSsveEH~+oeD2v9dzi9|2d}rdxD^6c;;(3R>dcmlgM8?T}wzdy9aC&^M?$}?QS^J zPd%pF%RTcJ!-(xLObS`V%R%v1h%gi!;r6eazrbOFsANAzK!0)#6FJIr{X@)F9GCD2 ztWdA98n?h?Ly4<3s@39tnSz`FiqAlZ1n>!#HA|S$QBUd54+}T`UsDjFLEc<>S8Jry zF5F}>=X^sPp4+n@G(@RF$8fhvNTk(!z6qk~ z|G=>nf*87P#gbHg3z~5N?V6*Zb)@lL?|9=E(u1<2C4ToJ!B^*8k5~D`)gj3)*{?=& z7nScuPMGV$o<4v8=JhDX}-wKiwhT-0Z|R4K?S z_!>*?BCvl~NwNXOjn3BLT@2-~8Zj&O3DGJUdXn;_jj7P|Wr2ywDK1?o34nMl-A2F-$u( zbB<~Yj9S!!-ozMv$wT;#Y&!)nVv?c)L*Nl5Vvbl;Zus1*@~i0PMxo5?o}wyh5GU53 zB?TTp%&$Ve-pNvl4&KSp5_A?bQ!XIm2k-Au1+gjb`ArG<4zY~7bHZl8;RS@jXzX?R zt%ls!uJ?RBOk$Z#-<`Sdp6ikP^>~2d(<;Wj!rBxON^5=NtMrWej1J5r}uz0q!ev1uIL;d&$BDINP083nGSQm**;-8MP?5Mf2f+C&qshcA8! z%g)_?tl-&aQPkX|fDmTqS|)+_)79k4=t(NK57nyYuN^pLi;;KQ=XK&d6Yehg=~GF& zOcOQo=h~J}Th#O^@jBPEU2^`3MJ8*Jx8=ytS??kwkEIA(4Km7qmppe#sTgUla;|MQ zQ8Id|T!d6Ox1RMb_`dtm@Rp9AH^s6m3Fd8}eGbS^w`Z8pO8=C&o3X?5lPVWUOy5im z^A;>Y%9u^?gblBYfTAT7O6{ zYQ0F{D76Mum4x`)6m{du6@krD+vkCLFO6FKNlqA{8|nk7Ns!XoTvlfK9LU$3X-;DB z28!GjZoQs*-qbeKP~!;$iNQGaUqe&VcB0)q7!_*kk|7wb zM&ihENw4F~t>xMtH06})w}aJ%Ni{przH3PsN5}%JNIf?nGM@<%UPA# zH`;#mR}1y}LllJO$^ruvgft9Q2}#*2*L8>ih|3v&ONvkJfcQOiJRijvOo%!jOZixY zUJ)fDcPRicx<{!~Rl~%Y6||F5AkK=c)%C|rO28{)rE^H~E}hQh<-QL1+rOYim}=)= zt=PhJrg!tylYTrzUbL|^6u(VDRKr4*ob20_XroRnH75lj{?5Sg`HQbHK!C?7h$R41 zBQd^BLF~UIu|}OK8S{3G64 z3RXjhNq#?mj^Dc=u={CNO6tgBNro!Wq8{ai{pV1mK?eV>;J%CgohKH%Ly!#)wX)pb z=4UucAI{r-amd^E<#P8dZ!~H`vb{p@wGb4{NJ{&IsFLc?0RD4zE+h8 zcOQIc)DvuB)6-trh_DZ-m}egGrCJZT6jWr*GVPgA9)H!Y^IRt~rIg4dG4=W8fD`se zCi1jqBu(@cw2z|a)lpwq%wy+R%hYz$8MY7Ht>E4Pl=Za*ao9CdkKyt{*JXV2Hpa-4 z_;_1|HRtb23~q_5P!nn+I2>(`taa4OmOJYGW>Uk_Zk9(Ps7uZM(AHx`6)ruTR2&ds zwWq3`jD=ZhL&ZowubTEJnc%1?eAFC6gLig2DK{yb+{VV&RCL21M4T=zUY=IM| z@xz<(O7i(R@Hg79-e6i!X3HJXaM2g{f;yyw`m{MFt`w%d8`J4<=fz?B+DS^0WrRE| zGU*Z(7~$`8O+Z)qXqJlwUB7*myN6BgBy_=rATD4J?uZku)nW(gw8Hu^HN{jGpy;h8xhn>9vL}wGu@|m$g{f zq;m3x8QYAr3=Ss2(`^SFDwfH%t3q3%FnP>uc#kDGOU?5b9E%o0_o||qns|xZ1*&x? zmQ^R}xL!}Frtd(#z|XbmC$*=_XSx6ICh`d5t(=!HsU|Ar+J?+6wKomH)QOuZ zu&r&e8|By9Y|}D{lq<0%&pTyTEn+R03yQnzkPApMaG&nfe4O}pm zmsJ!?&kvt59zcqf7e21_+j{c$s(4js+a;Bj!VP%yjE>_S3dSu2&FA38GG-&eyUjSi z%Qb=eas*RcEO)i!_4x@aaeCFSUW8=NMWlaW`ZH2RUp>&~#>1r0v{1OO)|8*52T^=) zBCQo_#wM}trK1)}QAh+C{2dZK)2tz-YaZ2{^iXLL#oS0wH^^0m`H_IuJ&%-!C*mUk zpdwf@Y;a*P&yxuDzLc1$)@n+EIog4)VzWiNY47#IcFUKi-~GLf%r&cT1}}!_Zbj7$U|QmsYIpm`tHWtsFknR$y7j zb2^|iFq(xwV)6(iO>Ud0&tV;GHGnZji@oesc_$R)cE}&m1gKCzEm$)^EvT0cGmU)7 zMH<4AAhShQ$z>FdpVoo%md7mW-~7p1XgmAXb|l7ykX{(0>D1-4bbprRT}UqSo2L^Xd0s9^ zrtd{BjzmWuLJDpx7rMb-Ct)RgZpKsC{PBS}#;G}RUqh?r@3QkNzaBTu@JhI81MZbJ z%a`V?rq2`$=7JZD%?%ToQV_{4Lz~Y=WM3VGX{EW*>v_$Eq|X9blJ%2~zSBjOZ1c{l zmVU0)j4sjo?VTCBTAtcNxya zqzH>Cf+ttAMqa&mZZ$p!9!uDdS<8&1Yr~c&i6&{Y7{n!s-aq4;N#S9qax|0PsVu%T5nYsMF{iz?Y_br&=sYaplw`9B zjk3kfw5jo*H|#W7#NRNL&pmMEgw4@35ePHCbvHpjVGsdMP|uL_J{&v65_S z>V~&AD^NgQsp?&cF}b!oAsSASQkhfzmhk<`Bq)hR1^E1dR4)(8jGGq2c%iq z>u?98sdRZ_^+CXfSF@B^SulBUz1fs@<+Uvj)M>q+K06FWXv%7O)@EJ$W|??ucXv#e zEH%izxWqLuZ|+Cy^6;@Zxue16o*a?VooSdYb%)GO5p|!%H0n1;pEnE4?Vh=Yynn9L zLS%nYU1}XWlMNB9G8>3;KRmOVBk->aq5=V0XS8U#+HK#xrWfkZsduU|8Hs@IP zm@jV4EED}65Z=4`Da(34aY3=!DIFQ=wSCHC_kq- zC58>lkh*2fP&N|5+d&qPmVF(REqe4~(}^Puu_#cVZ;*B5;FKQRnaDn=kIKg1B}SM? zM%6k&rI(F2IaJ!`@aB3C-A@=Fk>5YTCEB6IKH@7RB*JR0(jT?NTwDaE`Z^>)Ab;B} zF21eTKij18SFSCzWt+^yH_>`n7|yBJKU3xeC=ssib+L|BhelY_SLd}33M}?0Z&~a0 z)5-CG^wj{;JO+64hGMjZ@y=A#isjVN>0{103TlAzmAAqz!sSLzCb4Ep(mcR+#bceJ5QP6vE7A>u|h}WL6uR4)0em+p&`6T;l*Gwymz3QWHn- z5RrOojxqBg?yXX0NE4!<+VE!v>00}ugXPI<8ifk+jMC+2g`;clAcJ=5O$F=E$9T%h z>|P%ZeF*`rPSsvNkxKfA*yD`aX;69wNA9B<}=|}Ky=KUL+UYrPdAa+ z{~&4ohh(bRq`kSwT*r66qxDSqAGoJkoi7nO3|2*@^VA-Bg zT%iT{_)n3lqjV`SPd)fg+>3eh*LEtW7$(mHBUBkAF07s>Rk?caSHQBoo7?~H?2DCx zUojfs<>jj_z8JjEES+S0^^cx_QIdf1ABKRbM3c7lDkDLjC-Ts*TqB7_qb6LHpIv}I zZjb`5VX8B}Um|HVB2?!UOZ7;z zT=sGj6+avk|Gn#%V#I2B{Bkk(u~zwiCjAe`?xuj_-RlZV(bOZPNsRf`q)EmaSQDkZk);iv~lTZZvzY_LcWH=>?oSp)n%E2!cO9a&=lGw72 zM*Kd{~`X^_o)b zzhm@pwzYo3_PXrMDSUrSQyM5Kk^AmlkS_Q7D5}X=!jyY-CC-<8PSybdD>DkEx|kqy ziVPlh#W-9azWr%yS_)Jl;DKtTNs?=!cW#{BGvl17d#<_8AQK8)=voIAt06_(_Murt zL}k!$j2EeHa&D)!X%Q4F-jQJ;4;p4n@%mti892gFkK2UusV+uqKtQjy{XWvbChe!_ zDuxltZ7nBu=R$LOk+}x*#o(Wpi=GeYMw{J_#XfBvdEKK4Zdz)1P2>5cLu40AmBqs@ z5jARr9&)MP%n+qIya*;1Q3;9GFS~prVzWlW^uvpA#KWJ5n}avYTGg)Ac6P;A;kRYy z4GRR{w~qd-AgFozu1k1HW2Smq{RT*aucvCP^#34r8%fpP04#x6lI-_J$DPd^qC+OX z)r5rJU{NDXnJL$|xuXiM+e`@K7QB>6e+=~M6-3fwW?+*<-BOwRaZ89$bD9NP?UlRn z!^N+^(Aa$sF2-OIe}_LsGmrQ-i(c|8Er%IApz9InpO^TX1~^r4Zg7B(`lk0?M8C)O zB0I=+orUIo1x>xCL6?lO6fp*Y(JudcCYqqYucTjxshDVF#H5n3XgJFv==Gg#b)_a1 zkepUD`Og-K`@z_&%EIN|Q_+m+Gf2oNJ#n@+|4pi=nUj)2sb+CDGr{H|i1)WX znF;(_{?+D{2pP1|5UMUU=5L_SnVEK)8cP~3?*PC?u0jz&EX|5tAoucNlezjeGn|^flYBZ} z-XB_y#48*^=9^k*85=yOWFFEVS1H*7QU)8YTLR|!RAe8vfrGOFVX49*@yw&(t{q**8j7b``z~fP0Kq5m}5{v%u0oT9q~qjeCbqZL&U>^o8Ih~j@Cl^ z7pxqwSzoJ|q+9GuIgTXY_k6#$TKIt>Ui*B)>Zoecs>6S7>D!G>b$-}Ke@fgUk@IxM7s}?Y%UbOKpMFA9&k1)oh%Ox6G*P;$q|XVfW94nO8h~ zVYXN6!VM6Mvu5VYF`uj5xRLw_B~1d(qFL*&tEy*ffM(vZ$V_*c5wFijWd6Xm+xw<$l()U;MOhva483&ik6&)kW@f#A1(6e(BPU+Aw`g zUg0m`7yO)?<@{oqUtYo0z;{BfU&7J@y@*<#%ubIic|$CU>P3RBYdHj@{^|$A0Qb^z zAPrlDrGo+ozhHeZDuu{Adz&gZAvvn+z9>q@1cLev{ODl2lnw>cB)RR0577m@xvHF fYq}`Sn!U;9f#q%8mt;|@8C4xek9f*`xVira>+@jv diff --git a/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.hdbx b/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.hdbx index 88453f08844cc06d89897084b9e254afb9195aaf..94b88f361e9d43444967944f99865726b350c578 100644 GIT binary patch literal 3356 zcmV+%4de2x4*>uG0001Zob6rTciK1>elCB74lmoDlWnY@wx?$v2%#NzlE4PiJ>3_M zF(!BiFl$4nnK|v>zPhqagyVo_mT7{+Luo9_*U~5H>bsw0`}7nwqs3hu-cFY2b@L6v zu8aRpEXzfW=`x5tc{*N1v9}DPdF}KReTr5wS|tIB#w9uamY`9Pgtv3FjG}3Bf~L`J z=%ev!?!)N>feCLuLeX*(#3+fz%U``XP)XSp@MpM8P~)=OMGG%p&Sl2b3|zc6mFD#3 zcPLsd7po;2htnWI<2ah7sbeJXfyPlB+(zO2R$cu%ocq(&2o6WV=g<$(B93ljZ#ILZ zNR}v^t){9fsl7M%w^2n;lE@FeWiUdc$Y0HZ`7+HcFAeANUbFNN>J)rg#6glw?@+QD z{wMI4%EB^I#gf3y5@p(t=A$qLCo6IWYd0+!x{$@3OTgjk-fEcmakx-7Y23y^07W>- zFS!hEJ=q!odY_@Raj}+P7B_7HZ?Tw$zBil(Xqsj9?z64YI#C9G4VM!Mw#+#T(|VuC z0((nzmv@RV0l-x)l1SQItYp_nI|~@l!A_erZG{BQy!mSE`O8%-p&j1k6#{^yA(f=6 zkR}7#vWs{tS)Mrj4O$~imT@>-Eu%Pji%@qOc=EP!FqU=9AXn{oU)^;zRf)%|Sm7}W zmR>kb-qs-Z=U_gP=YB->^uBdS9Ld%n_;H{*!H)>lEqZF%r^F%zVf+Jee&BY!_Bn_X z7%{)sUS*X=VCSDJ*`ZenYPaj}YOltt>2#3ggtH&BKi#($I$MRZ+JtgsiBk;r<&f+J zvFfy4&;JDx)cXheS1?4awEuv`%-CSQnhgV0a%l@r48fe1yf%M-5-J9#?(e5t4CkZZ zi#&~m?NCAqf%3}{qLrV%t9@0t!M{l;0gHY4UpnY(dBPo<5LB-NGIMVhpovQ*zE-{V zN~XM8-YuXISDow2#)Z7atMSykO=QaJn_laB(7Niku3P6PsM)#fwl8GLdArx_d`uJD zSKXWb33`9sxg7L5H`mS93F=#=e9&)nyN$Dp)*C3zP5Vma9H@IVJ2zK-SvCt!CH^Fsd4qL%>)q@2WxL=0 z*c$XdbzAilRBtwVvM{Zyo=S$4pIfbNU0F_Ie=v>w)P2ZS@J6E`9yr6d!_oLPb50P! z7K2{_Kg4Q^KhMYJ#hMchihPK3z9x>d7 zp>&`*hJ-l<47tRR9WmU2p-V*uq031DL6}ka5y2e@3T9;p3N8u=!h9l*2<||TvV1zB zqA;7-Fq_y%1a~0F^0`D5W)hc4l6qu?yICQz)~t{gMzJf2_SumY?q-E+M~I!GCv+`| z;gJ>YW`%24hG6=|fnJ%}{0B`4V4UP6uP0jI)?6u1ZB1-7sRZZGjg<$zN$g~IJY zOo1&)iFZ4ep_qRL&3A!9tCyst-!49$_c&Xe z&YLZR`sJ;DAQhCo{oB0Rt4zZstKmT379(v;xa_wRPT>c`kDO^HLLGAWksW#hv-X6! za6)AkaA?C1WMOb9RA!Y1Sr}MhU`4=Lt_*;A3RZx2P6TA;a7f`Sgo_gjX#x(x1x+Xz zrC^1EQK3qptblo{vcRDrP`|8k8r?zrs@InZf5aW74QK9*8qI#Yb2aF8ptY_$o&HwG z^q}D`8_ny^`}_g+H`9;-Ffp|r-TUSNNlhbA_NvX%>q z7}Zv)3RqFNk7X&W$U%c;S&xE6cA`a9R81_UB!ZZe?GP!Tg*CLOww(^!l9KKw@}}c( zJC4S(7x@cV08~HiUk%VUx$bO<^9-FW{!5&#BT~f3+S$6L&ej$O=4^@cqP@))X2*ArA6w*G(^n4m7` zU~7X4eh+tZFndxA_~M~<=TV{+YeGpACChUkZ#w7H9h7WpJZo>;3awZO&Oj6F2 zE&3O{n5}s+Tv_!H8_I`R(A1quP-Rs_Y$_uX2q98ROv*wC#&i!|Ssf9Z z3W*A;)I(=G>k4@3OJZ185{dP4R(VV*v27iehn8*lqCNDs5o9VQs=|f!&A4oPA=5YfkjiSQ#8gYg>2q-4 zNK3bq30(;y8(J!Uj+@_~SW9&dD^izj_@X_|Him1crK)#hs(`>PQ@XgTkDtmasl-r8 zP1nll{Tq)8{8ry!NGhwN5snAp`y~4&GCSvZo-0u58f_1@*hE*&G$rr|)H37yva? zP}Q5TS@u4rl3r%%y{xkODK*qj(}h%e|9-=Q2h+C}(#qM47U&#ye~MogO?7++%hd446YK0B<9nER@J72SuSc*vam@f}Xe7GLyDKD4sZAvKf^ zInKAeb6)oJjxK!A4dKvwj?VUpg+uqSoULrb7wv7fQ9DE7P<_xu5n);;?g*@ppUMh{ z)KEBN`7=qS%i{WWLRwkbkeY74Ujn1LY-sZrSP?;swpT<@}t>8{y)-^yty~M`z^@7=sR6u!Gs{xro50Nibv{uz#>bZjrd>` z-Ui7M?mir{aWEX>U@SX;haHbGhXU_DZOyR`ad^($%Ud?w$l5&eTT8ik1; zeGcM{D*ZS8fb?J*4)@&$oKg_Rgb3dn^O5IrpV$r_Gsbx^vZ#=wfd3yDrM_hoBlgTO za!WCCDI-JbGRKjZ`;7XOb9?BzL{8QLr&};e=cU0YSOoJ?F!$31^m~*NxcKYCOv2VP zu}3m7gG|gexg9pK#0)HP$G{Rbu_Pk~gG{bTCTvo0Zc=a~FpVIfCYDUf#2k~_nLr?U zlfmtbz;sP4nY10_CYg-73Nb0TFeo@TX*+7tEW!jQZd5xHoPUihwFx9#m%2umyLeA39m|T z_dlWEH$x&MXEPbV+(F8(QT&Tc3C82VUxuH9d%C#QWHt}!cC#5+C%SbxE&aJ-0;m2f min%5V`zYr9``hEo*5uG0001Zob6rPciK1}elCB74lmoDlWnYvZ__gmgwPHzWT|R2;)#~Hq!*dLuo9_x_pv8eflKB=_%@l>$}LmxlPdP?i++{ z8~>GPnvFWk#ETq$J6ea4llWoKIz2_7!Zbo@?4fW`6XP#2ntQQ-6QCpvm+=W&hBv;8 z7HQzZ?HEB4PB2Gda_dDX4j0L9PUMN8{0aEePh!-$91hXiiIRXv%#wkJ%cQcHPH>09 zG+Cz!TKG#ZMvEw1WuXhi^FWI*@@_&uxDijk_5*jB&f#+IefC`st)uWJa#kw{3VDhA zRk{>a$oxCsW$cy9U9g5T0zdSb) zDI}pN76)z>i=^E!nEM$xd69Eihgr$c1utg60f(o@=`405e=RcU+(e!SMK~!Qx%6%v z-WncypQE(#uoRycH){cByylZ5g1rm_K&YCo9g&3`zAYC|al13cb*wvo5sb<80b?RQ_@vYMjAi!>5=%)P|%m+{*c z#Qy9BbAIn<)Xws4L*SgZ{?v^;(FuM=sIAdc%{(O_wu}4juR3 zh@kP`&_BEx>Sg^0Qp^*Z2I*?%iIVfQ;KmG+lY-0S?{7lI;MRS9a*BR1_rCDk*f1?h zC?QaOK0>7O(|4_}0yp?~>vO;&m;aXy`c@Hehi(aKw*i^JS$XKzFgd8F+=(2Ocvv{>wIyW&7dEFgc4*M6q(e%7O?hZcoM!oYB)V~^DPfpPL(cp4A9$b&Q zy%RJX^`_%bSCe0QeXm8^!z7O!a>wWCU_QE7T1ZEd5fY+)`qsTeuUnbH?XZ7Y3~ufxZWRQkM4;bas{URCG>wuQcR#^-}?--RkyH}1pFqCM1=w0CV*Y_e6u6}D7ZqU;1y)_R z7*b*4E+l{#SvtdM z|7twp0VP7$V~%(4YLanIEfHl+rcOhh?xa7snhpohPNTtKvXhdwn(VUE9Sz=JUuCHj z&Gun5xE_{QWs`Y9;wN`g(H$ky0ebO+IB^2kD{r>NSseMyjfL7Kvy7i0syKa9?Y_Gi zdIOTOOK(CW)%4+htBAovwT3#>)>zaF(%;3+!~|1Qv%zhm(vD39D--h3G=UY_Yp^u! zL9obo(V}9dOElrqfr*lzaj2lBOK4H)U>9awVLnob*Nns6I4avsvS7af zG!hl|xmKP1DIbRHTg62wYV*(Dc@>$n2kWgoojlf`>!0&7@HTwPIh5K^eSC1$ZyfXK(fVu3fWpl zh8QWy)~+R6UE4FV)t+E-+GDoaq3rbUj~~-0j!d)cY)N*EwZb;GG=7Y|W}6*o8EQfp zTeuLyg*9J6i%HyU?loFFxY<lqX8Xp?x^>Xp zykq=WYD$m~no5V5LeD(F?cdX$g~=U#`5tU+*l(Kt2&7SwTy8XtFbJYZ1Io{ zC-FzDz>iMi^LMiyLV&0`iQ>C(GT}t(oE}Q#Zq`^QktjNe*={1ef4{myV)Cgv(pVpn zsQQQ+D@jbNJ4S@%%_FkmBfiXa?KA5p3cAVKAKmmsE8C*_I#l02)avU(HRC;!%?EC5 z>|#(=BUOW0CzH*%?r0&F5BreD8mUCpNX6OvZa}29N6DCM1QFRtwO-=6_h&Xz$$qWV zt;0U|y-VLJ8mZ!29>sFNuCuu)l}ArwZB(LYqh{OW^!}TJD%>m|EhLRKQHi37YO;cw zO{>mwmbV0nY@rhEaHv^%DPZguHS;z+Xl~v$eiSWK69`&JK?~PyLC9yANMj9DqG+I6 zA*k zH4mF=cBwi=%TWAahtR;Z&eoy2JbD^y8B#^dkQFZ?)vXugLxi-kej!yobg7pwA=!1b zEQ2N6h0Hz}R#q0k_I|N4vk#h;cMTrZ^OhDXzrd%4l{dcqtFc}oRrCt;pPPcWw(Gu7 zgBsEl#$>M$|B#)DqgnX;y==$S&lJrLb{|38<4oWNP${q7Y% zCc8|r!3+c2CacB>qq+DgS|bl%&GqTrzwzP(a-YrE!kf*ox8NPX!Is0ArF)KH=yc(b zSy3owwO;dLM0strcVA^7vgWR0F`u25aJaKCZ@;XTn~;!)Ad%85o^V&|>5Uig0iNu} zL3^P3W^MJ=>Q~dy#YDbYBl~{;j{^Pwz$oLEO^nzJ$H=b5$fk_UsLd>kKkhQ>Qe8J^woUk4?dfy} zM%lbHoqKC9n0tYnz1ntC6H{>?+Y#lmwF|Fd^5 z6n7?>&p>jz`P?f@+%}((9#>3I(|<-WONsCl#k~Kj_NOmuMcP-3+iIPjBKUW&iDGfx J`Y)}-Ij9ewiA?|i diff --git a/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.rcf b/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.cmp.rcf index f60c384ba5d8bd2a9ff5e3c50a52e8b2b54099d3..0b52b28d6b5f7adf565298b629e1ef44afab9914 100644 GIT binary patch literal 1761 zcmV<71|IpW4*>uG0001ZoV{9GZ`(E$evW?y(Y$Oxkn*CN6ZFA$9Kc)RG?%vXlVK-r zX3Vt<$5~OV-ESX7krF8#N|Y?GFU64@9e(FKcj@@}7~O4NcgyuN8f?}tyX|bb-o2p9 zMfbm!asY&CtF?Plno&JjQ6 z^jo5KI{%K|p*Od$9LMVm8jVcFZ_YbMPYa>%9U8u;gXosxXb_!ue)6X;pV$1U>)Dt1 zZs=irw&d1gKfHf&tY$TvFIN1{Rs8?->SoZpnqG~@!?Qm~H1Y27h|m)RUKUzkcKmOD z$(|d<&pkmGy@%ca{d$77oTA0_>t-AOPFy|jbdKiR+4}KMewkBNuG>@+1wskIKfDSZ zKg)mufx08`<&iaW3;lI~uNcinO|OgX9M`~p1IMXmH!MY>go9ePUo)o|MX zaCterOV!26bl?fmH^oSbcd^)=5F#sREK`=qOvyna$9)B4-qHABcsIBikB5T?{_99S zEls7i`=G(u-o?>PowEGiRkA+1#&wK2)G>8{TtZJT!^di=l3BD!TYLL-pbn)hwLOEe z6IKa+pFY&4rhvYFC(580H}r`(jm)@ZG>h9f>=}=1nv(-5L%z(s2?^u-UH*g7%``sc z&4=^`85zCW;3h#g8iVjgV+fyS8w)zhhqH^kOP9M5OQ}r?+x(V5lr&121gv z0pR^YTzJjFGpid=&CfmEi8)qJOL?4FPm2o9Yq}tiIlz}wvlJs!6fqT683L+pejy(G zJq!VPfvRpP%__a8L{hWbF_{9&;yW%`9+b_40~;h(lRewG=l>C5k%;k%XI*oG}j7tpkNwBK75u$OZtt)C~2cm`=)B&tW& zSz>iqqCqK5v}7d|?K7A;X)6$QKwSV+&eLGegYqHE!YfIKiYOj!;soiIM>Y4$CC;AXZNcz%5 z>vV7((pY)4u}Yt8vG>R;E0X5kdJw37(X4*4Njgl3-UDadk5<2EtpF%*J>brR9HQHX z8-Yg6cHWMJ8hrqfeSoqfVdz>(AY=wV_&v0rn$9>48E|AHOtEwTv%B3>)ra6w0w2u^1iy@ zc6mbzx0CzC%2K(?-78Hhe5mDLn!Mqv z_r-{1U`=mVZYtZTRTgc)8rFT09YPVTy~Lq%$EG6K&%Vd0@x6^@$Clj(5qYgvdYdxf zQw#T6pEsWvi%Dj__zj^lA5FSXW|-If7%Dy^c3)wpkSfeuVcj;D_Lc$3tt0&p%6>uH D;m&T% literal 1606 zcmV-M2D$mH4*>uG0001ZoV{9EZ`(K!evW?y(Y)*eLCQmy9rVF=9KgGd-5fpgWXMOe z#=3SOJ6jan?r&d_qVAzY9d2I=l8R>L`{vTn=jZ3>e*b#h?4HqZzdIZ~ce6Vl(9LGM z?jd)zT6=S6ap~>%$N7uv9XH3#es{V2`f}+lzjV(1zy9QHj>q*5?RUL1|KII?hi+XD zVH*+LqqIjEqL|Xuvy1QI*^g`Q;J*%aFEJXtZni5#nTfFVme_A8#$EKV_Ws!{*XX^w z{2Nj9@CW*|UZBxRXd&MC@$MFV+3vq}I*0X=@1p1Je&KHCEBEN4e>-Qsn)7dg*!ki+ zdWYWJz4~^%9?*E47X0R_bGBLwdGFBZJsmoC6gxxbs`Jx-`{sG)zjfz+<)^lRac|?J z#eNw7VJ>FtF4kNBWWxWSPiDjZWIh>BN4?MF$$r3NLN5@sD`Xyy{$KtUzt!Pyy+GIf z$Nmuget|rnp!NJ^@A2ov?p3FAw(#8D@-KheC#+C%T83i@83g|@N<{oDvYKdF4H{i$ z^8i$6P{rmFla&&bCOf)BTad{XXfk23&DWqt*A;BGV4KYo%8+(Y;SVPkBETXgGL-ZY z{XjKApEY5noHo(T3Xv4j$Zc!dtre;UWVTUib@TjWlo(w-JqdqwxY3i*eDLAsW^^Ax zjD2bMM(;Bg%PtoVAv2ynj_!xE>2x%F4F5KA4Db++jK)Z5$dZV$28a>u^ahqOxWZ7g zO*ernrJ%ao$WgjFW;4iaR?2xFRH6F{BTCF)QC`BS|G;m#}Rm}qF zxrmk9rKUHle__K#kh}V9C-;EYl0*zf_$1pFU^f*_`V(`yh9T zi2TU7;ViIdJOxb}Pa&HUc)%7>Cbh&*#FC0YYWl~bHqeZsVn3tlgI!-!Q<*Y}BO3~u z?k`NS3=g|Ow;@-ArJp5s>S0P!Pg67fH0?%DbA*`WHL>Q@QA+_C3#b*ZOF}00X$CS} zA2I@wE65^BGDEY|RECu)R*N)HOHHNlq6C$eTv~A)3AO@_M2sidB;neM>7)E@u>~L> zMnq?d4!)iBU|Qd9s-lC~QK⁣|nH-pHe%=j+4`pHPEtvomfv6k_9Wu6NZs>?_fKv zs8L^0ru6P^p?8yfrpnW7HqZ+OFsMq=r;o^~1l#JY${%3)Q1th+%33v)P0tO4wzf(#UW1L;v%Fa3VT|SkBghydOenwG@z?Jp){ba)$Z;3 zEXR#0%TPc~7`D1!=~T%}a9ZkC?R}?bh2=&Y?Ql|H7tv|yvy==VN{EP-Gzl?5e#%cQ z!a^7gE7W=u_zNk?N7a5jt%$DMFk0j`OflgoA1GI5GAjyTQa|w-IVO$7hdt-D8cOP< zXREUiZ9NM?!hnI$uMy@{&3KfPGMxMw)o1+F&9Ne8!P?Gi6*_PE?L&JxFDneVhB%!t z=sx1)(vlYw+9Sw5hMa(8oxrM6`0T>M2lrDIyrHa^Er(->6Pn?K z=IdaA%do=0R1Blq9z{a3@|>JbR-O~>`PTr96PAq=rwS*9_c#o`$kB=M>Nvp{IXbB_ zmrqEh(vxVKBPWC5%qLhHa!#n7Tncjwobpf6=7QwZYI3uHu@qoN09Z8B2>>Gzew7<1 zqp)#;??6fUytsNN17GRt%)fIG(p-1x$T33@nnpM#)Aq7rH&b-RI=Q~Orxn~clJ={Z zB7}5GW{#F@)9(j=RK|#v{E19_5$;s!G>VH_XuG0001Zob6p%bK|y_ezt!FOE1%1<)le~YpU)8MbS3XlBkf9JI)JB zZObjq*pf?2Zu(ATe*2sQN;1U;wNbRd6sr=q4S-k<@SP1fz^6}=GkN_!9eo?lr4P`QRUnkT4d^8zfe)=TcPZm>YF&jveCr*j~nn{m?+34F?nolOr zvn%O&@@@1WJuSu$m_3uQivIXfn#_lTsWh8B&Hvq>4p`Fi3;cC7pGkJB(~(~L)A<+* z#?|1*>#E|C{qc8cvY5Xv=F-#Xc`%cnrjwUA^+|#@q^HSr@NF_0e`8;N7>ysE7mxVo zm`*oK2DT}?#46Fe|>!(J@mgm z52WX1(f(VpHMOo-1^*t+hd^v7`7(;feFYuv&!z9HMKPKo!PzKg6R7ib0ZSuZELcI3 z*zuIcvoMoh`s2k@|6#tE0%^Z~UkwNe1QoF)HiS4CsSQh{zkvQsNB_au7|rI>(bvU% zGM)V_NuB3GADW#Go?whilC$}H8}53TtjAA_DWk{ZVBQ}+&wjqd(ti%dkC68-sTQ|e z!^B6J{pevjV2j{il2nuFC)xN!WI`lG`GuIjXj&~XSp59A1+3%6O!BjA)=?ua2 zzn2#oMJ}Ee&(G1a9A@4vf3<#=rTSt7^dMA|Wc`zZ4Fgug!IZ7$PXFP5BqD{sN?!(F zB{yDJP~oz!XuNp&I$$G$sv!LZgk`O4eY}*xlyl2Vb5{gFud+H6B*ZDcQZpyWL$e~FT8adocbZB z>)B2354RE3?;~VOtdQI8wW7Kmy34+&#}^mqg>JC5K*tWcnB#VcWBx{D(=n4-QP*pE z?Heosl!%kO_8s)6QCoHt@_|ZC`#y~9cH8gT-PJh4ZUEl`?Hnj{6WCp#VAQpP8yAW! zDH}r348HfAF6L*Poq|x zS?h$WakL%R$CO?>aDg0mZeyMEC5+ku{O^R36Y|Rhjr(@J7vA%88+O+=y1tT~EAz9$ zMn<1{ZJ4u0)bZLG9l}btv;feZxVzLEs0xw8-zczyt!@YbThK?R336Hv$3x z|60?ab{)9CMXKDo{bR*J+_qhBy745~iTac2^+Y=3dN>!M-Eyx1`0mC>*M0yTo3pGE zePgrvB>;ZY#2$9LF_Xh7Wwl^F%fprWa|qlw{ut-G?E|C15&40eSPskFUwdsQW^`_= z<3jVjAd%a$f6p!fvT72mYEGjb*qK{*;Ky8qDXh9{&xvP$ z$#~vM;Zy*2ycRO9+a|7-Rvmuy7|DKm>nzg&fO!EVY+!lVnW*E3UU#Jo09nS9$AMIb zZ-EWnM+`S(8DyN8@Ku4=*p^kBf;SA(Qrd-MGL7OTEC4fN03&U@=0)%R-n3 zyz^#BqKgZd_{6juCZ=^U4MrHJVdpO{yfC_H0{3gKK7<6ubv9E2|4X`D8z_g%0C_yZ zZ~gYVg*XX1XD@%JVEH`*BGC?2KPUX2gOu&O9-FY4ZRux{W$z(HZLVisVw4O9m@ zLrbg?ScGe)0;9LXn4xm=l6|@eJFbIF#|~SO*L8!|dK5fDvwp_oV9V#redv9;zez9^ z)@ajln@PnDNE&)K$O%CIs6&Nb`*Zpmkm5kj4aKhGe*t9@q-HYB@uC%fVhLdrW+rU9 zUn1ZSN%jWJqV8{Y0+y&7ux@O!Y+M5%8-7d;o4i!t>2OlJekYd3>;54kVy$qw>e>zW z_vONib!qQ+m_e`I>2=qT+RTHM&CPsU>FjTBNj8ui_7ZTtG1$Oh;13=zFVaj9(ZHDd zs(b|Uv=wu~T0(2Ed(Cbv%I#*)P3R3BpOG@bbXngRud91qrmDtW|Coh)1@s?-hkNpd zdn}L-_m(aw?n#CF3iqeMy|Op%5e?_YJpowDJlxX+?&aLLHw48!t#DuA{xrBJ`{Uj? zKkg~OTH)bdP2gV1jeAk`e6_-Th5OUsp6-u(0rY$oU`=?q*AloVxp8j^ihHfXeTDne z;9lJy_tyDwuK}zn5BGWk_cSl=6w$T@{n&Nkgw)P zz9J~{jSBe{@=t?&{Q%@E=SRK)z}9%kHxtO$@*|%JihQ#|euezgAm2Cu`Q-e_Hv!l> z5BXLC`FeijQ$dk$RmiW9e;VYQ2OyuGANiJ4v$@Duq;7_ z1b!IwV-CJx3S$aXa1sqp*4}V3kJg~?9A{53u~B88iID*+T&J0mI1Oi0E|`c4IHEHA z&`B;Z3A1%xfk`wlDf0ekI(pCfWN1>PTAh!k#2K@C2AVWPlY(fnxn-+-H0g*YifGbvp-HRIRG}#YP5YmR!ew-3G*Q3`_gI9I zI1gnLE}9fHn+Tds8vbS&xgaIX$%zV5!a-{PqekY@M-EN~DHQ<2Jz1Yj7&H2t>gd9XTI$SfdAmul+&@dcD2VHpM~R)mKsbVz6!s^0SJ9dIJL zDhi&`QLyn|fnAR6GdmszbUXIS*2~fDdU?5--DIo`?;b!Z2KUKI5ZJ&qJVvzS4Ku7{ zD(_fErEOzWWGkcE{}2xY=r&H3!HL-1vzrK(#Aw;!=cr|O61pvlWc)?`m@L00(Z(0@ zs_YNt{lL3nS32x&WK{yXge7Wf?W#sqOCz;J=4vuO)MUt(F>8aZ;<|MZCQ!>qcGm#j zxv+6xLgvi^z*TE?KEF+zN2+JATV`IXxwIEyxNYh1{?FH5_CMca?cfRTq`jD^ns5X7 z6f3E{D111f16VWjX)nT918MDrlv8`5CDdM^+p&YxDMz=R@-pF4D_#4aqGEjI^hy_5 zITV>6q$sWH$5wc1mr4~we>b%YPlRy=1xcO=%a9WW$_Xu(+EpC}tJJP6wy^(cFzf86 z!2m9`Iv+@h(_r-sAejiHT$}BdFku!IkVFF#k@pAE(cuZFv|j)+S>9Jdc6(n53T46$ zu;6b-PD@7ATgjF?rKPiE^m1AMn0Qe z7KP=O4)p(goBe=`17#s>b~SU)k!ZX390~FWJ;Rs0uL>hf_*VMVBIvBJXaTb2&<|9tPl{$UR0(a#W_ z)VpA0Z;+U~H%KTl2t8jsmLZI{F0C(`<7GKPv_G@I^iLtMk6XryA`Z2z82JX;u z2JX-*aHoIBl<_l3uY#>tLkWhfjgX5&K|f!FmQ+ad;?7e?r3~Dfv4Ye zE0=enx;v@zE@ZKV1FmsC``t+t19$j9N(Sy^00|XIL(T=H>f(V4NLi1E%KHOJh>Hhk zcHj=(BXCDWp{$~yHS!&1HiU_WOB*rejM<^(jM<^(jM<@8%uZ!{Njq#WdO*yMu_LPU zINOU|y3g(kM{|M}6tlx?MhIUW&5qfjd&KOh?8eOu)p1`jCyWu67IWo{*-@*Qor>}9 zWV{E&>=--D+v6B7dx)#xn4KRRIeW|w#h4xL>t!?epBZz~VZEyue;&BNq!IKkcxU0F&F&o0f>68xf|9rJ;{{RQ$ z>~9`X3<%%@DH#xu0VH&Osrk0-4*Y%XFT09Uvr!vJ`q zjpPt*3Kho1ofd87jB!)T8RMpvGsaD;V%#dxwo|n2AG5&N;ps)2$t-zx`eU_;QiN#Z zG{fD(wW#vGqRq;*+*Em|fNIb++ja>ll4Rcu=&Cw9t-{exH-Upcv) z(AcAv?3MchW7{~baHWK)Y4UqY2@nN*14vbN7(i+s1Bi%vCP6D_;DA=nzyYnCfdg6< zI8X_;U4l)O_cwq@5l1OBc6N-tG0PqhEGTe**NhMbkeVGNr|t}r8)>-=AWFoX;zL+pJ?&#sl36jfGCQh_?T2PeJQ)ZOYQ)X12vPw|w z6cqd49zb?oA8?$YU=JUm56dXfQ^sk9`^u=L6SW9IF&qu1{prK-yEG(Ht^fEqm`3K; z+Al~q0Oz>>GML3o5`UH2e$WEuJG7`&v)?4dY6d^Bb?qXt-NqG)g^t%mM0%m)-^Gb+ zfm}(~0rWQXdx7I#NgZI);eES%>xN!E0$A={@6KiHsCFgQ96LnT z&<Z}K>7ObAKBKW6{ zM{I99cK23_ck>UKaXgr`eZFmn9T;Fo1fszAyP0#38~W*KYS~WUU-#N^C9A2r3H)AX z{nv7uHaFWfRjL`PspC%jXgr&<-S+h;G3S>!`E9t|0d5Sf-U~cTObzki{_}fGv0t*h z>M==oS(blUri;^OWwXz8>!Ak4Aj#;T?StT~tnEYDgTESEq!8ip4ox{BgI#s`54Rx= ziLP!Q7Ee;6o;lVvsczi1i>H$syErP35YYIKgh-O8m=SB6Zxg=nh&e8ZlaBar9#*MH zSS6cSRWtaDDo~$wy2-`0?fiw9=jAVCi|wuO_=`>pLz|(Wk-r!mB`ry!6QgY@%r${l zuBL6STs=bp(M9UByvZSq3=Uxk)MwS)?6bL@LzwD$ImEUtCoYFjPlFe(JU%Cf&@(wi zOrm1su_@BZwKi9-m4P-(pg!vjpoU&`1=Z$MUt6Fig%Q&a(;%q}ENmR^; z&2w7M9K+_MBj!qLMI`fC)l+EAvY!>94Cs^@qU^GuuDqMp`JFiIKQ?bpOa5; z7-o`01xaS14C&OynUZBmD?*vlDNBp&Fr}43wEx_uv~uWnyk3ODxD*6LC{x-@O>mYe zO@uO~Q#-mOa~oPDQ<|oHX=J-8P0p<5lC5ekSAJ2eILnef?rEOMvg91aOwyfeLz#rQL@8* zK+nr32tAZf(Bd5X>`>&{_ymU#NfH$#nMHCR(A4H3$#NeMq1*>4>yqwp9~9%T%OCM@ zXtbJM;Q28slKViHQxlx!KAfQfoKMl%_(N(y2Xdnq^8;p-kzNqmXVlr48+@ zY{J-bvkTvlF3_v5os&ys#g`eUIiSQB%R<@F=~&P#JDLh*N0Uv~rtYw#i}76LwxhQ> UwD@*(fo@>+>~{431O9@w59Z2~&j0`b literal 6006 zcmV-+7m4Vs4*>uG0001Zob6p(bKZgA-#cPq}J_4wBGiN#D9jOZxOlvS%;f=aX-bOX-9C zQBs;stEoXljTCPy8XWNGMX=^ zP%x?nf4r?KDmj{dmu9Qw%W5e-OrFLI>0v&5j#3{aXhV9K&Bxznlj%41>kpIZ-P7tG zzuu4koZOA2m-+15eDwT`Ni*ot__M@Ij z9q8s12#&uFR$mu)^T`Wq#QHWLkFg6Eo1b*Y-$pPSW9f2DrJWzg%0qXf85q61JWcLK zU!TU()4J&Bwb+(g7p#JRPnM5BY$*9WiN<{a9Ud*E@0&$2Ss=mLC>ArQ^JN7~BU&t2 zL7dpplt#0#ke)}=)x+p+xtarMzkc5g2nhrgu_QKxC>f~@OJuZy{>&%;!P%HBmh;Ki z)p9mp{47cRr|}4yosS=2jBAp!`FkDic9^Wk534z&$NhLYnmjFjKF893j;HsK_b;gt zwcEhNdzk(3Za!v<;9ru|km)Dc_(WtvBt`y(kY9+>hz%Bh{@V)H@oFKtZsYv?&+&YL zVEW(lvy>ud538rAa9s{FZ`OY{f0m`zY6A2iRFh==lY$KcR)g`Jt>*sd?tdgA1;0vP z#$P2TT3Arwx~_1#dj2|QBZ8_R{VP@$OWjt!&BNhh&TC__>L%0s@n4Xu=!QuNB?P(- zsEKu*p8tJ*{xEu;01TiO`}^I~Y&wnzarZcRK`IR0-XOfRx^Cw-ym9=%^?FcNUT3tO z8`pMrGg_Y4346|<;|7E9%JbUThjnth)e3{5Z9745IqbwTF5SUyh8)YdbbD@a?X)@d zL(agpI_@7%J8a#C$d*_kr#I||Eh})=eNT=rDliNje{X@l!CAqyD=uf+`?kMB~l{(gK5L&&SH?RhqaRdV&ehaj-q0p6Y4S<5-!1Avg zD6*z(07XB1+?IvroL~UV7h6*?(GWNJw%5CKuZHX8abw%A7j~Q*r?XjfH(m#3-HENcs6)Rhj7^*)EON_JPnY~K#;j%Pzd?u{FG zKIHwhfjt8t%Z*{%8V)~7kR@b=%;5$1%y7YYD z*qmh*>l>TRFFx>_4)$;`h?pEsDXRtZSst#`p9A2&(T{Pydmb-Y2$AggAPs%E!ap*8Tj;k63|eEa%VdQnhVd97a^dk|i?TW&k- z!N@}wSN8&SlHwTAiU%Q<)6o`#1y--9KPUqGlN_ru&u5DI*5O%DA zeGTjE(u>4kyny$m7h13=d%zNcoeo4Y#cR8lZY1$6+xfA8V*~O(yZ!K{@Bf&KFojij z>DtlkuNlv4DVz$xj@w1Xb=|?$(rv-Vkdf@C*UmB>0GJm*!UC3uoeBG1;0`v*0FY%m zyB|v}_${!Z+mPXADub-`8c3Ge%Vhcl>f!krd^wvb5;AFTIEV_IvD7pC5fBZz94tnt zcwGqdfOlT4NpyAw6Cay)jbPdU(_n;A8g~Bd%nib;4sgHD=7*5LxXx~>?|n&@>jC9( z86b~G_-!%}Z}5Az=$W`*XZ(GR|4nvAIf+K;_BP|gF>ES|+6xD_eXJWe5O7dfte6Fu z?E%$+&d?HT1Qy|zsle#1AY!PTym+6^g1%!T)3JhX=nfpeyB!6O(5#=)IN0*}avQi` zZm(iYg*Do-olabF1Cj>r6>6JItWl>kkK8NbTmq%I0Riu5|X@U6TzYhqVUWa0)gs82ID+^Rpxq zL^Lqwz9}DqJncqYuo2T5tYK#miE^tmbYgl#-(#eVFy&;#6djhbQdAO%B+{?LfuLz2JTI0UP{c&(l zvg2MUANLest?+QK#&ECX#=WR|zFOnH#{F?{PqX7*06kv?SQ8%ZwHWS6ZroEraj(_5 zuW^4I+^gAfPs_)>2C$|)-0Lyi)7-dM1;xEyubHv!f< z5BJR&?)BWbHw49fv&Mam`{Ur=%#M4beB3vshQ-CbBEJIPdIkQ&XgXUh7g+OzHZP=! zO5nqwA9HX8O&BYnMw4i0YGywT^KR|=#0CI!tVf@YJ3e;GzD0VT|di5gPEK`Q$ZBmLb+4UPsW6#&CMQLMy{7+EqO zQfN0RD4?`_#*;Aq$r??p9sS*oy`!N?lNz?mMN{nH4wm4d3GF5Y{SjI|i_Wtlp$dm1}RpAhbeSOXep}R`qu~G zM5rkWo|4h8(O!X7jomXV8U}Pb^2)ZV(d|}sxtY~rtPJlQKq~sT@k$Wbz%^V(wD`?3 ztYjkZy^KoR$Ee6&MwR{i4g=^uPL;xm*xa)l2-d{tTEXYAYxQHgEsA9PL;e^qza`Pm zFXTX$^6ieAzQ|*9kz<=)<&2>Eg#t( z19;cM&OHgKHwpk(ZM69OHg*=NmBMbBd9CKsUWDPcmBaf#Uwg@ZxJN(06HcVPn5dd? z1NW3>TzgUYa6$*LX6Dmggs}#a+6$?s_ChPDy+F4k2dQ0+Zrjym!j)FKvLB*ieC7B` z7uh%znIEJmt?S2DcxsnQ6+=In+Jz^=xPpSjPlTn&2?OPXmP_rb4};ZeR~lQ$ei}?K z{WKWBrP1O8DRvsHl>#Iafs|{rT^AAiX;{;h6RdKqk%mO2}dFD?y=5 z*Z~&&%gATRsIn-?N?I~nH7yyvnwE@FK}!a@9eK~%)#!G+x>BUd1{leHwvn;J;~QYO zU&h={%%)Jxf-EpjGdvSa*NAEsW`gkq9!VE?G=soH{ZUWxe`ghQ!bB1z#ay$JVs33e zS4+&*jQ7NhH~TSI2GHX(UUoW_&qj~Oc7JH3^zBCAs*M)+NH2*U>22|k^b!_nf*(dc zn_ZZDkSYiIf4FbS?&6YP7g9_#}3#YKtF(Pf=v+DI1p=y$iA^@~v{1|L1!bvWGd~ zG7`YMV5D!5n1?q=C^85=Up$s7jJGbSFPhcV7n_yT7pYuHeUU1)zF6yvhxEnlfi8?4 z9$#O?jcn!$W_ROJr67Hg(~KDB09fEolPl(S0(Y8xF^Af(k#Z+SRxu~ciR|PfeANux zq16oBp>^O+EiVqri#G)Bs0WVdze`>)GnrjgM+*$x;j~hE;10pS9q!W&G#8#Q*EpAccM`?G9X^oafjcQcLPgS$a{;Noc%TMS+M}Uzb|49H z@c>N^+@To)cT^P0DhgU7=V4}HqT!N8Of_S6XfX6Hau z=lg6gcIiI5D;&)UT2RamuNfhHbu>L@hh~V`QQ3`~DXL>uF(-@>mK1ZvG>Whx8T$#qwaCX7*0IlTY# zE%of-I+_qyY|!*@9hxCrM`afr=RANdjOQz%y{Od;*HNn(uA|oBIwy?&||7_P%w*&$daU(n19b-``jBV4Z8QZ4Sv2C@SI4CEw2eB}|a&$SN zu}3Z0EB6J)wsBhFN(ocbMaf>zDA0j-*G16noX2DCbE zpq6Wg+jf7J94IrU(O+(gS|{)qa-hgQvS z0lkXh0?=)xg3_%P6DJlEZwN2big=ksV-Etzo0m3zuskv*Q1@s;Dt37y?o&Rzj1&ivuDB8K^+ zrkOw50rSVqAt6j*1w=LdV@5UoV@4JIW6*6U2?*R zE`eotHWg?7a9R<={L$IXJA5%=?A*@7l@lbNpG=rI@uZ-rrk~8Hrk~8H{baSEI4CHx z-y1*3io}{rcTr%1jXZIJRi;P9=}VEL~4xg@5l4d{Mz^h z=?37Oj-JPhh)Lp~QqS|dznQ%%B&rWK8+& zIDI5H<7K-0eMIed_L=j0(QAHpM z*aR(+^b{fjQSeXP^J+l(L=l0}YU<8aq~#Mu2u2IG zkL`*>6kQ102c(bfWh6@ETP%2~h#-CpMQQ|DRoYWf#Sp=HV8B`lvg))ap^6Y|1#H3~ zt5SOss)%3|8X$p!tXl0!s3L+0GBlt{R<-sdR1v|eVt@)4WYud=LKP8oIKu#{WL0cW zLKP9*k_H%tK~~N7BvdKKGKBwvVQahF>N<=HkHox?9*Kb;8h+^TLD|tqF|-?^vNS-Us*1eQ!ovmLwTfF z1na~)utt?Nk5ypRn2Uc?9R_#Zw+7czw5PnCUAC3);QlgB33smXc+CEpwrsX-%{Hn- z-}45kvyR)!$qDLOw(ngIdr>8uNxJgAVSoE=Jwb^rU$EK@)zopncQRcp*`Duql$i5N zlzd<@?+mRq^j%C$4DrqV=l9sae#!Et$0XThS^j06E>54d-9FR3Tk8rtqm_}1a8}m- zp&Vu!in>R_5H8oyj>t?mUH-#qBP%d>k1WTj(MlcbmQ+_x&%rY??L)kjM+ibWKBPE_ ziWzZp_ien>j+kTiA9cik^RP-q!m7TDRW*gbr~>tw8oOLv+s|KQQZ9dy_gKaXkH1i( zFtllMiTp+5sAq8!9T{zFVXg_Za!GS{qC16}jgBEEq+Y>6H`HtE5OR%n+v6MA-$Bl4dz8BAL!gGtuhA7&Zc0TM8%AllxRDv9JA)ABj(C#MJVH0PM|f-dRBxopA$xivfqAg zs-?1+=AOMmzV%!jhtbO9Fj^Xii6~T%A|jIYOqImKO*5Yrk?d#1OfZQw16mQuf>siK zLFIr6ZIw365}Q__rRMIHWlJ55$6yvJP>p9rxz~g%k3OqALh4LJziRn%A98i%w2Z@brn&vp5A~_Bc zjzhZNZ$OlC&y7L*Z`^$^?qI2ElqtD5(NJ*;6*FS9%+2?-qx#1hG5_GYNG5b*TaBh! z&{QZ3I$?;={T8%QE`u@NdM;Hh&Mm3YGA-ydx1>?vAwVjW1+66(Zkh#6MY5n1o7gnX zfTkiD&%-^Z0BUqXPW6uMKYbK kzRT9s{id^0jI%1Q?X2wcVet>I7wGv_OE;eXAI=LyO6Zlbq5uE@ diff --git a/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.map.hdbx b/adder_8b/incremental_db/compiled_partitions/adder_8b.root_partition.map.hdbx index 06f8fcdd17d60f420c2c382894f5205115a5c016..f47544c75169aae052f0a9ebe9d3c7bf585246be 100644 GIT binary patch literal 3272 zcmV;(3^((v4*>uG0001Zob6p*bK5o+eU5(xMlYMpcw^#|WHWtG6s6VHwzQU^gi0eXp;men$_g^TY{!R65g#)8byob94(@| z&_}b)%7@bl0u$b9ilTHL#3+eo>91ZKsHFS~_%los)V>-F(AtaBmCTr#fs0F1Sx#?t zkD^Vw-lS+2E`kKj;%J$r&XBwZnniJN7lo@kb@lUbcStwUBdoLbtql%s+kso?#Fh$eI-zYx!kyvl{T$>qY2$lSP0Qc~dd zQgmN5iZB7dl^01QZLT-6X=IHB4Cr8IRhrd8f|lNDGxPj(6H90(_k}|MkTj%{lnYrh zpe>t-w~^(E!{4Ab!X%Bu$tI2B2pawa{VSNDZq|OlVs31_+AJr5D!H@;CnjJ{OD@enoCL?<)WiLBi{WY-e3YlL zupLS$Ay9tVL$vbKS7)CTZt!m&O2A@Y{+D(7vm)Uh%?WC?0GXAy4A9)A5?`xz_DrTc zOYhfEi0l5%Rr^xj;@NEB-6b;R`R%ZKGwxoGx;Nc7=cv=a8uTt@%A4M>(|?yG_O1uF zqjU83rhhda_HS=G-E%a!>5hl*uSY+2hrQuBy6ki>FJ)?fIMS2f^@hC>m>6EZ8IRh7 zLHqS(_XXVlws);^j+NC;|Mq$$OJl*Q#GeOCZ(NqJHMr?r^+vsS-SO!CpxZh}txkI= zZ{NKhs$@v{soNd2l;tG$$BW3%0*1uhn@)px>`Y!xrnBeFIY$Ir41NXt5bFh0$EOlC zEK$QJ)OJwA4k=K>4y&N%xDqvTLTwi{PMiWY&R7LCTcSo!sO_RA17U$0VNL}#E>UAA z)OJvFsmMWdIjLXHNgBdGE z0Z|zPTqa55iKW%CG-8!3jaK@mE2-}56HBXOX=OmePBo&rmPGBu(&|`R8PYJX#x%!~ zsGV3^9ZM^t8Yb1a<_L+}iKW%BG+t0!S5%oTj0Go_R>RVG!EA+HWwtO9oLE{7OB2OF zKm4-(&}adIb=>%6_Rt#PVa&b3$bj3K7+?!a;0`h~XdG~AZlG}6nHykB65ZiJCI^j!O-&CJ zY&+8fY*7i@LFNaIgH6p46l^;)1Xs@9hX+ACJwsS7C%{hf1 z3_r4C&xJbV@FTCELy(*^7fz_m0uF8Xfh-ITh03hbAPWO446Fz^%as8zPr(X|@^b;1 zIUG_r3+#MOAx*#`)cBl&Q3_Tl7!|4n$_kjLDhnJ60+8gj-yV#6*Ta!a_#?G16JPJI z`*vs4>tBxteW=o#et-06JAlIM)b3TgbJKr&d!1P+YWRnn{_UVVDr+}brD1wMR!v;1 zTd2iwm89Ov56Y7*wdq3JT)`P?*~}5&K~}ZRqS`OYQq@AS1;Xpwn;s-qz|cH>yonoP z@R--&L#@ZkFR;I_3lJOBE6W90fojrx1uF{ou`GoZ`KH0LtVh8jpGAwRX$!HG90RlE zd@;L%7S_5yPHI(Xx1^I2lK)mF z$b~om?!Kua^ZHT0OW1zsUUzTW3WEJ3Q4J`)H}XERp8h;0nu{D<#Eo>Zfnk7tHVnwC zRo@4>M@ft%;|`TDK(sKxni@2jY0!j$CJUMrlU=j~)rMoP2TkG}VbH{XNzimeh8QV> zrdt~{ZE=J_lQ>U0qU>Q|{PTUp7R5eRmM!>adkZTOg=>98eA5wS4_d;Ev|yOi?@tJED#U3B6_EZ@MGy!wVZSAr9;4857FaH7jw;*5Vd!tbT_L1w1Th5xH=_ zz*NDD>v(M`c(9OibY$o&D|q%1k|9?dRKatOP{BKpi%kp!h7ukY!1Wa2!?sm3&@iC~ zg2rli*igj7Lah_2z-ia56A4|Qz}qUGb%cTQE30_N7&yrR`0h6xRrb*_Lm4l7L^z|b zyiRK2w%$t`tK(r)A&&sv&*&?uqx+2MQMj>69yXQo6jWIh&Nup0@X{Bau&(71>*=he zn9^6*+~H;eEZtRLoNyB;$6>suR5RJuSGgY92O~^Au`XRAH<}L=d{@Lbl{Q z{3RyECpquP#&=>W=c$)}WPFI!$t~Q`TUKM`JYp#4Wh-^`;epah+|qZKk;aO7#8k|) zSQ)phx@|aAU$h~*m`9FtPWqLXHeH}Y&T-M915HW`#)rX0x5+L#iqzsGW3^9QTi6%v zOchHDMu?2n;<{~gOyBfE8mm|mQ^gWz55_>ytKCv1bS;K#DVF#cH*0@o#nL&xxw5RM zCmmJxF-}9pQoU$S5p=gsPvWlLM;fbF5<|T*TRo-^FE6R^O?@LBX{=gF4An}T6#>|G zYT_@|SJ=pwR!N^u{594p$??TsSx-+o{_g7|hFYZ!L0FMG2iL@3s&C&Rja4d%p;GCT zkKZ|UPu~e$O`=;meGFybC53eJu z#4LRa9c`>iNexv>#)_E5oSK+L^|dIvrBSkDeEQ<+ZaB2*5wak5n5Qoa7uoS`I6Rb# zO$-Bu@*Q)E2TVArTdL4Ey3odochpe4Ak7=z|lmhRl+3!1W? zo^(XnN5c%IJN52-#>VZO;9z_ zdZj*JIu79zl?l#|W??kmBr;PDYpbwQWLGES;7$!JS&|qM!e+r_f`gfC03LQc#vC4aLU20s$fPKg zw_LAfG2+}C>(wV6h^)G+SWG7uB^>_b!Av%)gq7F2?6=kLVxtXc{Jd^dX41T>2&ZKIwQ7P7d7$oLUfOgb3f7@u}x>pV$tb zF~)f?wWyH2fd2`Mve>eX5j%2>+**uW%E*Me%yH!9KBGS6+@82Dk;8Mq=^l)-k!U;( z*1>8Tto-a90}m)AOkVtHHJ8A+ZuZf0dXVU=2#PMbo%0cn6 z-}y<>uG0001Zob6p(bK5o=eU5(xMlYMpcw^$iTQhx-6{XeIwzQI*o$QN- zq9~hNNmO}B<94#YeenS#1<|yMb=`!a^$^7Z!H>WJaBz44QfFtV7j5t2@a8r}FMF>L zc3u2;Vi+#!uF@d(_~CdP#a++=JYmq zD9X}pmZD|23KF!8qqR(3B7P0DjN;%X3O6_6?3dxjUu6sUx(Ggoet@=dbQ62)H6%s6 zMBzGHiK@tWuXdLaMNg8*54|*4phe_o>tK`0%>2}F!>_fLU!hLHr)?Z0$?6Uz+5A6& zpNa@+B#Om>TPGs4A8i()1ScR73+$!n zuILnD0)UHJBoU9f&3M<4odp7@V9O?zt&pI#x5<{CpJp+Kc79h>2ms=NM3Sh2Oa`=h z7x6M)o;dssS|d!-IGkr`6eq6{8m$74Up5YwypB2KqWyN&EvqR?yv$;O$0A6*aFx71 zf!rU1&4M5M9(Ck(JCL~Gtv~bQKy-rd5$YK9%&^ahK?uUecZ7ULaOdP>5GOEVem{AU zR~kW_e`dTxX9*e%I&V&1EVI>Wmgj_{@AE(1*9JPzLSAh`O=MVS7~=CGISFFXX-A&_ zGa_j65A?5Kj{36yK*T)QY?H0$fhakT1qbF3PDw7q?+!x6;Lz@RYQ%7}2tM({*s>i; zC?QaOK0=i8(>Eud1#a-~HspZCKL1Y+`jaBz4&4&e=>RetZylgp%jWnRos$$m3Ncyl$X8X}J{Q3qQO8nbk?aj(Ebw=aCnkqhy&@1ts8c>xdFZiL!#isa0Wc6Byh+qQp^R1%F#r_I9|4JE|s$4>3yB zL@OiCvN%ph)g)C@Nle(OGLywPPDj-wRZ~e#*sOAs#cy8DkE%(krjng7xyMfi$LXk= zglaMiap_uBZ3!%XR82xPnT58r?5ef|4nL|Up_;6M!l_-=mcZag)g%>o4w6%4Z+7zTks7>pC`lDAaJsL; zZQh?Ik5u8ULrUs!fz*8+Zu5KJ$s=`m`;Zb!Tq1Q(iQ9a>n>)8n?yaeAa4ZyioTkqeyeDRP_N15X~Q z$Xkb#RWSKZlPB4Hrmhq7%ih9*)h)5%!-Nms32&+R>cR(u4+0+)4nSsVz*o#au*d>m zDf~r68e{>Hdy63o6GtHchVU4oUIg&T-)wE@%(VBcYD*p@M<<1LSv4H!|8)P019)j zT`#-6@$lXCm5frf?GNMO^{D(-wrj9S!}M+@y0g-%(0<`2Nxh99lm|Ov`Cnb;cTmS> zj`ba66$}2=F>rVY?16B8Jsv<}1q`L84|i@&3?8aA)S-CSdniUEW>yZEb@nFQE@9l3}JP^U247@TS3cI(4xv-63qA%{z#=$Gx84O zs7sb>vWhLF0s4nDz|R(AA50fv<`JnHDl}jzG{D+QnoO58 zVWN?wNin&P7PXb>*i=c=APpo<{FjiXV@bqFNt$jgX_`g@Nz-_OiR2q*lmjZv{NpiV zTgCoLCYQnGez*#wxXKu@zG+4|fR?2vTCsx*(OUSF6||VbzxFqc)&c&t_4pUNq!6t* zca|z>5d|$rP!kKqPt zA^QnvTd5*xpn>;9Rcs>>XgYY<0;wnT9!In8e%nxqptTkr*7WeOC6`U=V>cl>F zR1R*fjfZu8JOP#D;Cx3<1uu2g3GW$s&huGF(H5_)c_hr+=~=Vzp;4miG3DhL3FjiwBFx7G)dtTm`xFbG#c@3N1iLRF?UR@#O5UKN8C{a6B zYrQ<8>E+2)I=XupvqD?y&N9+kCy(elc?K(K%c$FegVhBavghPA^N#c@t04+%$Z3`u zdZK&MqKYO|(QR`TO`&OV!$j>fw-yeuovvMJzziWpEw0-_ht&-)q_uV>(X}hFd;kVW zuXZ~XQ>_@H+LcZd_i2A+yOK2TPT6_hdzcUDO-;K}yfRJ5bGOb+qEsCrtu-r&rdcT$ zj_K|tAQk>qx6qN+T9ri8s%>u0m1Oo+P-54_$oa zjfQpuB@lj^hc1dLI?dZ?_*7MFBMWFccg!iCD=|sU1f%Y7p{=#;sHSaa;$nBUY1Z6i zGu4uys%d98kutxsX-At!nfCLhlS2wj)3g)srWbmZ))_0*R7Xf_O*^V-+Ogu{m^y8* zZkD61b?m6_=0dZ4FvhK;UKK3Wuw(ACVP0*q>Nbygnft7n_s{^*-B;+a@|7<&%)9sA zr`Ec4RMV}?e?0}B53YMX1GSWCim7fL{v!VW(XMOQgw8bWI#xVjQ93FoUIM@BDSj^T z{O!BhI}QN){iCvt=-!<6y}< zfQKEAF~*`#fbCT7;iqSK*;85MVl&V?<|Is(J7OmD38O({Zs*H^Dk%iRyF# zQq!4EPUH{1&$3O(r^Ab3?|b`LgC4x|dNBQfe&4_SL3|$LH!F9L z@@o|T%u|BpGVs&zW3Us72SesFkQz6idsT_s;S

i5SVJwhJVJcZ_c^jiT!T=x9KTD$M^A%pa3vVn{h`J}l9t z|K_>6kl(bKB!*C4*Sn}&1<-fkg&L4rnfx9BK(|(m(FQGXUTj*jZeoDz{;Uc>vrgZd zxUunh26W-$*tve2GN$35sL4&9_fdiYc5ayfTK`bFVlsGU$y>6%9*Nf(jbFrKn%_Nq zX)^HA#E7?CQ@OjCD0S=U=xFZqugC3Z3?!KWwDHH}<{?+lbi!i4+tDb&n;j8k+W9 zvC&GKWd?UMg04BxdqH@MDhdc74!^Ap(;*ioj|yvC^6Z! z?d_yqyA5hUL?u?uLHEA6vSD0PzlqCnJs|d@o=|PXU30A|EzP?4Knvp$3#jC7xTn)? z+^%>v_}5Ph)If};dDgeJmC^=kk@)d*MkDdt7kT?9|vbF*9|*5Mkzcl-LEg*Jw2}6 zJ>37g%P%}YPkOxWOUO4pk-QZ=z08~!*gt3f1Y1v~4$@=n!`@y|)BN&NeqFF-VyVK- zhv3rpRsH};2#pUGDSB6E9#DSqQ=ryf6B~V@c=(Ek3p9Uw(HDRYoYSsjvMp^B*LxTL z0Y+ja7uak`EPH6ozMq!X-RgcqY1GS;e3yLe9JuBIO%ID_#(O+1c19q`)xS_PB#W#r z@pW;^^jRuekPw=Of@Lk#`sK6STqD({ZVHc98U$I}M+w$FMPnB}Q%CSfH4Z}#pEmjW zL$(Aw=k<-X_cLNHt!XL^Zd#^xa)jM+l(zF_i4v+NHqJLDZf`8E6C*aFd{gHSc5);o zJCuE)gNHk#zJ8$riKA7I!wUJ&Hz)s5bJ%{W8-%~9Hm>`Vcy-9vIx9wiwfqoC+fU^& zAoJ8+kuZ_Ia}jRpRg9u8jYYAPZE3uQ!GI|_42H%0LNip#sgKG(yU0(6ABuw~#b~}7 zKm=;s-`rzuA)(bo1$95N6nI?jRgj6<#vmyuU9JbAzHTfq3YFDAYa!?ny))=OSsne} zy{2dosnR|ylDn3qe>1qva%UgNzxTPRzHxBd;mRzDbZ`_Fhl(2SV)fT+u{oT7yEvDt zawEyTzAN%5Rrb5nwoE}^zjjacNAB$Hq=m5_kZPk1{~JAI^tI7?O_(STl+xazS1S!_ zWDE@bY52$&A6FWeHS@!2v4?E`BD|-#YcTH`F??2B`!m^bW^-5M6_6(YGa2H$B5})H z>96^aKC|OqHxJbdQ1RBhot$4nBXaWW%dB{Fa-$cZsV7%iW5lzlP!YQN#`1ckk(AQJ zpxsmDO})R$&9agRGDA23cH4Y(9Roe=J9fA(agm9^eb-?lL2xFe*(}V)(P@(nxbeQ# z#8zXS{I24viev>AU$O#iY3oAfcMU8r%%B`TfKfc&@OSnq5}8jw@e}7{oIQ3}8BJ>rhcw7I` zn>2M1#MDuVr;!8MsQ78)^_sqa_5H`<~z%8yu{O| z7y+vAPO{4@M&B2IvScoOr|5~MUxz+=!>fWj--CDLtgru)NMhX{kUR)aEr$K!m=b|m z+jF+jKcBV(LAk(-(wi>}&Y^k@cP%6xZ!XhhFG>Dz%3iKYcYOexhtIE56!5n=OOX_8 zV%#z_`eI#7U^4;1+``h(1#&nQJcF+ zQLhw_Y+}(rqOaZEv)fk1Ct~bq_bHlU>EA@UVH^H%OZzm49*T%XRX}&v8Z7QVH^-uk zrPNd%`Kk6A>E}3DKqDrA+)&L;(-p+p!g#H&^4>JR*)w0yKA@2nwHYbWU6j4SaIv^ip%c zA2-L`{|LxNjcM&epc~m<{9}WZlRmWA^jbNkw5|RK$!uPMvy~$LkCWr=mzt*8Y^-~= zZ7%h}$Cj=I!rI0Lf3e9WtCb3D3$$MG{+5-JcU^yJrQeZte~OldN?x6ojYQ79&I^h8%bT>R zaz9&~b>l}}Qu(lwoU2_$;Pk7jZAR6%Sas9z_LSRo_SUWHoV6?CcJ%0=@MPbR2oG=+ zh8XQ?Fg~@pR}K7oU@3LmU*tq-9aGxbei;t%t}^XEKR;Ld`x zOB?ON*W9hsd&JlQ3qw&0f~cFV$m_EaP9_JgOe@aC%qHGB$;!!ta_NHp+-A{;d>Y$yk9dt?mUSR#virV<^ zRXg(5-igD?uFWrsaQJd}KJcV^yYaDx4Y@qUax0_i{%KBpVfhHL_kOpiJRI3LiRM|X zsh+p;Q_dZ?Lgh3y-Pm_t)zA0&ADSgu&CaG;?JN)NJX)G_S;d*t0qb71gqw?7`gr+% zZcl^K9hVaV%K`WEkENq3FubQf4~(-NcV4fcuO3q=9wdRM-$m1;0K$)~Eq;2fI>s-o zqspT%jxT0Z1MO7z-?LQ({umtWm-4x_Ury165)i+D>{>-gmGSOux;qVNBm5p3p~_De z3n7Jen=iiYt4+swi%xt_5SVUXXfZ2Xd&Lf2wZ@)yJ@D!J763-kH6`yLm}BoKb2lD- zPZ*{pnK<{wh%pOJN| zTTV~E!ks74Fs9BrwPXT764H6a#*e?5_Bu|Rc!o{zh#<=UW@f`>$NKpec5Ge|4Weak z%3AbY&*o|OV z6S?#Kg^Hei2%5J_m1Oue+$z&Km!=L3uKmG|2Fs9KL!nF?3(aBBY!PxPm=k;UZxQ5B z-bC+2@%Q*#xI+Q_TXlNDk6i7?p~7yN7YH;9VMn4o_#DsS-H^E@P| zmNG4IN-fMD(ft%KC8qlH1qaehVFg9Z7K(y@gb32f@LE}V{n_>twAO1428HcEIx$Pn ze2gLFy>C^Y=*90*P$R75dV3GZqM`Fee@`BtCH-prT!pIhBmZxE%|PV}|09!KYaAJ^ z_k`Z=1{0eEvU!y){`An)DT0M0AuR(MiC+i8T~ccV<FF zjFOnnDS!5cc7V~ob}Ms`aAihSgrA_7OUAT z%CDrv7hwy8xW5Zizt6_Y4~8mHhFS0HK1)W#%2y=3d`rk%i5ytV*n12eD@_h8Ft#?F zavhT^zF&Xdh(uLe5i0Ay zX{Jh z<)0&Ag9F}$ELbeN6cEN4X8V0-S*@dl|^8-M9GG+0qA!2ktNSa z|8FTZvIB0ejujuqA`gk$tF|kB2{eZXe7>j&cb=xrV_Cg~lIx@xLHQK}Y`=w6CzONp z$(F>8BhPMf9kSXt23j#nAjz!jI*fdI*`kXhm>B9t&M{{#vH1@MXc=Bu4&r%xJzPH_ z{Cb7!7&&fIhEy>t8I;F1Vk!0{UEf5$lOf6?l}f02;kzZi(U zZ$$*PoDX6mq8#ta&ed6>uT4^mcUYj)e(JV6tug){6cvH6r)#g{AJP``pjeH@d%_~D ztrh&Fo=ii7a;4}%Q;#N?g&PkCFKhNsql>c6vd&A}=FIuS%eBkHaUewGX#M_!Y`Vkt z+GmNQu8{7VyD_WvuuJ}xuCSX6k0p}W0o(YY#SeVU^yVVXq3+NF%x*zK(p}QEypP-3 z3qJqH&2qI>-!7OWYqiyGhDr5hGNtc6k*A#qTysdM*gaZ`QjZFV5ZWnx=~J^bPJ0Di zild0x{1j{ij%u?OB>`&0KZB)43{<>zyp@yD_8ZM*L4vm=DC%F=0^{Vhq=0Rmgq3Fh zxG{I#61_$UBmL#9!)F(QekNE9t)10?W4~=pZ!iB+YrX*e^LQmxQMIu%4uAvIZTx`M7j9ynWmt>mnKkQ4IJ`*Nm3zH8` zW(#?y22Od->BdWWo(dT+VO4yiToqj_TyFW(YE5v)uznt$GtqcIdG~F7N3;LP+`Km_ zRdttsYVta()U5LC|G3>%sM3vJ`k-FfD+=f-Ri1AsGM%+NoN6|fr0AB__OTUP{z3l< z=f+n1l2cknKtt3I>Mx{8AlGJ>8^}qF+`^lj^(SrSu=nisC*Ksct0htZX|iH?jttzr zB6nFB_L$am@SWE2EU^FqDeWh=j(+;+vGz>SiQV|F*&QFYw9s z4Z8>IZ3v=)C{{%Ho?o-qIP#&E0f>;_g)(_WWIv^!jX&0#)j(deqDP+6Q;Lv&O9gaY zDc;6`&Gyz{TfU6qca}ea(M7!phUnl)e(mhfR*pAv(YA8%43O8!se5nauQpO|9=ZLk zWx@-7jc{W6tx>-GL5_ydsP~R&8el!`L{zonEX*TakM{YrBma5Uw(|7ji8H_cG&6a; z55_MxLf^aoB$C)^#@w)eOzAtfZI8@6~epq@E*XOVtfeTFdNNtu^ zhw>SjEmCcba^-jFJc5iaI_ao2VWg!WP4E6`?^O%!b%u-Yg7vJ#Zgz7@ob!sr$A^qG65a>BYH)KAw?RBzQdcxg!4%e8^l)bUDG z{Nwgn{xqMBL76xUOJt^3f!cm`RCj2N;A4o*C z;l`UIgb;JS(bGV2hnIl!9l|4TKi2`~JtuG3%m*F&uf9=wdf9D_COjWRz6*s5;_H(7 zFEmE4cKfD(`+dnqve!(Ik>&9Q`zWQRgJV4y2fF4bpY zYisMt>ymy0+Xj_-Kp4qb_UEmbr`Z{ZFOjzSx)rNP95pg14~ze^(h)YB6tGPO_y+4HgZN_fJ2#yAX-<* z=XQ0w_I(a=7~zCnw|MQV$bh5z;2}D&oD5k?1F|=~3LKQ2kx#_wVDTaTvvWg@GVJX9 zxEd7*3<8ocFSRe7`sFWlw!a!&38>)q5re(Eu@4o6{**p^H(m(sKukIr3?^V$rz~Bb z`%ya_Ym4c3TN0o1is|1sbNop+e;m}rvAgK1)NM_9{UK}03x_|)DV$Tec@z;~Kim#M z$tfn-w)6JiJczr)9SAg)Z-84lJnQmeaoWc}8UyCO+Y{C(x$UknB)RfTy>N?Edn`Hb zt-+xVJU;ulOiLN(@>%er%y{tqio?EH#e32@0lOM=hjEq26@ryJeXZYM0^HMQMoJ}u z>-jtvk2h(Pw`hArI&nKsY=MlFhY^CZJJt*gti+O!$!^ScgjkBW?=}QVMAGzbL{AvT zabSQpY%T0cH>bOPIZ!y|In5@GW1QT{p%KSem&v%K6Q_e)o#1+k*%YPVpVA4BL&B+< z*mU39q=+RC*@y6z65*ja%gvXj`eS?>l5{?@EdCaokP#vX3aU8gLQCV*nK|WG*c(do zDKG0ou0C;~cn>BXeeNlk6bCT1DYdj(!+=#5WzSTw4FYVF3Z{1$_J~;8J16;w%7&nr z6eZiX@Pj*K3@cB&vX2*g>J}viOsj=o|9wCkMDaH)W2Bkw_$^sxH@=!PHNdI-*BW;F z0(MJCwyr%~YPhU}-PwmKWFE7;|5qdkFzF~Fe;5&CKimgFl|oSDbf;yYARQQRs{@l2 zP|m~ZUzf2-*R)OoA7S}CT%q77Nb?ogkqvq+-6XW0==XjZ_pU@dfL64LHzXAf#saSSi<#{%9z!_1n9Z?qH{0d{Qv0AFMiTOcw zOq1^s4$%G?p{S*ryrsyjmMQ~N{}WF*6WIDZU4e77IYz~0cX zw=BFR#yX}E$rtO2+MkUmv%c5Z-o%x%eCy}S-gP`2$d`MV?zRy%6(=;bjz&m4z zB9JDw?V^8|&I~>w=N?deUSWV+1}}1`R!9ZoD`MP{S=;e3>DBaK5c<-5ID_}FU%fC{ z6-Sq_VyU7Z*jKms- zcqfP?7lABA87T>VGO^!$BE}_p<;?OoOuM$FWj+xLo6%#k%LoR-?bUa5zbikPcZ;E> zmys92^VYVk28ur`Z|yBqn-5u_BVW@Q;0si*zoE;&#GXTbwP)nTi=qgSRMQP^~+pFlyw zplOXO^{8T z%CdymHt<_@*_S*^c<8l}Q9-nW5^BxeDKfqnw{_l%ensw?=yXF3DiCa{ls>jh_CircnF(f--90$NdB!f1Yg)&vCP9FrHwp%xL7l@^o|q$HHT}@ zC%GaXsM^#c$Is<_TioA3 zMjKMPM@240GhJ>&GNYA#5hhT`uCG-N{}T@s$vO~1Jkmc6ME7XQ zc|hN;mW!RMM!hKopxonbuW4f8&&a*&;H@eue3aw>8>>7IYdS7Cm%#+ix1~tf0DLfJ z9T~Duo`;}(3v=8YlJ^{jA3^LtgW5DE9rVz;j3sm4~CP@r>1f|EMkfGH2fn< zJwk(8j%)Uo4R1oZXX*Too2+sKScjTA_DJ>xYn$wN&>8exsnV9kK~SuU`{IvA*=WWP z+V;c65gKAaW`yG}2*)Z})Ggkqg4FiWs<`Y6HyVlTzwUs{RK zG>K!o=VSe=s%F5aT!@~8HI4oSt$}VU5c|{8djf#sjGDGvR*_`htiiwybbWi6wbY{nY^_>2v;=Z zJew#o6X*@a_l|~3_NiuOAe`C>&nEtuuuR2{n`&EN{ghS|_z;jj)ApZxT_;Cjf4;T_ zzND_QPmK4te(le)9t_wQ9F;GZMN zTrZuIP_*RUm2gR26I5Uln*ggk8>=oEIVTPn;|`Vv9VH>NXQMM9x295g*^N1(XU65f zel=vRAYEPKhC&RlCi4PkS4B2sOQO3O-bf#pw8vW8)!OhNfeL2)_ivND&v%6FeGurW ze9W^|=JYl@-062dwyEs>19%i9=ay4OQE-Rs-RIj6%9)j3 zW0x;{|39ZM1Il0cWk1`?pDh06^eop(GMc7swoTVTCWjeX zZVyJmBlEvsh|5@DziYyl4Qi*@OY8h5ave0stJ=9_PzZ)l)%`6|n0_kHbXMm_i?di| z*6bcbngjUa=LQls_Yg!S-yTxacibceM)_$#>Mzqc6W<_z$q`$K5qC5A>r`S}!3|o` zWa>ri)50rBv~-Ma9u}*0MPcj5!(6b`gyw|lr0F0=#^P)ii<+D5gBS0-uFBQxkC7)h zDjyOm^BckkPVI6CVjCI8@}S6Cx5z)#$i>|;H1K{P`S$fv8v54KoNo|+iY4s21-~?A z@&5iXAhw<~rtT4i%A45W9npD^UXA~QQ1grO6QFfEMkEqeQ#7+tq;H7?mz~byxfAPMo8$=f);Ivx zr|_|ol##@yl0}}Dgs;Z?^ox8$3G{;Sex!gX<_0nKP z?A%8~3HuN1SADOxgy)NAGj?hNlNCTH;d5`p>;BzWK%Dkz%(s&6J|yqPo50y6TP+E+ z*J(BpbLu)_@keVnraS$LP{X^-nYRG6ejcd0&O9!GmWDfe*y!scb*1IdHGtt)J5#as zRBJ&d%5FQaCixO5rQYx#wmYLky-U$s^W2owBctGV_u3fFHDA-0p{ngXCz8Pixau62 z*avOV5@@?k;bPO{GOB#pg@etjI^I=P8EeNd+Ur_Kt8q9Zou=zZm1I|(J`jyUPg++k zeT!q8^@k>&!zvbosxhJk6k?A^oYf8J`rv!~VV1rLi9G>|qeZ{g*rntP&gIH#yup4V z#E&$OLmrCUOyr#1Y?TiaQ1yMP*_~Jy-oX%ZY)3Rejx@SL7*=5o`;TXyl=n+^N;8Bo z?f{>syw~@pz1RKcysi1rfaH-BTGEU2-;_mTp5;k;xuK!e5qpwH^ShEqL_&YFWE5-} zva@bq)%QA>P+vPYFafMmThUkL*HSf9lm( zg4iRUy_;Bnv@>#rPqVgrNeYcu|`c9;)rDWB z$$1V|x1=qz+Rfsx8<6Ry>82KPOHgiK6Qn*zd(QKWHFo5$hLOqdR-xj{!7ID3>;39R z8qh9d4c_Tzfr$;W=?#}6r1_)AR(-;`^*K)Zw?H80nu2F)P%!9rm&q;pBgi5A{v14; zyB9#&O$0cl{Ut$)J1*544Qmb5Au#Xf$tX;K|P2+JhY4|B(YE4?b7HEWsbhZC8+utIhG@0 zc9AJoHm+|q;o?Dcp(2%Fk(?ATI*Vl!|D%qYqDf`GcK5gbv8sC#sZoplk-@>(9XF#2 zKbtGqol;*09!l1P8_eQL@NZQFKG+YG36{ZLoVC@Rnh&bK7&w6S- z+Af%^i^;{@QLc5kP6S$|I@E5KU(NK@vhy;qN_W}JYyHc30Jmqg%U##>3!57NiGPXv z6O{)EXGjLbkGruxJf-rwZHSx-6cki=8;y+LZ}cAE<&C?!dw)STE5EU&AuVvwxs6$- z-|X~ocj~`Ff6VO3rG;ElLQQgc#-e3S zAl&rK-GmI;_sm#W_xFL=p+?U&sPrFqWv$HW*c5+paa35)1~owtc)1cHUh6&C%#MfA zN2dh_NuV=tI+N@2_-*_MKaP?5- zL>#;H?gynYD_3d+J_9@w7WIHPkDR5tTPW$OPWx5xfJj^n69-l6&7s*6e+L(MA%q7e zyEw;{@KMCC=6W>c^$Wd~hwu5?Yt(&;Jl8>adR`QYbY$Dn(5F!Yl~N~jZR>ZnB)Wrz z*1|A<@f3E3FMy)urB7TrGS`Yumhg?fWy^2I8T_oocCy{kz2Z@6ebz57p)4{E+@8Nt zT=ueJ&O*IRIAyfdY>4V@`q$s|sle1W6MO0Pvd~UJTt4ksCpJ7I+@D3ZfG5M`&nY%8 zBiMBEma|M=Q=Uebmw$8Kvl^~-z>%?%chI_|IZn2n5U|vSv6cnRK3bW4FGh?6-F+93 zOK<8Pg{K diff --git a/double_selector_8b/db/double_selector_8b.tan.qmsg b/double_selector_8b/db/double_selector_8b.tan.qmsg index ce76d9d..2f6e0ca 100644 --- a/double_selector_8b/db/double_selector_8b.tan.qmsg +++ b/double_selector_8b/db/double_selector_8b.tan.qmsg @@ -1,6 +1,6 @@ { "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:07:57 2022 " "Info: Processing started: Mon Mar 07 11:07:57 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:22:47 2022 " "Info: Processing started: Mon Mar 07 11:22:47 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} { "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} -{ "Info" "ITDB_FULL_TPD_RESULT" "b5 Y5 12.694 ns Longest " "Info: Longest tpd from source pin \"b5\" to destination pin \"Y5\" is 12.694 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.995 ns) 0.995 ns b5 1 PIN PIN_45 1 " "Info: 1: + IC(0.000 ns) + CELL(0.995 ns) = 0.995 ns; Loc. = PIN_45; Fanout = 1; PIN Node = 'b5'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b5 } "NODE_NAME" } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 640 64 232 656 "b5" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(6.147 ns) + CELL(0.624 ns) 7.766 ns inst6 2 COMB LCCOMB_X1_Y9_N26 1 " "Info: 2: + IC(6.147 ns) + CELL(0.624 ns) = 7.766 ns; Loc. = LCCOMB_X1_Y9_N26; Fanout = 1; COMB Node = 'inst6'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "6.771 ns" { b5 inst6 } "NODE_NAME" } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 336 664 728 384 "inst6" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.642 ns) + CELL(3.286 ns) 12.694 ns Y5 3 PIN PIN_208 0 " "Info: 3: + IC(1.642 ns) + CELL(3.286 ns) = 12.694 ns; Loc. = PIN_208; Fanout = 0; PIN Node = 'Y5'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "4.928 ns" { inst6 Y5 } "NODE_NAME" } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 352 816 992 368 "Y5" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "4.905 ns ( 38.64 % ) " "Info: Total cell delay = 4.905 ns ( 38.64 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "7.789 ns ( 61.36 % ) " "Info: Total interconnect delay = 7.789 ns ( 61.36 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "12.694 ns" { b5 inst6 Y5 } "NODE_NAME" } } { "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "12.694 ns" { b5 {} b5~combout {} inst6 {} Y5 {} } { 0.000ns 0.000ns 6.147ns 1.642ns } { 0.000ns 0.995ns 0.624ns 3.286ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} -{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 0 s Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "212 " "Info: Peak virtual memory: 212 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:07:57 2022 " "Info: Processing ended: Mon Mar 07 11:07:57 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TPD_RESULT" "b6 Y6 14.785 ns Longest " "Info: Longest tpd from source pin \"b6\" to destination pin \"Y6\" is 14.785 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.974 ns) 0.974 ns b6 1 PIN PIN_75 1 " "Info: 1: + IC(0.000 ns) + CELL(0.974 ns) = 0.974 ns; Loc. = PIN_75; Fanout = 1; PIN Node = 'b6'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b6 } "NODE_NAME" } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 664 64 232 680 "b6" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(6.679 ns) + CELL(0.651 ns) 8.304 ns inst7 2 COMB LCCOMB_X25_Y2_N12 1 " "Info: 2: + IC(6.679 ns) + CELL(0.651 ns) = 8.304 ns; Loc. = LCCOMB_X25_Y2_N12; Fanout = 1; COMB Node = 'inst7'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "7.330 ns" { b6 inst7 } "NODE_NAME" } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 392 664 728 440 "inst7" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(3.365 ns) + CELL(3.116 ns) 14.785 ns Y6 3 PIN PIN_149 0 " "Info: 3: + IC(3.365 ns) + CELL(3.116 ns) = 14.785 ns; Loc. = PIN_149; Fanout = 0; PIN Node = 'Y6'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "6.481 ns" { inst7 Y6 } "NODE_NAME" } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 408 816 992 424 "Y6" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "4.741 ns ( 32.07 % ) " "Info: Total cell delay = 4.741 ns ( 32.07 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "10.044 ns ( 67.93 % ) " "Info: Total interconnect delay = 10.044 ns ( 67.93 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "14.785 ns" { b6 inst7 Y6 } "NODE_NAME" } } { "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "14.785 ns" { b6 {} b6~combout {} inst7 {} Y6 {} } { 0.000ns 0.000ns 6.679ns 3.365ns } { 0.000ns 0.974ns 0.651ns 3.116ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 0 s Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "212 " "Info: Peak virtual memory: 212 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:22:47 2022 " "Info: Processing ended: Mon Mar 07 11:22:47 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/double_selector_8b/db/double_selector_8b.tmw_info b/double_selector_8b/db/double_selector_8b.tmw_info new file mode 100644 index 0000000..15a6255 --- /dev/null +++ b/double_selector_8b/db/double_selector_8b.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:05 +start_analysis_synthesis:s:00:00:01-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:02-start_full_compilation +start_assembler:s:00:00:01-start_full_compilation +start_timing_analyzer:s:00:00:01-start_full_compilation diff --git a/double_selector_8b/db/prev_cmp_double_selector_8b.asm.qmsg b/double_selector_8b/db/prev_cmp_double_selector_8b.asm.qmsg new file mode 100644 index 0000000..d249efb --- /dev/null +++ b/double_selector_8b/db/prev_cmp_double_selector_8b.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:20:35 2022 " "Info: Processing started: Mon Mar 07 11:20:35 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Info: Writing out detailed assembly data for power analysis" { } { } 0 0 "Writing out detailed assembly data for power analysis" 0 0 "" 0 -1} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1} +{ "Info" "IPGMIO_CONDONE_ERROR_CHECKS_DISABLED" "" "Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled" { } { } 0 0 "The Active Serial/Parallel mode CONF_DONE pin error check is disabled" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "241 " "Info: Peak virtual memory: 241 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:20:36 2022 " "Info: Processing ended: Mon Mar 07 11:20:36 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/double_selector_8b/db/prev_cmp_double_selector_8b.fit.qmsg b/double_selector_8b/db/prev_cmp_double_selector_8b.fit.qmsg new file mode 100644 index 0000000..8adea03 --- /dev/null +++ b/double_selector_8b/db/prev_cmp_double_selector_8b.fit.qmsg @@ -0,0 +1,36 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:20:34 2022 " "Info: Processing started: Mon Mar 07 11:20:34 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b " "Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Info" "IMPP_MPP_USER_DEVICE" "double_selector_8b EP2C8Q208C8 " "Info: Selected device EP2C8Q208C8 for design \"double_selector_8b\"" { } { } 0 0 "Selected device %2!s! for design \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Info: Low junction temperature is 0 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "Info: High junction temperature is 85 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 0 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208C8 " "Info: Device EP2C5Q208C8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208I8 " "Info: Device EP2C5Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C8Q208I8 " "Info: Device EP2C8Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} } { } 2 0 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "" 0 -1} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Info: Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ 1 " "Info: Pin ~ASDO~ is reserved at location 1" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~ASDO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ 2 " "Info: Pin ~nCSO~ is reserved at location 2" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~nCSO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS54p/nCEO~ 108 " "Info: Pin ~LVDS54p/nCEO~ is reserved at location 108" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~LVDS54p/nCEO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~LVDS54p/nCEO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} } { } 0 0 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "" 0 -1} +{ "Info" "ITDC_FITTER_TIMING_ENGINE" "Classic " "Info: Fitter is using the Classic Timing Analyzer" { } { } 0 0 "Fitter is using the %1!s! Timing Analyzer" 0 0 "" 0 -1} +{ "Info" "ITAN_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." { } { } 0 0 "Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." 0 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Info: Starting register packing" { } { } 0 0 "Starting register packing" 0 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Extra Info: Performing register packing on registers with non-logic cell location assignments" { } { } 1 0 "Performing register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Extra Info: Completed register packing on registers with non-logic cell location assignments" { } { } 1 0 "Completed register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Extra Info: Started Fast Input/Output/OE register processing" { } { } 1 0 "Started Fast Input/Output/OE register processing" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Extra Info: Finished Fast Input/Output/OE register processing" { } { } 1 0 "Finished Fast Input/Output/OE register processing" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 0 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 0 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Info: Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "Extra Info: No registers were packed into other blocks" { } { } 1 0 "No registers were packed into other blocks" 0 0 "" 0 -1} } { } 0 0 "Finished register packing" 0 0 "" 0 -1} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Info: Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Info: Fitter placement preparation operations beginning" { } { } 0 0 "Fitter placement preparation operations beginning" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Info: Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Info: Fitter placement operations beginning" { } { } 0 0 "Fitter placement operations beginning" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Info: Fitter placement was successful" { } { } 0 0 "Fitter placement was successful" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Info: Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Info: Fitter routing operations beginning" { } { } 0 0 "Fitter routing operations beginning" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Info: Average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X23_Y0 X34_Y9 " "Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y9" { } { } 0 0 "Peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "" 0 -1} } { } 0 0 "Average interconnect usage is %1!d!%% of the available device resources" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Info: Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Info: Optimizations that may affect the design's routability were skipped" { } { } 0 0 "Optimizations that may affect the design's routability were skipped" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Info: Optimizations that may affect the design's timing were skipped" { } { } 0 0 "Optimizations that may affect the design's timing were skipped" 0 0 "" 0 -1} } { } 0 0 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "" 0 -1} +{ "Info" "IDAT_DAT_STARTED" "" "Info: Started post-fitting delay annotation" { } { } 0 0 "Started post-fitting delay annotation" 0 0 "" 0 -1} +{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "8 " "Warning: Found 8 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y0 0 " "Info: Pin \"Y0\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y1 0 " "Info: Pin \"Y1\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y2 0 " "Info: Pin \"Y2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y3 0 " "Info: Pin \"Y3\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y4 0 " "Info: Pin \"Y4\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y5 0 " "Info: Pin \"Y5\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y6 0 " "Info: Pin \"Y6\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y7 0 " "Info: Pin \"Y7\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} } { } 0 0 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "" 0 -1} +{ "Info" "IDAT_DAT_COMPLETED" "" "Info: Delay annotation completed successfully" { } { } 0 0 "Delay annotation completed successfully" 0 0 "" 0 -1} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 0 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "" 0 -1} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/projects/quartus/double_selector_8b/double_selector_8b.fit.smsg " "Info: Generated suppressed messages file D:/projects/quartus/double_selector_8b/double_selector_8b.fit.smsg" { } { } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 2 s Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "306 " "Info: Peak virtual memory: 306 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:20:35 2022 " "Info: Processing ended: Mon Mar 07 11:20:35 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/double_selector_8b/db/prev_cmp_double_selector_8b.map.qmsg b/double_selector_8b/db/prev_cmp_double_selector_8b.map.qmsg new file mode 100644 index 0000000..247e595 --- /dev/null +++ b/double_selector_8b/db/prev_cmp_double_selector_8b.map.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:20:32 2022 " "Info: Processing started: Mon Mar 07 11:20:32 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off double_selector_8b -c double_selector_8b " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off double_selector_8b -c double_selector_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "double_selector_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file double_selector_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 double_selector_8b " "Info: Found entity 1: double_selector_8b" { } { { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "double_selector_8b " "Info: Elaborating entity \"double_selector_8b\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_TM_SUMMARY" "34 " "Info: Implemented 34 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "18 " "Info: Implemented 18 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Info: Implemented 8 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "8 " "Info: Implemented 8 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "250 " "Info: Peak virtual memory: 250 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:20:33 2022 " "Info: Processing ended: Mon Mar 07 11:20:33 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/double_selector_8b/db/prev_cmp_double_selector_8b.qmsg b/double_selector_8b/db/prev_cmp_double_selector_8b.qmsg new file mode 100644 index 0000000..d43f8c3 --- /dev/null +++ b/double_selector_8b/db/prev_cmp_double_selector_8b.qmsg @@ -0,0 +1,57 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:20:32 2022 " "Info: Processing started: Mon Mar 07 11:20:32 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off double_selector_8b -c double_selector_8b " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off double_selector_8b -c double_selector_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "double_selector_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file double_selector_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 double_selector_8b " "Info: Found entity 1: double_selector_8b" { } { { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "double_selector_8b " "Info: Elaborating entity \"double_selector_8b\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_TM_SUMMARY" "34 " "Info: Implemented 34 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "18 " "Info: Implemented 18 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Info: Implemented 8 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "8 " "Info: Implemented 8 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "250 " "Info: Peak virtual memory: 250 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:20:33 2022 " "Info: Processing ended: Mon Mar 07 11:20:33 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:20:34 2022 " "Info: Processing started: Mon Mar 07 11:20:34 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b " "Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Info" "IMPP_MPP_USER_DEVICE" "double_selector_8b EP2C8Q208C8 " "Info: Selected device EP2C8Q208C8 for design \"double_selector_8b\"" { } { } 0 0 "Selected device %2!s! for design \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Info: Low junction temperature is 0 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "Info: High junction temperature is 85 degrees C" { } { } 0 0 "%1!s! is %2!s!" 0 0 "" 0 -1} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 0 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208C8 " "Info: Device EP2C5Q208C8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5Q208I8 " "Info: Device EP2C5Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C8Q208I8 " "Info: Device EP2C8Q208I8 is compatible" { } { } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} } { } 2 0 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "" 0 -1} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Info: Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ 1 " "Info: Pin ~ASDO~ is reserved at location 1" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~ASDO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ 2 " "Info: Pin ~nCSO~ is reserved at location 2" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~nCSO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS54p/nCEO~ 108 " "Info: Pin ~LVDS54p/nCEO~ is reserved at location 108" { } { { "d:/altera/90sp2/quartus/bin/pin_planner.ppl" "" { PinPlanner "d:/altera/90sp2/quartus/bin/pin_planner.ppl" { ~LVDS54p/nCEO~ } } } { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { ~LVDS54p/nCEO~ } "NODE_NAME" } } } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1} } { } 0 0 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "" 0 -1} +{ "Info" "ITDC_FITTER_TIMING_ENGINE" "Classic " "Info: Fitter is using the Classic Timing Analyzer" { } { } 0 0 "Fitter is using the %1!s! Timing Analyzer" 0 0 "" 0 -1} +{ "Info" "ITAN_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." { } { } 0 0 "Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." 0 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Info: Starting register packing" { } { } 0 0 "Starting register packing" 0 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Extra Info: Performing register packing on registers with non-logic cell location assignments" { } { } 1 0 "Performing register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Extra Info: Completed register packing on registers with non-logic cell location assignments" { } { } 1 0 "Completed register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Extra Info: Started Fast Input/Output/OE register processing" { } { } 1 0 "Started Fast Input/Output/OE register processing" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Extra Info: Finished Fast Input/Output/OE register processing" { } { } 1 0 "Finished Fast Input/Output/OE register processing" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 0 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "" 0 -1} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 0 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "" 0 -1} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Info: Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "Extra Info: No registers were packed into other blocks" { } { } 1 0 "No registers were packed into other blocks" 0 0 "" 0 -1} } { } 0 0 "Finished register packing" 0 0 "" 0 -1} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Info: Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Info: Fitter placement preparation operations beginning" { } { } 0 0 "Fitter placement preparation operations beginning" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Info: Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Info: Fitter placement operations beginning" { } { } 0 0 "Fitter placement operations beginning" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Info: Fitter placement was successful" { } { } 0 0 "Fitter placement was successful" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Info: Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Info: Fitter routing operations beginning" { } { } 0 0 "Fitter routing operations beginning" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Info: Average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X23_Y0 X34_Y9 " "Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y9" { } { } 0 0 "Peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "" 0 -1} } { } 0 0 "Average interconnect usage is %1!d!%% of the available device resources" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Info: Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 0 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "" 0 -1} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Info: Optimizations that may affect the design's routability were skipped" { } { } 0 0 "Optimizations that may affect the design's routability were skipped" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Info: Optimizations that may affect the design's timing were skipped" { } { } 0 0 "Optimizations that may affect the design's timing were skipped" 0 0 "" 0 -1} } { } 0 0 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "" 0 -1} +{ "Info" "IDAT_DAT_STARTED" "" "Info: Started post-fitting delay annotation" { } { } 0 0 "Started post-fitting delay annotation" 0 0 "" 0 -1} +{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "8 " "Warning: Found 8 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y0 0 " "Info: Pin \"Y0\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y1 0 " "Info: Pin \"Y1\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y2 0 " "Info: Pin \"Y2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y3 0 " "Info: Pin \"Y3\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y4 0 " "Info: Pin \"Y4\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y5 0 " "Info: Pin \"Y5\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y6 0 " "Info: Pin \"Y6\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "Y7 0 " "Info: Pin \"Y7\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 0 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "" 0 -1} } { } 0 0 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "" 0 -1} +{ "Info" "IDAT_DAT_COMPLETED" "" "Info: Delay annotation completed successfully" { } { } 0 0 "Delay annotation completed successfully" 0 0 "" 0 -1} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 0 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "" 0 -1} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/projects/quartus/double_selector_8b/double_selector_8b.fit.smsg " "Info: Generated suppressed messages file D:/projects/quartus/double_selector_8b/double_selector_8b.fit.smsg" { } { } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 2 s Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "306 " "Info: Peak virtual memory: 306 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:20:35 2022 " "Info: Processing ended: Mon Mar 07 11:20:35 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:20:35 2022 " "Info: Processing started: Mon Mar 07 11:20:35 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Info: Writing out detailed assembly data for power analysis" { } { } 0 0 "Writing out detailed assembly data for power analysis" 0 0 "" 0 -1} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1} +{ "Info" "IPGMIO_CONDONE_ERROR_CHECKS_DISABLED" "" "Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled" { } { } 0 0 "The Active Serial/Parallel mode CONF_DONE pin error check is disabled" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "241 " "Info: Peak virtual memory: 241 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:20:36 2022 " "Info: Processing ended: Mon Mar 07 11:20:36 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:20:37 2022 " "Info: Processing started: Mon Mar 07 11:20:37 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TPD_RESULT" "b6 Y6 14.785 ns Longest " "Info: Longest tpd from source pin \"b6\" to destination pin \"Y6\" is 14.785 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.974 ns) 0.974 ns b6 1 PIN PIN_75 1 " "Info: 1: + IC(0.000 ns) + CELL(0.974 ns) = 0.974 ns; Loc. = PIN_75; Fanout = 1; PIN Node = 'b6'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b6 } "NODE_NAME" } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 664 64 232 680 "b6" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(6.679 ns) + CELL(0.651 ns) 8.304 ns inst7 2 COMB LCCOMB_X25_Y2_N12 1 " "Info: 2: + IC(6.679 ns) + CELL(0.651 ns) = 8.304 ns; Loc. = LCCOMB_X25_Y2_N12; Fanout = 1; COMB Node = 'inst7'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "7.330 ns" { b6 inst7 } "NODE_NAME" } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 392 664 728 440 "inst7" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(3.365 ns) + CELL(3.116 ns) 14.785 ns Y6 3 PIN PIN_149 0 " "Info: 3: + IC(3.365 ns) + CELL(3.116 ns) = 14.785 ns; Loc. = PIN_149; Fanout = 0; PIN Node = 'Y6'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "6.481 ns" { inst7 Y6 } "NODE_NAME" } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 408 816 992 424 "Y6" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "4.741 ns ( 32.07 % ) " "Info: Total cell delay = 4.741 ns ( 32.07 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "10.044 ns ( 67.93 % ) " "Info: Total interconnect delay = 10.044 ns ( 67.93 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "14.785 ns" { b6 inst7 Y6 } "NODE_NAME" } } { "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "14.785 ns" { b6 {} b6~combout {} inst7 {} Y6 {} } { 0.000ns 0.000ns 6.679ns 3.365ns } { 0.000ns 0.974ns 0.651ns 3.116ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 0 s Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "212 " "Info: Peak virtual memory: 212 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:20:37 2022 " "Info: Processing ended: Mon Mar 07 11:20:37 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 2 s " "Info: Quartus II Full Compilation was successful. 0 errors, 2 warnings" { } { } 0 0 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/double_selector_8b/db/prev_cmp_double_selector_8b.tan.qmsg b/double_selector_8b/db/prev_cmp_double_selector_8b.tan.qmsg new file mode 100644 index 0000000..48e0594 --- /dev/null +++ b/double_selector_8b/db/prev_cmp_double_selector_8b.tan.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:20:37 2022 " "Info: Processing started: Mon Mar 07 11:20:37 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Info: Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TPD_RESULT" "b6 Y6 14.785 ns Longest " "Info: Longest tpd from source pin \"b6\" to destination pin \"Y6\" is 14.785 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.974 ns) 0.974 ns b6 1 PIN PIN_75 1 " "Info: 1: + IC(0.000 ns) + CELL(0.974 ns) = 0.974 ns; Loc. = PIN_75; Fanout = 1; PIN Node = 'b6'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "" { b6 } "NODE_NAME" } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 664 64 232 680 "b6" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(6.679 ns) + CELL(0.651 ns) 8.304 ns inst7 2 COMB LCCOMB_X25_Y2_N12 1 " "Info: 2: + IC(6.679 ns) + CELL(0.651 ns) = 8.304 ns; Loc. = LCCOMB_X25_Y2_N12; Fanout = 1; COMB Node = 'inst7'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "7.330 ns" { b6 inst7 } "NODE_NAME" } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 392 664 728 440 "inst7" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(3.365 ns) + CELL(3.116 ns) 14.785 ns Y6 3 PIN PIN_149 0 " "Info: 3: + IC(3.365 ns) + CELL(3.116 ns) = 14.785 ns; Loc. = PIN_149; Fanout = 0; PIN Node = 'Y6'" { } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "6.481 ns" { inst7 Y6 } "NODE_NAME" } } { "double_selector_8b.bdf" "" { Schematic "D:/projects/quartus/double_selector_8b/double_selector_8b.bdf" { { 408 816 992 424 "Y6" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "4.741 ns ( 32.07 % ) " "Info: Total cell delay = 4.741 ns ( 32.07 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "10.044 ns ( 67.93 % ) " "Info: Total interconnect delay = 10.044 ns ( 67.93 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/90sp2/quartus/bin/TimingClosureFloorplan.fld" "" "14.785 ns" { b6 inst7 Y6 } "NODE_NAME" } } { "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "d:/altera/90sp2/quartus/bin/Technology_Viewer.qrui" "14.785 ns" { b6 {} b6~combout {} inst7 {} Y6 {} } { 0.000ns 0.000ns 6.679ns 3.365ns } { 0.000ns 0.974ns 0.651ns 3.116ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 0 s Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "212 " "Info: Peak virtual memory: 212 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:20:37 2022 " "Info: Processing ended: Mon Mar 07 11:20:37 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/double_selector_8b/double_selector_8b.asm.rpt b/double_selector_8b/double_selector_8b.asm.rpt index beba59a..7acc524 100644 --- a/double_selector_8b/double_selector_8b.asm.rpt +++ b/double_selector_8b/double_selector_8b.asm.rpt @@ -1,5 +1,5 @@ Assembler report for double_selector_8b -Mon Mar 07 11:07:56 2022 +Mon Mar 07 11:22:46 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -38,7 +38,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Mon Mar 07 11:07:56 2022 ; +; Assembler Status ; Successful - Mon Mar 07 11:22:46 2022 ; ; Revision Name ; double_selector_8b ; ; Top-level Entity Name ; double_selector_8b ; ; Family ; Cyclone II ; @@ -93,7 +93,7 @@ applicable agreement for further details. +----------------+------------------------------------------------------------------------+ ; Device ; EP2C8Q208C8 ; ; JTAG usercode ; 0xFFFFFFFF ; -; Checksum ; 0x000C6B76 ; +; Checksum ; 0x000C2319 ; +----------------+------------------------------------------------------------------------+ @@ -104,7 +104,7 @@ applicable agreement for further details. +--------------------+--------------------------------------------------------------------+ ; Device ; EPCS4 ; ; JTAG usercode ; 0x00000000 ; -; Checksum ; 0x06F07E62 ; +; Checksum ; 0x06EFE4CF ; ; Compression Ratio ; 3 ; +--------------------+--------------------------------------------------------------------+ @@ -115,15 +115,15 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II Assembler Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Mon Mar 07 11:07:55 2022 + Info: Processing started: Mon Mar 07 11:22:46 2022 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b Info: Writing out detailed assembly data for power analysis Info: Assembler is generating device programming files Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled Info: Quartus II Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 241 megabytes - Info: Processing ended: Mon Mar 07 11:07:56 2022 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 + Info: Processing ended: Mon Mar 07 11:22:46 2022 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 diff --git a/double_selector_8b/double_selector_8b.done b/double_selector_8b/double_selector_8b.done index 3cf3800..42c6fdc 100644 --- a/double_selector_8b/double_selector_8b.done +++ b/double_selector_8b/double_selector_8b.done @@ -1 +1 @@ -Mon Mar 07 11:07:57 2022 +Mon Mar 07 11:22:47 2022 diff --git a/double_selector_8b/double_selector_8b.dpf b/double_selector_8b/double_selector_8b.dpf new file mode 100644 index 0000000..abe19d9 --- /dev/null +++ b/double_selector_8b/double_selector_8b.dpf @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/double_selector_8b/double_selector_8b.fit.rpt b/double_selector_8b/double_selector_8b.fit.rpt index 26b7a6b..59b0dd9 100644 --- a/double_selector_8b/double_selector_8b.fit.rpt +++ b/double_selector_8b/double_selector_8b.fit.rpt @@ -1,5 +1,5 @@ Fitter report for double_selector_8b -Mon Mar 07 11:07:55 2022 +Mon Mar 07 11:22:45 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -63,7 +63,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Fitter Summary ; +------------------------------------+----------------------------------------------+ -; Fitter Status ; Successful - Mon Mar 07 11:07:54 2022 ; +; Fitter Status ; Successful - Mon Mar 07 11:22:45 2022 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; double_selector_8b ; ; Top-level Entity Name ; double_selector_8b ; @@ -91,6 +91,7 @@ applicable agreement for further details. ; Minimum Core Junction Temperature ; 0 ; ; ; Maximum Core Junction Temperature ; 85 ; ; ; Fit Attempts to Skip ; 0 ; 0.0 ; +; Device I/O Standard ; 3.3-V LVTTL ; ; ; Use smart compilation ; Off ; Off ; ; Use TimeQuest Timing Analyzer ; Off ; Off ; ; Router Timing Optimization Level ; Normal ; Normal ; @@ -216,7 +217,7 @@ The pin-out file can be found in D:/projects/quartus/double_selector_8b/double_s ; User inserted logic elements ; 0 ; ; Virtual pins ; 0 ; ; I/O pins ; 26 / 138 ( 19 % ) ; -; -- Clock pins ; 2 / 4 ( 50 % ) ; +; -- Clock pins ; 1 / 4 ( 25 % ) ; ; Global signals ; 0 ; ; M4Ks ; 0 / 36 ( 0 % ) ; ; Total block memory bits ; 0 / 165,888 ( 0 % ) ; @@ -245,24 +246,24 @@ The pin-out file can be found in D:/projects/quartus/double_selector_8b/double_s +------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; +------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ -; AY ; 56 ; 4 ; 1 ; 0 ; 3 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; BY ; 44 ; 1 ; 0 ; 3 ; 1 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; a0 ; 39 ; 1 ; 0 ; 5 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; a1 ; 37 ; 1 ; 0 ; 6 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; a2 ; 24 ; 1 ; 0 ; 9 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; a3 ; 28 ; 1 ; 0 ; 9 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; a4 ; 12 ; 1 ; 0 ; 16 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; a5 ; 31 ; 1 ; 0 ; 8 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; a6 ; 34 ; 1 ; 0 ; 7 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; a7 ; 3 ; 1 ; 0 ; 18 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; b0 ; 40 ; 1 ; 0 ; 5 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; b1 ; 23 ; 1 ; 0 ; 9 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; b2 ; 27 ; 1 ; 0 ; 9 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; b3 ; 59 ; 4 ; 1 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; b4 ; 41 ; 1 ; 0 ; 4 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; b5 ; 45 ; 1 ; 0 ; 3 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; b6 ; 57 ; 4 ; 1 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; b7 ; 33 ; 1 ; 0 ; 8 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; AY ; 23 ; 1 ; 0 ; 9 ; 0 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; BY ; 24 ; 1 ; 0 ; 9 ; 1 ; 8 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; a0 ; 77 ; 4 ; 18 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; a1 ; 80 ; 4 ; 23 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; a2 ; 81 ; 4 ; 23 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; a3 ; 82 ; 4 ; 23 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; a4 ; 84 ; 4 ; 25 ; 0 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; a5 ; 86 ; 4 ; 25 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; a6 ; 87 ; 4 ; 25 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; a7 ; 88 ; 4 ; 25 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; b0 ; 67 ; 4 ; 9 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; b1 ; 68 ; 4 ; 12 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; b2 ; 69 ; 4 ; 12 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; b3 ; 70 ; 4 ; 14 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; b4 ; 72 ; 4 ; 16 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; b5 ; 74 ; 4 ; 16 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; b6 ; 75 ; 4 ; 16 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; b7 ; 76 ; 4 ; 18 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ @@ -271,14 +272,14 @@ The pin-out file can be found in D:/projects/quartus/double_selector_8b/double_s +------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; +------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ -; Y0 ; 58 ; 4 ; 1 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y1 ; 35 ; 1 ; 0 ; 7 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y2 ; 14 ; 1 ; 0 ; 14 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y3 ; 15 ; 1 ; 0 ; 14 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y4 ; 10 ; 1 ; 0 ; 17 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y5 ; 208 ; 2 ; 1 ; 19 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y6 ; 30 ; 1 ; 0 ; 8 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; Y7 ; 48 ; 1 ; 0 ; 2 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; Y0 ; 142 ; 3 ; 34 ; 12 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y1 ; 143 ; 3 ; 34 ; 13 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y2 ; 144 ; 3 ; 34 ; 13 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y3 ; 145 ; 3 ; 34 ; 14 ; 4 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y4 ; 146 ; 3 ; 34 ; 15 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y5 ; 147 ; 3 ; 34 ; 15 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y6 ; 149 ; 3 ; 34 ; 16 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; Y7 ; 150 ; 3 ; 34 ; 16 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ @@ -287,10 +288,10 @@ The pin-out file can be found in D:/projects/quartus/double_selector_8b/double_s +----------+------------------+---------------+--------------+ ; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; +----------+------------------+---------------+--------------+ -; 1 ; 23 / 32 ( 72 % ) ; 3.3V ; -- ; -; 2 ; 1 / 35 ( 3 % ) ; 3.3V ; -- ; -; 3 ; 1 / 35 ( 3 % ) ; 3.3V ; -- ; -; 4 ; 4 / 36 ( 11 % ) ; 3.3V ; -- ; +; 1 ; 4 / 32 ( 13 % ) ; 3.3V ; -- ; +; 2 ; 0 / 35 ( 0 % ) ; 3.3V ; -- ; +; 3 ; 9 / 35 ( 26 % ) ; 3.3V ; -- ; +; 4 ; 16 / 36 ( 44 % ) ; 3.3V ; -- ; +----------+------------------+---------------+--------------+ @@ -301,19 +302,19 @@ The pin-out file can be found in D:/projects/quartus/double_selector_8b/double_s +----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ ; 1 ; 0 ; 1 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; ; 2 ; 1 ; 1 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; -; 3 ; 2 ; 1 ; a7 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 4 ; 3 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 5 ; 4 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 6 ; 5 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 3 ; 2 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 4 ; 3 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 5 ; 4 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 6 ; 5 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 7 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 8 ; 6 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 8 ; 6 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 10 ; 7 ; 1 ; Y4 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 11 ; 8 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 12 ; 9 ; 1 ; a4 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 13 ; 10 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 14 ; 18 ; 1 ; Y2 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 15 ; 19 ; 1 ; Y3 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 10 ; 7 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 11 ; 8 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 12 ; 9 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 13 ; 10 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 14 ; 18 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 15 ; 19 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 16 ; 20 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; ; 17 ; 21 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; ; 18 ; 22 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; @@ -321,32 +322,32 @@ The pin-out file can be found in D:/projects/quartus/double_selector_8b/double_s ; 20 ; 24 ; 1 ; ^DATA0 ; input ; ; ; -- ; ; -- ; -- ; ; 21 ; 25 ; 1 ; ^DCLK ; ; ; ; -- ; ; -- ; -- ; ; 22 ; 26 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; -; 23 ; 27 ; 1 ; b1 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 24 ; 28 ; 1 ; a2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 23 ; 27 ; 1 ; AY ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 24 ; 28 ; 1 ; BY ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; 25 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 26 ; 29 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; -; 27 ; 30 ; 1 ; b2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 28 ; 31 ; 1 ; a3 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 27 ; 30 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 28 ; 31 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 29 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 30 ; 32 ; 1 ; Y6 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 31 ; 33 ; 1 ; a5 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 30 ; 32 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 31 ; 33 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 32 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 33 ; 35 ; 1 ; b7 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 34 ; 36 ; 1 ; a6 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 35 ; 37 ; 1 ; Y1 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 33 ; 35 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 34 ; 36 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 35 ; 37 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 36 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 37 ; 39 ; 1 ; a1 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 37 ; 39 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 38 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 39 ; 43 ; 1 ; a0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 40 ; 44 ; 1 ; b0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 41 ; 45 ; 1 ; b4 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 39 ; 43 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 40 ; 44 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 41 ; 45 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 42 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 43 ; 48 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 44 ; 49 ; 1 ; BY ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 45 ; 50 ; 1 ; b5 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 46 ; 51 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 47 ; 52 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 48 ; 53 ; 1 ; Y7 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 43 ; 48 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 44 ; 49 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 45 ; 50 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 46 ; 51 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 47 ; 52 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 48 ; 53 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 49 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 50 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; ; 51 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; @@ -354,69 +355,69 @@ The pin-out file can be found in D:/projects/quartus/double_selector_8b/double_s ; 53 ; ; ; VCCA_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 54 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; ; 55 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 56 ; 54 ; 4 ; AY ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 57 ; 55 ; 4 ; b6 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 58 ; 56 ; 4 ; Y0 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 59 ; 57 ; 4 ; b3 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 60 ; 58 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 61 ; 59 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 56 ; 54 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 57 ; 55 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 58 ; 56 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 59 ; 57 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 60 ; 58 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 61 ; 59 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 62 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 63 ; 60 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 64 ; 61 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 63 ; 60 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 64 ; 61 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 65 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 66 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 67 ; 69 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 68 ; 70 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 69 ; 71 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 70 ; 74 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 67 ; 69 ; 4 ; b0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 68 ; 70 ; 4 ; b1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 69 ; 71 ; 4 ; b2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 70 ; 74 ; 4 ; b3 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 71 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 72 ; 75 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 72 ; 75 ; 4 ; b4 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 73 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 74 ; 76 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 75 ; 77 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 76 ; 78 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 77 ; 79 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 74 ; 76 ; 4 ; b5 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 75 ; 77 ; 4 ; b6 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 76 ; 78 ; 4 ; b7 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 77 ; 79 ; 4 ; a0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 78 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 79 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 80 ; 82 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 81 ; 83 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 82 ; 84 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 80 ; 82 ; 4 ; a1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 81 ; 83 ; 4 ; a2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 82 ; 84 ; 4 ; a3 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 83 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 84 ; 85 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 84 ; 85 ; 4 ; a4 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 85 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 86 ; 86 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 87 ; 87 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 88 ; 88 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 89 ; 89 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 90 ; 90 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 86 ; 86 ; 4 ; a5 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 87 ; 87 ; 4 ; a6 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 88 ; 88 ; 4 ; a7 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 89 ; 89 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 90 ; 90 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 91 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 92 ; 91 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 92 ; 91 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 93 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 94 ; 92 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 95 ; 93 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 96 ; 94 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 97 ; 95 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 94 ; 92 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 95 ; 93 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 96 ; 94 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 97 ; 95 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 98 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 99 ; 96 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 99 ; 96 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 100 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 101 ; 97 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 102 ; 98 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 103 ; 99 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 104 ; 100 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 105 ; 101 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 106 ; 102 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 107 ; 105 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 101 ; 97 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 102 ; 98 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 103 ; 99 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 104 ; 100 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 105 ; 101 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 106 ; 102 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 107 ; 105 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 108 ; 106 ; 3 ; ~LVDS54p/nCEO~ ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; 109 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 110 ; 107 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 110 ; 107 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 111 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 112 ; 108 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 113 ; 109 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 114 ; 110 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 115 ; 112 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 116 ; 113 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 117 ; 114 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 118 ; 117 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 112 ; 108 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 113 ; 109 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 114 ; 110 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 115 ; 112 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 116 ; 113 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 117 ; 114 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 118 ; 117 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 119 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 120 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 121 ; 121 ; 3 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; @@ -425,32 +426,32 @@ The pin-out file can be found in D:/projects/quartus/double_selector_8b/double_s ; 124 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 125 ; 123 ; 3 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; ; 126 ; 124 ; 3 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; -; 127 ; 125 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 128 ; 126 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 127 ; 125 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 128 ; 126 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 129 ; 127 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 130 ; 128 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 131 ; 129 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 132 ; 130 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 133 ; 131 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 134 ; 132 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 135 ; 133 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 133 ; 131 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 134 ; 132 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 135 ; 133 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 136 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 137 ; 134 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 138 ; 135 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 139 ; 136 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 137 ; 134 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 138 ; 135 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 139 ; 136 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 141 ; 137 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 142 ; 138 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 143 ; 141 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 144 ; 142 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 145 ; 143 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 146 ; 149 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 147 ; 150 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 141 ; 137 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 142 ; 138 ; 3 ; Y0 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 143 ; 141 ; 3 ; Y1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 144 ; 142 ; 3 ; Y2 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 145 ; 143 ; 3 ; Y3 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 146 ; 149 ; 3 ; Y4 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 147 ; 150 ; 3 ; Y5 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; 148 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 149 ; 151 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 150 ; 152 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 151 ; 153 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 152 ; 154 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 149 ; 151 ; 3 ; Y6 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 150 ; 152 ; 3 ; Y7 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 151 ; 153 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 152 ; 154 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 153 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 154 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; ; 155 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; @@ -458,55 +459,55 @@ The pin-out file can be found in D:/projects/quartus/double_selector_8b/double_s ; 157 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 158 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; ; 159 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 160 ; 155 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 161 ; 156 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 162 ; 157 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 163 ; 158 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 164 ; 159 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 165 ; 160 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 160 ; 155 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 161 ; 156 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 162 ; 157 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 163 ; 158 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 164 ; 159 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 165 ; 160 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 166 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 167 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 168 ; 161 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 169 ; 162 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 170 ; 163 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 171 ; 164 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 168 ; 161 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 169 ; 162 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 170 ; 163 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 171 ; 164 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 172 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 173 ; 165 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 173 ; 165 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 174 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 175 ; 168 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 176 ; 169 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 175 ; 168 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 176 ; 169 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 177 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 178 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 179 ; 173 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 180 ; 174 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 181 ; 175 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 182 ; 176 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 179 ; 173 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 180 ; 174 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 181 ; 175 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 182 ; 176 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 183 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 184 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 185 ; 180 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 185 ; 180 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 186 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 187 ; 181 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 188 ; 182 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 189 ; 183 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 187 ; 181 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 188 ; 182 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 189 ; 183 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 190 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 191 ; 184 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 192 ; 185 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 193 ; 186 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 191 ; 184 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 192 ; 185 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 193 ; 186 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 194 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 195 ; 187 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 195 ; 187 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 196 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 197 ; 191 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 198 ; 192 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 199 ; 195 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 200 ; 196 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 201 ; 197 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 197 ; 191 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 198 ; 192 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 199 ; 195 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 200 ; 196 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 201 ; 197 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 202 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 203 ; 198 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 203 ; 198 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 204 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 205 ; 199 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 206 ; 200 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 207 ; 201 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 208 ; 202 ; 2 ; Y5 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 205 ; 199 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 206 ; 200 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 207 ; 201 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 208 ; 202 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ Note: Pin directions (input, output or bidir) are based on device operating in user mode. @@ -573,13 +574,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Y7 ; Output ; -- ; -- ; -- ; -- ; ; b0 ; Input ; 6 ; 6 ; -- ; -- ; ; a0 ; Input ; 6 ; 6 ; -- ; -- ; -; AY ; Input ; 6 ; 6 ; -- ; -- ; -; BY ; Input ; 6 ; 6 ; -- ; -- ; +; AY ; Input ; 0 ; 0 ; -- ; -- ; +; BY ; Input ; 0 ; 0 ; -- ; -- ; ; a1 ; Input ; 6 ; 6 ; -- ; -- ; -; b1 ; Input ; 0 ; 0 ; -- ; -- ; -; a2 ; Input ; 0 ; 0 ; -- ; -- ; -; b2 ; Input ; 0 ; 0 ; -- ; -- ; -; a3 ; Input ; 0 ; 0 ; -- ; -- ; +; b1 ; Input ; 6 ; 6 ; -- ; -- ; +; a2 ; Input ; 6 ; 6 ; -- ; -- ; +; b2 ; Input ; 6 ; 6 ; -- ; -- ; +; a3 ; Input ; 6 ; 6 ; -- ; -- ; ; b3 ; Input ; 6 ; 6 ; -- ; -- ; ; a4 ; Input ; 6 ; 6 ; -- ; -- ; ; b4 ; Input ; 6 ; 6 ; -- ; -- ; @@ -598,47 +599,35 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Source Pin / Fanout ; Pad To Core Index ; Setting ; +---------------------+-------------------+---------+ ; b0 ; ; ; -; - inst1 ; 1 ; 6 ; +; - inst1 ; 0 ; 6 ; ; a0 ; ; ; ; - inst1 ; 0 ; 6 ; ; AY ; ; ; -; - inst1 ; 0 ; 6 ; -; - inst2 ; 0 ; 6 ; -; - inst3 ; 0 ; 6 ; -; - inst4 ; 0 ; 6 ; -; - inst5 ; 0 ; 6 ; -; - inst6 ; 0 ; 6 ; -; - inst7 ; 0 ; 6 ; -; - inst8 ; 0 ; 6 ; ; BY ; ; ; -; - inst1 ; 1 ; 6 ; -; - inst2 ; 1 ; 6 ; -; - inst3 ; 1 ; 6 ; -; - inst4 ; 1 ; 6 ; -; - inst5 ; 1 ; 6 ; -; - inst6 ; 1 ; 6 ; -; - inst7 ; 1 ; 6 ; -; - inst8 ; 1 ; 6 ; ; a1 ; ; ; ; - inst2 ; 0 ; 6 ; ; b1 ; ; ; +; - inst2 ; 0 ; 6 ; ; a2 ; ; ; +; - inst3 ; 0 ; 6 ; ; b2 ; ; ; +; - inst3 ; 0 ; 6 ; ; a3 ; ; ; -; b3 ; ; ; ; - inst4 ; 0 ; 6 ; +; b3 ; ; ; +; - inst4 ; 1 ; 6 ; ; a4 ; ; ; ; - inst5 ; 0 ; 6 ; ; b4 ; ; ; -; - inst5 ; 1 ; 6 ; +; - inst5 ; 0 ; 6 ; ; a5 ; ; ; ; - inst6 ; 0 ; 6 ; ; b5 ; ; ; -; - inst6 ; 1 ; 6 ; +; - inst6 ; 0 ; 6 ; ; a6 ; ; ; ; - inst7 ; 0 ; 6 ; ; b6 ; ; ; -; - inst7 ; 1 ; 6 ; +; - inst7 ; 0 ; 6 ; ; a7 ; ; ; ; - inst8 ; 0 ; 6 ; ; b7 ; ; ; @@ -686,13 +675,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Interconnect Resource Type ; Usage ; +----------------------------+-----------------------+ ; Block interconnects ; 26 / 26,052 ( < 1 % ) ; -; C16 interconnects ; 3 / 1,156 ( < 1 % ) ; -; C4 interconnects ; 35 / 17,952 ( < 1 % ) ; +; C16 interconnects ; 7 / 1,156 ( < 1 % ) ; +; C4 interconnects ; 42 / 17,952 ( < 1 % ) ; ; Direct links ; 0 / 26,052 ( 0 % ) ; ; Global clocks ; 0 / 8 ( 0 % ) ; ; Local interconnects ; 0 / 8,256 ( 0 % ) ; -; R24 interconnects ; 0 / 1,020 ( 0 % ) ; -; R4 interconnects ; 3 / 22,440 ( < 1 % ) ; +; R24 interconnects ; 9 / 1,020 ( < 1 % ) ; +; R4 interconnects ; 33 / 22,440 ( < 1 % ) ; +----------------------------+-----------------------+ @@ -794,7 +783,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Error detection CRC ; Off ; ; nCEO ; As output driving ground ; ; ASDO,nCSO ; As input tri-stated ; -; Reserve all unused pins ; As output driving ground ; +; Reserve all unused pins ; As input tri-stated ; ; Base pin-out file on sameframe device ; Off ; +----------------------------------------------+--------------------------+ @@ -869,6 +858,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Name ; Value ; +------------------------------------+------------+ ; Auto Fit Point 2 - Fit Attempt 1 ; ff ; +; Early Wire Use - Fit Attempt 1 ; 0 ; +; Early Slack - Fit Attempt 1 ; 2147483639 ; ; Auto Fit Point 5 - Fit Attempt 1 ; ff ; ; Mid Wire Use - Fit Attempt 1 ; 0 ; ; Mid Slack - Fit Attempt 1 ; 2147483639 ; @@ -896,7 +887,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------------------------------------+-------------+ ; Early Slack - Fit Attempt 1 ; 2147483639 ; ; Early Wire Use - Fit Attempt 1 ; 0 ; -; Peak Regional Wire - Fit Attempt 1 ; 0 ; +; Peak Regional Wire - Fit Attempt 1 ; 1 ; ; Mid Slack - Fit Attempt 1 ; 2147483639 ; ; Late Slack - Fit Attempt 1 ; -2147483648 ; ; Late Wire Use - Fit Attempt 1 ; 0 ; @@ -910,7 +901,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II Fitter Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Mon Mar 07 11:07:53 2022 + Info: Processing started: Mon Mar 07 11:22:44 2022 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off double_selector_8b -c double_selector_8b Info: Parallel compilation is enabled and will use 4 of the 4 processors detected Info: Selected device EP2C8Q208C8 for design "double_selector_8b" @@ -925,47 +916,11 @@ Info: Fitter converted 3 user pins into dedicated programming pins Info: Pin ~ASDO~ is reserved at location 1 Info: Pin ~nCSO~ is reserved at location 2 Info: Pin ~LVDS54p/nCEO~ is reserved at location 108 -Warning: No exact pin location assignment(s) for 26 pins of 26 total pins - Info: Pin Y0 not assigned to an exact location on the device - Info: Pin Y1 not assigned to an exact location on the device - Info: Pin Y2 not assigned to an exact location on the device - Info: Pin Y3 not assigned to an exact location on the device - Info: Pin Y4 not assigned to an exact location on the device - Info: Pin Y5 not assigned to an exact location on the device - Info: Pin Y6 not assigned to an exact location on the device - Info: Pin Y7 not assigned to an exact location on the device - Info: Pin b0 not assigned to an exact location on the device - Info: Pin a0 not assigned to an exact location on the device - Info: Pin AY not assigned to an exact location on the device - Info: Pin BY not assigned to an exact location on the device - Info: Pin a1 not assigned to an exact location on the device - Info: Pin b1 not assigned to an exact location on the device - Info: Pin a2 not assigned to an exact location on the device - Info: Pin b2 not assigned to an exact location on the device - Info: Pin a3 not assigned to an exact location on the device - Info: Pin b3 not assigned to an exact location on the device - Info: Pin a4 not assigned to an exact location on the device - Info: Pin b4 not assigned to an exact location on the device - Info: Pin a5 not assigned to an exact location on the device - Info: Pin b5 not assigned to an exact location on the device - Info: Pin a6 not assigned to an exact location on the device - Info: Pin b6 not assigned to an exact location on the device - Info: Pin a7 not assigned to an exact location on the device - Info: Pin b7 not assigned to an exact location on the device Info: Fitter is using the Classic Timing Analyzer Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time. Info: Starting register packing Info: Finished register packing Extra Info: No registers were packed into other blocks -Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement - Info: Number of I/O pins in group: 26 (unused VREF, 3.3V VCCIO, 18 input, 8 output, 0 bidirectional) - Info: I/O standards used: 3.3-V LVTTL. -Info: I/O bank details before I/O pin placement - Info: Statistics of I/O banks - Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available - Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available - Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available - Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available Info: Fitter preparation operations ending: elapsed time is 00:00:00 Info: Fitter placement preparation operations beginning Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 @@ -974,7 +929,7 @@ Info: Fitter placement was successful Info: Fitter placement operations ending: elapsed time is 00:00:00 Info: Fitter routing operations beginning Info: Average interconnect usage is 0% of the available device resources - Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y9 + Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y9 Info: Fitter routing operations ending: elapsed time is 00:00:00 Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info: Optimizations that may affect the design's routability were skipped @@ -990,12 +945,11 @@ Warning: Found 8 output pins without output pin load capacitance assignment Info: Pin "Y6" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis Info: Pin "Y7" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis Info: Delay annotation completed successfully -Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. Info: Generated suppressed messages file D:/projects/quartus/double_selector_8b/double_selector_8b.fit.smsg -Info: Quartus II Fitter was successful. 0 errors, 3 warnings - Info: Peak virtual memory: 306 megabytes - Info: Processing ended: Mon Mar 07 11:07:55 2022 - Info: Elapsed time: 00:00:02 +Info: Quartus II Fitter was successful. 0 errors, 1 warning + Info: Peak virtual memory: 307 megabytes + Info: Processing ended: Mon Mar 07 11:22:45 2022 + Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/double_selector_8b/double_selector_8b.fit.summary b/double_selector_8b/double_selector_8b.fit.summary index c8ab7da..69240c2 100644 --- a/double_selector_8b/double_selector_8b.fit.summary +++ b/double_selector_8b/double_selector_8b.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Mon Mar 07 11:07:54 2022 +Fitter Status : Successful - Mon Mar 07 11:22:45 2022 Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition Revision Name : double_selector_8b Top-level Entity Name : double_selector_8b diff --git a/double_selector_8b/double_selector_8b.flow.rpt b/double_selector_8b/double_selector_8b.flow.rpt index 139e897..959e6e9 100644 --- a/double_selector_8b/double_selector_8b.flow.rpt +++ b/double_selector_8b/double_selector_8b.flow.rpt @@ -1,5 +1,5 @@ Flow report for double_selector_8b -Mon Mar 07 11:07:57 2022 +Mon Mar 07 11:22:47 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -38,7 +38,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Flow Summary ; +------------------------------------+----------------------------------------------+ -; Flow Status ; Successful - Mon Mar 07 11:07:57 2022 ; +; Flow Status ; Successful - Mon Mar 07 11:22:47 2022 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; double_selector_8b ; ; Top-level Entity Name ; double_selector_8b ; @@ -63,24 +63,25 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 03/07/2022 11:07:53 ; +; Start date & time ; 03/07/2022 11:22:43 ; ; Main task ; Compilation ; ; Revision Name ; double_selector_8b ; +-------------------+---------------------+ -+---------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+------------------------------------+---------------------------------+---------------+-------------+----------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+------------------------------------+---------------------------------+---------------+-------------+----------------+ -; COMPILER_SIGNATURE_ID ; 220283517943889.164662247222660 ; -- ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; -; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; -; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; -+------------------------------------+---------------------------------+---------------+-------------+----------------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+---------------------------------------------------------------+---------------+-------------+----------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+---------------------------------------------------------------+---------------+-------------+----------------+ +; COMPILER_SIGNATURE_ID ; 220283517943889.164662336312624 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; MISC_FILE ; D:/projects/quartus/double_selector_8b/double_selector_8b.dpf ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+---------------------------------------------------------------+---------------+-------------+----------------+ +-----------------------------------------------------------------------------------------------------------------------------+ @@ -88,11 +89,11 @@ applicable agreement for further details. +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 245 MB ; 00:00:00 ; -; Fitter ; 00:00:01 ; 1.0 ; 306 MB ; 00:00:01 ; -; Assembler ; 00:00:01 ; 1.0 ; 241 MB ; 00:00:00 ; +; Analysis & Synthesis ; 00:00:00 ; 1.0 ; 246 MB ; 00:00:00 ; +; Fitter ; 00:00:01 ; 1.0 ; 307 MB ; 00:00:01 ; +; Assembler ; 00:00:00 ; 1.0 ; 241 MB ; 00:00:00 ; ; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; 198 MB ; 00:00:00 ; -; Total ; 00:00:03 ; -- ; -- ; 00:00:01 ; +; Total ; 00:00:01 ; -- ; -- ; 00:00:01 ; +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/double_selector_8b/double_selector_8b.map.rpt b/double_selector_8b/double_selector_8b.map.rpt index 7bfaa92..0b9d6f6 100644 --- a/double_selector_8b/double_selector_8b.map.rpt +++ b/double_selector_8b/double_selector_8b.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for double_selector_8b -Mon Mar 07 11:07:53 2022 +Mon Mar 07 11:22:43 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -39,7 +39,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +------------------------------------+----------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Mon Mar 07 11:07:53 2022 ; +; Analysis & Synthesis Status ; Successful - Mon Mar 07 11:22:43 2022 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; double_selector_8b ; ; Top-level Entity Name ; double_selector_8b ; @@ -200,7 +200,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II Analysis & Synthesis Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Mon Mar 07 11:07:52 2022 + Info: Processing started: Mon Mar 07 11:22:43 2022 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off double_selector_8b -c double_selector_8b Info: Found 1 design units, including 1 entities, in source file double_selector_8b.bdf Info: Found entity 1: double_selector_8b @@ -210,9 +210,9 @@ Info: Implemented 34 device resources after synthesis - the final resource count Info: Implemented 8 output pins Info: Implemented 8 logic cells Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 248 megabytes - Info: Processing ended: Mon Mar 07 11:07:53 2022 - Info: Elapsed time: 00:00:01 + Info: Peak virtual memory: 250 megabytes + Info: Processing ended: Mon Mar 07 11:22:43 2022 + Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 diff --git a/double_selector_8b/double_selector_8b.map.summary b/double_selector_8b/double_selector_8b.map.summary index eecfba7..22369a7 100644 --- a/double_selector_8b/double_selector_8b.map.summary +++ b/double_selector_8b/double_selector_8b.map.summary @@ -1,4 +1,4 @@ -Analysis & Synthesis Status : Successful - Mon Mar 07 11:07:53 2022 +Analysis & Synthesis Status : Successful - Mon Mar 07 11:22:43 2022 Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition Revision Name : double_selector_8b Top-level Entity Name : double_selector_8b diff --git a/double_selector_8b/double_selector_8b.pin b/double_selector_8b/double_selector_8b.pin index 16059ef..3b67fe5 100644 --- a/double_selector_8b/double_selector_8b.pin +++ b/double_selector_8b/double_selector_8b.pin @@ -70,19 +70,19 @@ Pin Name/Usage : Location : Dir. : I/O Standard : Voltage ------------------------------------------------------------------------------------------------------------- ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 1 : input : 3.3-V LVTTL : : 1 : N ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 2 : input : 3.3-V LVTTL : : 1 : N -a7 : 3 : input : 3.3-V LVTTL : : 1 : N -GND* : 4 : : : : 1 : -GND* : 5 : : : : 1 : -GND* : 6 : : : : 1 : +RESERVED_INPUT : 3 : : : : 1 : +RESERVED_INPUT : 4 : : : : 1 : +RESERVED_INPUT : 5 : : : : 1 : +RESERVED_INPUT : 6 : : : : 1 : VCCIO1 : 7 : power : : 3.3V : 1 : -GND* : 8 : : : : 1 : +RESERVED_INPUT : 8 : : : : 1 : GND : 9 : gnd : : : : -Y4 : 10 : output : 3.3-V LVTTL : : 1 : N -GND* : 11 : : : : 1 : -a4 : 12 : input : 3.3-V LVTTL : : 1 : N -GND* : 13 : : : : 1 : -Y2 : 14 : output : 3.3-V LVTTL : : 1 : N -Y3 : 15 : output : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 10 : : : : 1 : +RESERVED_INPUT : 11 : : : : 1 : +RESERVED_INPUT : 12 : : : : 1 : +RESERVED_INPUT : 13 : : : : 1 : +RESERVED_INPUT : 14 : : : : 1 : +RESERVED_INPUT : 15 : : : : 1 : TDO : 16 : output : : : 1 : TMS : 17 : input : : : 1 : TCK : 18 : input : : : 1 : @@ -90,32 +90,32 @@ TDI : 19 : input : : DATA0 : 20 : input : : : 1 : DCLK : 21 : : : : 1 : nCE : 22 : : : : 1 : -b1 : 23 : input : 3.3-V LVTTL : : 1 : N -a2 : 24 : input : 3.3-V LVTTL : : 1 : N +AY : 23 : input : 3.3-V LVTTL : : 1 : Y +BY : 24 : input : 3.3-V LVTTL : : 1 : Y GND : 25 : gnd : : : : nCONFIG : 26 : : : : 1 : -b2 : 27 : input : 3.3-V LVTTL : : 1 : N -a3 : 28 : input : 3.3-V LVTTL : : 1 : N +GND+ : 27 : : : : 1 : +GND+ : 28 : : : : 1 : VCCIO1 : 29 : power : : 3.3V : 1 : -Y6 : 30 : output : 3.3-V LVTTL : : 1 : N -a5 : 31 : input : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 30 : : : : 1 : +RESERVED_INPUT : 31 : : : : 1 : VCCINT : 32 : power : : 1.2V : : -b7 : 33 : input : 3.3-V LVTTL : : 1 : N -a6 : 34 : input : 3.3-V LVTTL : : 1 : N -Y1 : 35 : output : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 33 : : : : 1 : +RESERVED_INPUT : 34 : : : : 1 : +RESERVED_INPUT : 35 : : : : 1 : GND : 36 : gnd : : : : -a1 : 37 : input : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 37 : : : : 1 : GND : 38 : gnd : : : : -a0 : 39 : input : 3.3-V LVTTL : : 1 : N -b0 : 40 : input : 3.3-V LVTTL : : 1 : N -b4 : 41 : input : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 39 : : : : 1 : +RESERVED_INPUT : 40 : : : : 1 : +RESERVED_INPUT : 41 : : : : 1 : VCCIO1 : 42 : power : : 3.3V : 1 : -GND* : 43 : : : : 1 : -BY : 44 : input : 3.3-V LVTTL : : 1 : N -b5 : 45 : input : 3.3-V LVTTL : : 1 : N -GND* : 46 : : : : 1 : -GND* : 47 : : : : 1 : -Y7 : 48 : output : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 43 : : : : 1 : +RESERVED_INPUT : 44 : : : : 1 : +RESERVED_INPUT : 45 : : : : 1 : +RESERVED_INPUT : 46 : : : : 1 : +RESERVED_INPUT : 47 : : : : 1 : +RESERVED_INPUT : 48 : : : : 1 : GND : 49 : gnd : : : : GND_PLL1 : 50 : gnd : : : : VCCD_PLL1 : 51 : power : : 1.2V : : @@ -123,69 +123,69 @@ GND_PLL1 : 52 : gnd : : VCCA_PLL1 : 53 : power : : 1.2V : : GNDA_PLL1 : 54 : gnd : : : : GND : 55 : gnd : : : : -AY : 56 : input : 3.3-V LVTTL : : 4 : N -b6 : 57 : input : 3.3-V LVTTL : : 4 : N -Y0 : 58 : output : 3.3-V LVTTL : : 4 : N -b3 : 59 : input : 3.3-V LVTTL : : 4 : N -GND* : 60 : : : : 4 : -GND* : 61 : : : : 4 : +RESERVED_INPUT : 56 : : : : 4 : +RESERVED_INPUT : 57 : : : : 4 : +RESERVED_INPUT : 58 : : : : 4 : +RESERVED_INPUT : 59 : : : : 4 : +RESERVED_INPUT : 60 : : : : 4 : +RESERVED_INPUT : 61 : : : : 4 : VCCIO4 : 62 : power : : 3.3V : 4 : -GND* : 63 : : : : 4 : -GND* : 64 : : : : 4 : +RESERVED_INPUT : 63 : : : : 4 : +RESERVED_INPUT : 64 : : : : 4 : GND : 65 : gnd : : : : VCCINT : 66 : power : : 1.2V : : -GND* : 67 : : : : 4 : -GND* : 68 : : : : 4 : -GND* : 69 : : : : 4 : -GND* : 70 : : : : 4 : +b0 : 67 : input : 3.3-V LVTTL : : 4 : Y +b1 : 68 : input : 3.3-V LVTTL : : 4 : Y +b2 : 69 : input : 3.3-V LVTTL : : 4 : Y +b3 : 70 : input : 3.3-V LVTTL : : 4 : Y VCCIO4 : 71 : power : : 3.3V : 4 : -GND* : 72 : : : : 4 : +b4 : 72 : input : 3.3-V LVTTL : : 4 : Y GND : 73 : gnd : : : : -GND* : 74 : : : : 4 : -GND* : 75 : : : : 4 : -GND* : 76 : : : : 4 : -GND* : 77 : : : : 4 : +b5 : 74 : input : 3.3-V LVTTL : : 4 : Y +b6 : 75 : input : 3.3-V LVTTL : : 4 : Y +b7 : 76 : input : 3.3-V LVTTL : : 4 : Y +a0 : 77 : input : 3.3-V LVTTL : : 4 : Y GND : 78 : gnd : : : : VCCINT : 79 : power : : 1.2V : : -GND* : 80 : : : : 4 : -GND* : 81 : : : : 4 : -GND* : 82 : : : : 4 : +a1 : 80 : input : 3.3-V LVTTL : : 4 : Y +a2 : 81 : input : 3.3-V LVTTL : : 4 : Y +a3 : 82 : input : 3.3-V LVTTL : : 4 : Y VCCIO4 : 83 : power : : 3.3V : 4 : -GND* : 84 : : : : 4 : +a4 : 84 : input : 3.3-V LVTTL : : 4 : Y GND : 85 : gnd : : : : -GND* : 86 : : : : 4 : -GND* : 87 : : : : 4 : -GND* : 88 : : : : 4 : -GND* : 89 : : : : 4 : -GND* : 90 : : : : 4 : +a5 : 86 : input : 3.3-V LVTTL : : 4 : Y +a6 : 87 : input : 3.3-V LVTTL : : 4 : Y +a7 : 88 : input : 3.3-V LVTTL : : 4 : Y +RESERVED_INPUT : 89 : : : : 4 : +RESERVED_INPUT : 90 : : : : 4 : VCCIO4 : 91 : power : : 3.3V : 4 : -GND* : 92 : : : : 4 : +RESERVED_INPUT : 92 : : : : 4 : GND : 93 : gnd : : : : -GND* : 94 : : : : 4 : -GND* : 95 : : : : 4 : -GND* : 96 : : : : 4 : -GND* : 97 : : : : 4 : +RESERVED_INPUT : 94 : : : : 4 : +RESERVED_INPUT : 95 : : : : 4 : +RESERVED_INPUT : 96 : : : : 4 : +RESERVED_INPUT : 97 : : : : 4 : VCCIO4 : 98 : power : : 3.3V : 4 : -GND* : 99 : : : : 4 : +RESERVED_INPUT : 99 : : : : 4 : GND : 100 : gnd : : : : -GND* : 101 : : : : 4 : -GND* : 102 : : : : 4 : -GND* : 103 : : : : 4 : -GND* : 104 : : : : 4 : -GND* : 105 : : : : 3 : -GND* : 106 : : : : 3 : -GND* : 107 : : : : 3 : +RESERVED_INPUT : 101 : : : : 4 : +RESERVED_INPUT : 102 : : : : 4 : +RESERVED_INPUT : 103 : : : : 4 : +RESERVED_INPUT : 104 : : : : 4 : +RESERVED_INPUT : 105 : : : : 3 : +RESERVED_INPUT : 106 : : : : 3 : +RESERVED_INPUT : 107 : : : : 3 : ~LVDS54p/nCEO~ : 108 : output : 3.3-V LVTTL : : 3 : N VCCIO3 : 109 : power : : 3.3V : 3 : -GND* : 110 : : : : 3 : +RESERVED_INPUT : 110 : : : : 3 : GND : 111 : gnd : : : : -GND* : 112 : : : : 3 : -GND* : 113 : : : : 3 : -GND* : 114 : : : : 3 : -GND* : 115 : : : : 3 : -GND* : 116 : : : : 3 : -GND* : 117 : : : : 3 : -GND* : 118 : : : : 3 : +RESERVED_INPUT : 112 : : : : 3 : +RESERVED_INPUT : 113 : : : : 3 : +RESERVED_INPUT : 114 : : : : 3 : +RESERVED_INPUT : 115 : : : : 3 : +RESERVED_INPUT : 116 : : : : 3 : +RESERVED_INPUT : 117 : : : : 3 : +RESERVED_INPUT : 118 : : : : 3 : GND : 119 : gnd : : : : VCCINT : 120 : power : : 1.2V : : nSTATUS : 121 : : : : 3 : @@ -194,32 +194,32 @@ CONF_DONE : 123 : : : GND : 124 : gnd : : : : MSEL1 : 125 : : : : 3 : MSEL0 : 126 : : : : 3 : -GND* : 127 : : : : 3 : -GND* : 128 : : : : 3 : +RESERVED_INPUT : 127 : : : : 3 : +RESERVED_INPUT : 128 : : : : 3 : GND+ : 129 : : : : 3 : GND+ : 130 : : : : 3 : GND+ : 131 : : : : 3 : GND+ : 132 : : : : 3 : -GND* : 133 : : : : 3 : -GND* : 134 : : : : 3 : -GND* : 135 : : : : 3 : +RESERVED_INPUT : 133 : : : : 3 : +RESERVED_INPUT : 134 : : : : 3 : +RESERVED_INPUT : 135 : : : : 3 : VCCIO3 : 136 : power : : 3.3V : 3 : -GND* : 137 : : : : 3 : -GND* : 138 : : : : 3 : -GND* : 139 : : : : 3 : +RESERVED_INPUT : 137 : : : : 3 : +RESERVED_INPUT : 138 : : : : 3 : +RESERVED_INPUT : 139 : : : : 3 : GND : 140 : gnd : : : : -GND* : 141 : : : : 3 : -GND* : 142 : : : : 3 : -GND* : 143 : : : : 3 : -GND* : 144 : : : : 3 : -GND* : 145 : : : : 3 : -GND* : 146 : : : : 3 : -GND* : 147 : : : : 3 : +RESERVED_INPUT : 141 : : : : 3 : +Y0 : 142 : output : 3.3-V LVTTL : : 3 : Y +Y1 : 143 : output : 3.3-V LVTTL : : 3 : Y +Y2 : 144 : output : 3.3-V LVTTL : : 3 : Y +Y3 : 145 : output : 3.3-V LVTTL : : 3 : Y +Y4 : 146 : output : 3.3-V LVTTL : : 3 : Y +Y5 : 147 : output : 3.3-V LVTTL : : 3 : Y VCCIO3 : 148 : power : : 3.3V : 3 : -GND* : 149 : : : : 3 : -GND* : 150 : : : : 3 : -GND* : 151 : : : : 3 : -GND* : 152 : : : : 3 : +Y6 : 149 : output : 3.3-V LVTTL : : 3 : Y +Y7 : 150 : output : 3.3-V LVTTL : : 3 : Y +RESERVED_INPUT : 151 : : : : 3 : +RESERVED_INPUT : 152 : : : : 3 : GND : 153 : gnd : : : : GND_PLL2 : 154 : gnd : : : : VCCD_PLL2 : 155 : power : : 1.2V : : @@ -227,52 +227,52 @@ GND_PLL2 : 156 : gnd : : VCCA_PLL2 : 157 : power : : 1.2V : : GNDA_PLL2 : 158 : gnd : : : : GND : 159 : gnd : : : : -GND* : 160 : : : : 2 : -GND* : 161 : : : : 2 : -GND* : 162 : : : : 2 : -GND* : 163 : : : : 2 : -GND* : 164 : : : : 2 : -GND* : 165 : : : : 2 : +RESERVED_INPUT : 160 : : : : 2 : +RESERVED_INPUT : 161 : : : : 2 : +RESERVED_INPUT : 162 : : : : 2 : +RESERVED_INPUT : 163 : : : : 2 : +RESERVED_INPUT : 164 : : : : 2 : +RESERVED_INPUT : 165 : : : : 2 : VCCIO2 : 166 : power : : 3.3V : 2 : GND : 167 : gnd : : : : -GND* : 168 : : : : 2 : -GND* : 169 : : : : 2 : -GND* : 170 : : : : 2 : -GND* : 171 : : : : 2 : +RESERVED_INPUT : 168 : : : : 2 : +RESERVED_INPUT : 169 : : : : 2 : +RESERVED_INPUT : 170 : : : : 2 : +RESERVED_INPUT : 171 : : : : 2 : VCCIO2 : 172 : power : : 3.3V : 2 : -GND* : 173 : : : : 2 : +RESERVED_INPUT : 173 : : : : 2 : GND : 174 : gnd : : : : -GND* : 175 : : : : 2 : -GND* : 176 : : : : 2 : +RESERVED_INPUT : 175 : : : : 2 : +RESERVED_INPUT : 176 : : : : 2 : GND : 177 : gnd : : : : VCCINT : 178 : power : : 1.2V : : -GND* : 179 : : : : 2 : -GND* : 180 : : : : 2 : -GND* : 181 : : : : 2 : -GND* : 182 : : : : 2 : +RESERVED_INPUT : 179 : : : : 2 : +RESERVED_INPUT : 180 : : : : 2 : +RESERVED_INPUT : 181 : : : : 2 : +RESERVED_INPUT : 182 : : : : 2 : VCCIO2 : 183 : power : : 3.3V : 2 : GND : 184 : gnd : : : : -GND* : 185 : : : : 2 : +RESERVED_INPUT : 185 : : : : 2 : GND : 186 : gnd : : : : -GND* : 187 : : : : 2 : -GND* : 188 : : : : 2 : -GND* : 189 : : : : 2 : +RESERVED_INPUT : 187 : : : : 2 : +RESERVED_INPUT : 188 : : : : 2 : +RESERVED_INPUT : 189 : : : : 2 : VCCINT : 190 : power : : 1.2V : : -GND* : 191 : : : : 2 : -GND* : 192 : : : : 2 : -GND* : 193 : : : : 2 : +RESERVED_INPUT : 191 : : : : 2 : +RESERVED_INPUT : 192 : : : : 2 : +RESERVED_INPUT : 193 : : : : 2 : VCCIO2 : 194 : power : : 3.3V : 2 : -GND* : 195 : : : : 2 : +RESERVED_INPUT : 195 : : : : 2 : GND : 196 : gnd : : : : -GND* : 197 : : : : 2 : -GND* : 198 : : : : 2 : -GND* : 199 : : : : 2 : -GND* : 200 : : : : 2 : -GND* : 201 : : : : 2 : +RESERVED_INPUT : 197 : : : : 2 : +RESERVED_INPUT : 198 : : : : 2 : +RESERVED_INPUT : 199 : : : : 2 : +RESERVED_INPUT : 200 : : : : 2 : +RESERVED_INPUT : 201 : : : : 2 : VCCIO2 : 202 : power : : 3.3V : 2 : -GND* : 203 : : : : 2 : +RESERVED_INPUT : 203 : : : : 2 : GND : 204 : gnd : : : : -GND* : 205 : : : : 2 : -GND* : 206 : : : : 2 : -GND* : 207 : : : : 2 : -Y5 : 208 : output : 3.3-V LVTTL : : 2 : N +RESERVED_INPUT : 205 : : : : 2 : +RESERVED_INPUT : 206 : : : : 2 : +RESERVED_INPUT : 207 : : : : 2 : +RESERVED_INPUT : 208 : : : : 2 : diff --git a/double_selector_8b/double_selector_8b.pof b/double_selector_8b/double_selector_8b.pof index 2ea58cf897b4bdff97c2692493b3583acb3c1321..00ffa178e6c4ac0700012327ff0102659b4ef9e0 100644 GIT binary patch delta 6280 zcmcgQ3vg6bmiNB*5?;u!e@VJ~y3OlOlQbcaG#xNNHa`<>NT?VQ#Sz2+t~$e#WaC)7 zD@%U}@QBWs(tL=iJvX zA7Hh1w`yj1xi{o9=FGvH>@FYV736r}vM&|Ng&}&9VqEFr{73b?wi8J~(gK>n#$PN3b=W z8as9B!?AH_YeyC=SQ{LB_uXJt^++%n6mf-*8i#DQX0y{nNef`D^#-_&;u`+aJ>F8U z!0IJy7`Zo$EL_-8G%|mFkw`WozTN=qK^&Ji?DI9_oRrqb#z#kw*QVABE&I31E8X3- zx9a_BjvF92<7*{s)Kvx%w#)79h55rCk5wQpjvK(5{2`AtG+%-NlR9H9?2v|j; z<9q;sH5Vih!aCFPi(9Vt^t7%Tee=z=-_luEBYwXDR%A}FhQT$D4VRRJ=MNVZ1qHGh z@xM1fH%6>y+bVV2xuchwn~yhwi*DmlY#IC2uUa>cU%3)CJk)Zjv9a~B%MU*sUYHVv z_~QoXMgzGhy9}5yKRiVM7kTZ|Ps24S0f=ugKzGhf1x%TbMu$eq-Hykl(hmpGan`iMiSboEdKdi =Q?@kIZ1RXDFq(sYezsKA747`w@T1059PN zFl69{Su)*IPl3;#ju~Lwh?_~pwT<$P<}^2~hqqC(iN z<7^qfbg8)(qKkZL?_Ran56Q%l6s}L3fXA-=tFi}f`hnN-WQ3M1Qsz?ZIf+8cZOTZqhC$kp+j(HyQv%Z4nunm^N2V41)G=&n7 zO88We`tb~HWof%8xy#N9%sj6|%T`2@{^0*2?P*+XLYMWTR5w&MmkoaTJ8fUdKMInq z@Yd`pYrh88R&9&_fYSMF%U}r`uR5f?z4e8Rj1cjfBvKvRgZ|Q%hkqf!tP&}4doY8C zJJJ5_>t`JhBzIRZTWeeXj40W{n=|C0g3h8gDcI}>z9kc=R!67Do{BC@x7!;`xBrVZ?P@oa!ag+!fD;dqb zg_$-zq$T@4quPUyZ=sOuOwoM8!QXO4%M0hQPv)j;^Iz5|>+{T(5IOWg>+d+k5 z(RL9M41yi5ng^=*(@0rWcPu{Sp%oB^;cKWKsw$CWtQ#lBlR5MrUCiOrzJVw22Ic#n zTP%bhNr{;Q^SaI+p$^(ag^0{{-&-NJ1oFSu-u(htaDsA$#^2nD*`#&;%_f?qf&(L% zu^sDp6mK4{gJ|`MwmNZqAd7XK4Wx|)o`sSA=N1XCy~eFgObr-SfvG}D*w%YcXEFJc z`)?YB{Q^t&ooC5evpGxG?pe$|utL}=$6L)5a$!BUxTAQU*1iX9g%#^-E5z3JD30qc z0?(zR=fDr`&p6p9-^&+Yuwj(_5Xe>3$TRL(Q$F3VXS?UImD6Jp5NrP^rjk=)isMk+ zW~bhpC#DG#bI51eddWAyKJp!Yk5xS1m!q1`)(=}kEyI>aTZRYN2hTq%m47dDx+5fT z1ZI{aKZ18WoXi}?;NV+b6$JAR|I*1iuSxl;9S$Hxw)6oB(mV#qpRD{7x9ZLp=17wJ z)AgBnIIwKxbvD|6o;~>ZS^Dp+bKCP`Z_STb*FU(}q4UmoVHz#u5k@KQ{$MuidY~u+ zm#(8<9HH#Y&eE9?nTJ0y(gj<=GgH@ykatFO9|q>#;KTd*?kE;d)ixo|f8){r&+Psw z)pi_Ml#!*NY21R2cW^`7(a(Gk9l(9Al4@5LY#EnR@$+*7-CO}~j>sxMPLBUmdOVs< zofece(G+=XehXUr`XW)#B5&=JCpY{5c$cOTvi9d`Mz&#E>v7K);+IlhzmKxPyZrGI zncg=wh2ct_!~S*GeJnQs2T6I=4w-MX)1KOEc-55g$oS)O23_^dyJ`+wvnQ9n7e6G@ zzTB(-xz*O{4TRu%wAK3-OCEbR{jToJn4+>X?>PsW^ThH^J0W$yWrec>8lJp9MBElF z3yu|ky7}ry#x6X4XL=qmL}Yti1Mm@l9l-CGjpRUz70Gp2ASKQGuw#jU!_Jdjj}HV7 z;uV9Z^Ku%$t5ZZC#<9iE!&v@uV~jInz#+7stkH{h1*Kot2GxiRB9RS#BnBl!;_;WD z;I4xnQwj>$+MKNL!Tngi^5%t0#lZO+Hedv{BySduo~k|%y(o^Pmh^He2l{fUzN)~? z@QEH+3mWHYNRjlHNdn~t@M2TZBCJ9hh^wX&Y<1+Tv51;d8@PZB@WVz{zx|fnm_CCG zIjYUVgQWm0RaL$R6dCAh3}z(H^V9`jyKE>UMaFq5Nj^J@IS0gf?9Q+=Pr-_jy9%b` z3dbsg{JQekqCioh(n30fG)8{#W1gW4ySuy5?!x@VWs<9Y>A2`1k zm|~o~c4Io)mp2HPJ4761qIHBMidX0t=q`sF)a8P~C?rQ@;_~G%*l;C;EbFbjC zRhJx5_o{>ar@fv>{J6}%(sPZ%cAuPlXFVI*QdrnqrK(odFGu`-JW_QP_sR93>gq*i zyj_d@>IZ7?RW;gsG%63Oy{ggreZ#r&ype7RCMI%(nv0jUv*y!aJrJ*AMs z1n(>^W#xt6W`DQ6Bn>MD6>=jWRtIbT7bmB-X3tWi9uZpG;VziVrC>RBV;Ld|@nH{r zkZn3#bmNr|AAi3MOl>{vGg%PDr`Ksjr=P{hhFxW($gy=>bB59_|*5R+dlNo~&8 zvYuJC!M3d`l-KWYwz0P_mSjTrXy|G*`rPh*)_c)!Z-DMzb?5GW-`s)Zt(#4I8?AS% z(Y(W~^poNlfIg|ZqipPAagGmh)fsK`Zf^)I#Ras)x}#?J(s@tHuERvpn~kxq9{5_C z93jbJ52OK(q1*MAxLpIjIa*>(nE%u> z33=r90$M53k}2PG8?-~ErJ&hc2cR^Dw3FP!f6Rr`ajy3C&&x%+1M6|9I^8BPbRqz@ zq^};+q|F3FlR@a{mq!`z8($VmdLaNT^qYr5JJjvx>XBL1NLLxiZP2+n{uT2F`EGDai5h4hO3% z51>!5I{HQ{ZFIubKS~2v-yziTKQzVgS9sdokvri55S_eYM!|4BDLGSlz2ZnN!=X$jN8#y5VD{+(86dP@cE5oz~&N_jJ6nPBEji3i@ryA2%OUb9qR6{q7$x)_}AA*Xq2 z=whdsK&I=d(|=$6o0Q2}B@?{57!+wdC^iNH62I8m65mox?|9hcqdh>5!uNd^{P%>l z7?S~5^-x9m{{SEWYI`ZF*Grbj{B8QG04FT-LC42!Ks)fkK9nCm()lsw+R7SO%R>;r z9Sr|w2@v&)DE`?6|2;t=7ON*FKMd*su3h*CB8CK*;;LPX_6;mLu%p*c;St~w;gR4; jgD3q+uYbnObMZkxUH8LhmjTOw-1Upv4NvGAB5H(vTMmzB`X-$k&GNwK{hG6R0X{SC8sWvkq(C^>9J0K=b z9Uc9K{qOs~_v`;}kE0R!Xha@((oq}CBtJSe8z2i1GU!zp<)MG>fEzRNbBF<=6yqf) z1DzS-1xOHMZqEA6)T&jx-JfjS=r+R`Q%JSL#8bM_RVuKA^xgZ`6O)qklyg!L+{|b8 zdnhlKT82@8MDKqhdcR^t?1AZ{NBj3oA3OH@O5N6Zd&+q#y$ml-hKzdfB%2%lKcv%9 zj!ut`j?v{XwX$HB-pujmvNM=7@4x>_^)eS`J8$1a38Tmwdo{Hj)=Oy5-@xbdn5iy2 zJ&Vve^WJ-%w|#Q;)mzL>Q+~gngP0{x(pi+P!&ENK&rpUTOFj%+_}ueEpwm=D>EZL} zy6VDYPtKk@H&HU>_2zNONaj;?e1eM5<-`}&zlwZG1Ly0f5|b~K7`ft1U*GyO80%Cv z#7qTWdu0}O^!?eHnU89wd_H%ovhyfKMBjWwW$5zm{L*6A?H6rdU45&+{({Xi2I(to zpX0ppPt<(ua=BRoJ1z1>t^nBM0+{j8~OFWEV1JlVI@Wv@I8p6l#JHCq7M$om3IR zE#`U~D$<9@0)tFmcG_7RVrbCDq8`<1rbfTGqy>|S7=a-n znV6nTJc7(X&=g=vh!7=Fv>k1^Xsj%?EjtY@8MP2lS@vPDf{npEc~VPLx%-mmJ=Bp0 z4XP$w#1;>+tC0}9CBTRQRv~o3AVewB%nWTPVB-|El%Z|X*7TQV6t_E3c4(M4vJEqOQx>7^EQ6hGJnr~4{k$nA#jeQM?QGy+wr zC+{>ep7fj~9oo~5DS}nSy3sFJ693m0vxms&w5(>$BN>!5AF!?SfCfzT(22@(dVss# zmwWWVoD&PM?`&S6hAe^RP#b?~*l1l^*eE02y3({HGW)6S!Q_m;><8~I(LN_jh*8MB zYtd{WGF0kMW&~1%h#WcefsgvjM=717%ge|T$%g=wdBr^4U{oT`3sSFde2vP7WjdOM zX+u>I$iy|-)P~IB#(Q~iexv85rHaDRoTO!Orrm1n304D zrh%gI2dDIzc{;ZwS50}K^bt4GMM*@WNJRQ!B#EvCp+A&G;84gRVmZ(@t+Sp4l@jAO zoyb5}1qCwQA%gfA@+jX^sjs4MyP(QGzSVT3NRh-Vv9;KwUBW-D{F|Doys1Z(XU?mSgEq zc~WseV1xi||WMhl5-6Gjegs%+y8kD#YA>h3?loU?{&5T9sOc-uUIvzI&f`D__RKkDbet zq(@i#AkUuY{7w*I;r7PbylfEw7AWIDLS2OnTn6u2R%X_<9*vT!iu(1q*D90a}@_?eKjUBaViIst_o(*hqW1$t>nNdY)r725HRw^>L$ z=hp&V&oYpESA}*{@9hi>bZ5fMGgV+ZqL}Q76WSneWxRvy@)#N7Yj55^U}mNn81nSN z;&?rE1tX3T_}jj58nt%v>^%%f4a<|w-BFmKB|`VH@O0K5IQv+Q=4j|%1htwOn!2iC z+b)`t1$@7dAkcz!Xs^`VlB%tnA0b|-eA(VC~DfT37FuR7cvh z54#UATKvjCFj{@^TJH19Sn&n}8zVK^);)_dVJHh9cePF^zN~|#%^n=dVfx^zNWJDh za5Q6o!pc0u!kVt-7wn3dt_rqF6HGr=&E4wj-j7FgGq+>k^3RzTm4(q~ z>R@G1fdfZ2Y3jZe%*97#+h=0Sx4^rP<8gT00_RrO!kHmJBl4ZP;JxCtJVYkStcX4r zeT#n%+9t}fn-Pyb!HcCgDs}*7(NZjUmUdM~VLndsQj?yo8pt+%ZpXgC5?_LIH&6v9 zcWwl=r3P~1emvL8{-0QxI_@hUiGA0PaTz^$sjl>|9Tdu#wKn{B621#q=^q6fe(gz| zD$!PXtWgprq@+Ddze7tr)r0xvjLjF6O(f4M;E$9%(Zk@+lpt|NTsBj)O<|E2kwrwU zJwbd6Awl7|;QfcLA8y&ZO;H$pSbR8qO!%1bvFulrj74wl{FSvlyUy#cZL|^=V>y2E Ee*^S%;{X5v diff --git a/double_selector_8b/double_selector_8b.qsf b/double_selector_8b/double_selector_8b.qsf index 0baecad..606e5a3 100644 --- a/double_selector_8b/double_selector_8b.qsf +++ b/double_selector_8b/double_selector_8b.qsf @@ -50,4 +50,34 @@ set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_ set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" -set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" \ No newline at end of file +set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_location_assignment PIN_77 -to a0 +set_location_assignment PIN_80 -to a1 +set_location_assignment PIN_81 -to a2 +set_location_assignment PIN_82 -to a3 +set_location_assignment PIN_84 -to a4 +set_location_assignment PIN_86 -to a5 +set_location_assignment PIN_87 -to a6 +set_location_assignment PIN_88 -to a7 +set_location_assignment PIN_67 -to b0 +set_location_assignment PIN_68 -to b1 +set_location_assignment PIN_69 -to b2 +set_location_assignment PIN_70 -to b3 +set_location_assignment PIN_72 -to b4 +set_location_assignment PIN_74 -to b5 +set_location_assignment PIN_75 -to b6 +set_location_assignment PIN_76 -to b7 +set_location_assignment PIN_23 -to AY +set_location_assignment PIN_24 -to BY +set_location_assignment PIN_142 -to Y0 +set_location_assignment PIN_143 -to Y1 +set_location_assignment PIN_144 -to Y2 +set_location_assignment PIN_145 -to Y3 +set_location_assignment PIN_146 -to Y4 +set_location_assignment PIN_147 -to Y5 +set_location_assignment PIN_149 -to Y6 +set_location_assignment PIN_150 -to Y7 +set_global_assignment -name MISC_FILE "D:/projects/quartus/double_selector_8b/double_selector_8b.dpf" +set_global_assignment -name USE_CONFIGURATION_DEVICE ON +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" \ No newline at end of file diff --git a/double_selector_8b/double_selector_8b.sof b/double_selector_8b/double_selector_8b.sof index fd60901eae5a91857b6e40051037bcd2bf0f9190..45626a8939dc561c782179b9add4a8acad889ab6 100644 GIT binary patch delta 5701 zcmbVQ3sjX=7XJ4+_rm4r1wj!5FNCX1jE5wcR+pgi6@iLUl3tY6OGmGbEi+#~rh;oy zaZa+;&_QRGHqE#suX4aFX>4ki<66RMt+B_HI2mOR!dYvqsT^nT|8g&&)YRN{x%`iF z_Bm(oZ-4tcXZyR++ux0@J)U4XT*4?c48tXA3?qgvYK&CFZNv617&_5(7{YJ|-a6^R zG+gxS|2U!0u=*P|1N@JyCv;h8#HejICEnt;If3la2i%6?@V_w(VbWM`ThCbB#Kfg- zFiUlK7pn|$5Z^l>6MVI&1o$q?%hKmLyFyq$)L^Z8KD3N(ehAArgnR^-e4umGrs)~=_-c|lFX(G@QC``p9IA|VyG9)o&cW4DypSOzwI zutuy5!OEE;kF0+SK3DokfzAccs=Dh-^`Ln&DH`8Q5xHb1_1AaW(48Zu)3pPW*2^rK z%NDvxM;d(#c7F~@b!~8?YP@GDcKcwgc6;TwpuCGie4R7)NR@e^6dT@$FR9CDJeMr< zXqu*KdyZf4l6bHaUZz)eblIRBy?ZUsyrtbw$~_XF`2r40iVT*dLWZ9EC5*KBHkZ$^ zk%^P&ejZA(>oSz7#)J@*)gqS`^Bw4h3PGzmv>i@x6I9-diQ4^~+z;5j4Dx8KWPX{4 z)UH&gmy1b&&I-v(8qJ=arCjND-Bl+`LMSYg0W&5_%lCC9kc5rzi9cb(cjW)J=d!Ri z%-_#vF8?RH{|$)3^Bqu5mk-(q1*WcePej}4R`b$B@$$!_n;m`3=Usd|sD@{cf*Z5e zLz9gV=dyr-hjJkVAE=Z$s`k{!Ruq+c*kfd41(^8qKJg$;OLE^P>>e&``20n2SQ50c zdnP&Q>CePsiJ#UBt#@~c7o~sE9o{Nl^if({DQ+Gm=HlfAVix)9?+^%i8^`Q50E7(D ztp1?q(1;InR4VDBl;CzNI;E&0H%0ln>o-TBIaof#k)6v!WU-qpA8K;SQ6bzBs(r#y zUTY|7Qy#HVLKOQ-&ZY~b*tV!?J7f4|DjDtzv1m3HqiPTEixwKWiboCc&GC&A*x(Q? z_LyFp1@>-{bO6`i0tE6%l*zt~Ps6%(N7_J|mF7`^? z;F3+cb(WavM-n3e>#tH!XTQx(c^~>AXBh1rA^;w(bzf zF1e9iUy6;nVAlke6#{D_#BJn|N60l5-?s=yj%xRQY&Gzs$rGEz_`ZZoRtUR_elBWR`LAP$RK#hV20v6QRCE5RNkAc_{# zOtGizDhskiZ}$O4NoJ?(t0_RftUoQnaZan)#YtbM8g{=!OUn&JmBGCM!f{&@t;8H9 z@5hE+&|$d=Uz;r6AAmO)E&0C8Z$k&*4o2ZAHc@0H3{7nKrCFWZa+?J9t60Ao}|-ZAA`IYODqEBx&}v5}hMJPgQ@am*8l z)b@Vz@Gbm25pZpZ97krWn@V7(R7(~+829v`k^ouU2QkDyRuibf+Kb`^^rnK-f+Qub zP0uNX6uX5w8t7(=M{uo>`AoJusVaS#5kN#Tk)=&leqXAuoTl+rW5EOqash6d!W?Av z#l{TzjwbV;SPV`C;29I79cop_#>we@nS4Qy7gy2LqRG9Z(R)=aQr>y@bFv(x$=%m~ za-m?AOLF=?=q+MFuqES~63L~{O0li|h%F5QXw4WfkmST2x_CMK#m=QNmz~@n$tf6` zBW|OizX1!qn!x|5o%~~0Gha=_)(yge4LJ~ob8nCfv7waMt$T!srrE^k%hfPH3_Fsg z4VP_^7Gve>wox#J>%C1=u}cqPdJ1_i0uxWeR89P$!c^_4?qGIf<5^gvYFiuw75VW$ znDxE&{Trq(hjJVr2OeGZ0c3FTI}r$H6$j*qDGx!kYF~Z_O)kx!@qHA)My>*%UzhQk zIHHJ+T`L7NNr6v7wQH-K+7tZ_@6kRIpm_ePv|=t8uTb#_#rv*8wjEkYh^Z3qDs|tq zJf59R)%+?-ndiKI#^X8v42e*~44f6z2%P6*2<9O;S`cTG2vM8MvwL%%YsGFD5GDiU z`;Q0J;d@SC(-yw}GWAH0g?+5~mImn(N7&{y7}f5M=0Ymgvx1 zFN(9_xUZ#0GxgH|Cw#8-*(3*hi+y1vkCNRl6V_N_kP&WjzJ%hvDO6({i$ouUYohl> zz+Riv>xRDNxk~Eh5>XyTR!%ZST6%0x91~PlKXKcqzAg$;9cj%vY=ihdQk&)SA2Pt> zy_mOZrq9d{0ZkNeDQqB|I|wH~Ma!-xIC@qS-a}O9jB()V!F%ngujzSBPj;t^I4Vw; zCW>`IS#-VNrT(9zH-#iyk61n7FLQp-^D3@I{ZACYzT){$h#=aJ(7fzemL%gT-sk#D znV%(s8Q>08zic$a$h`isL@oJ<|X5%<1miwT9p0p^=Q=`#F#+2B||#`+RLv_HHq0@@Bk-y=MfEk zTAmCr`E@w|F5lX$EFjYs@i3u(71SEP{1M!*k|NbmC9fv+C;VzEwB6NEkF{D1QH>*Q znA|KJdejWs(pj1nNwfNThI^B#D;wPE^)spHoG0q6G|=81M5~&%HBZ~&4Q$VWV(d60 zX!}5OtUc9n_{<%EZD`g1-|!3*1Bsr@7m393NCvqf_hoNWmr4;l~#ixyGbfJ<+cESu)pTMhGW7b!6f z1*B8glj$6}$0byI!W!JPhWgm>{3|e=Trrp(R!jrlE^elc%M}<-@AAJEFF+>km)4V7 z%aL6rF5G($32}4z2yVWMf)~xYA%>Mv5|H_EP^c=NdRBF&9q!$2Opr3e30NWIN}RVz zOn{9nnq{1jJWvxUT;4s>`bHBQkA+NX2`N6$t_Q4L2WzbwDg@bfGdm+cmCYn$>!Xm5 ztwPQY+Om_)YfRDW18ZD!e zh8k=0-ed?KsYz~e-OZNe4Pra}=PgjUN?bgRnS3Ek(lk}tFMDD$Skt{tRd=FQ<9O@y zj6IlhEri#H;d-4zn?OySe+u14hO)xqfNx|f2%J!Kcq{zdj>ZBvi-&4X_H1zI*AI%- zf@XVLQ0Jkb$XCs7!b8oQBppIi-z)(P}2Hwyn^59yLQVGOH3v6{R;#)I!t&AtY{?= zM3We969M<{m(yuhf5nprWX6PaBf{`NnPEh<3WNUjJ0~;ko}S~eA*S7E}o>$17 zt@A*)-%`A>o6Pt>HF0#9XBd$`^!><)q_6+2|2lXZ=<+~s=K)(>^U!}A=-k^k_(Y{# zNQy`>j0D4z&`Dn``J;><@4u#B!}?km+~$9N@joxgp=+s~zh|%m-3G6DBm?aEJlM>-a5L2SP}nfibN>rE=h?0R delta 4966 zcmcIoeQ;FO6@Ta6_p;gW(GB?^i6+@#P?*HB35zCxx&a-bY6@Z?5X^@}tfS)MjAF&g zOG@~#iU|*LECyX2ixxqHPxdB3XcVUEj8zz&`hhw)VhU9;_y@MMHa+JpZ`t*O(m&XF zle~BDJ@=lE-#O>^-o_6`Zv0?m`^!06swT9MMn7R{nvedQnqSj&>(eW9ru_IEt#N)3 z(i~|`*C263qy2o?^sYGXLZ0#STx_i~%~91hHM1hMk_71NI4d zLV{@@n6ed=nE^pz`!gp3Mk-|mt-Z+F;Hk62OSXVzF6%|#L$VOVcZ+@4I1}o5QMod= zz{g+(a;xpYC)0ssl>I{1(VvM{9ozfhF}r(EO6;x$9k;I$FG8bwWv(crQ%X_dz#izM z^X>(D94pYb2>PwTt{SyM$Qis&B&hly7010;dWTe2 z`!i*v<2sruMbfDayBCAks=TJe3SXt8?+>EYGCrE9LScClNH)bDnDe-_0*7lbauB{E zu@dZ_Cwo|o=Qc^)J^)eLaxTrKVE9Sko7Yt6F8R2``@RHQq-r>O3`|hXkUnYFhjlgT*a^rY`!bhqIzZ5JimJZjA}G0b53RvNy9 zrBduVd%U2hUD%x^zowhtByD|x;1}?q9XLFkJy8fOy3^kM zvYD~*8`w?@M)1~?_)o7Qe;0$*9j-|ovqVF#AxKXJj;dsKWBhPkXWVxv39P1Rg zKTXs#GLDm~r+t14FS$1<=lBGJ1JHRUnZl%?ei%>4|0!7DI8Y50LE~_?7=4E5v?80| zC++8wnSM}|i{wy$<`H&PEDsr&udDsz!2@xRsz9e)Lyw!l$;eI-n@_}7feYGLF?B5C zv`)f#MdxgI31=-83*1z$Ow8i3p#0OJ1Ck7Gi_V7MOWJQf$0rZ)vP`+#8ME(PGK%n< zn7Yn5+hSmDp+F3e0UwEuq72eiT@4f&wv+x;YmsVgl?pv1gN&YRjb} z%LwM~GNfhiGxYz)YX^AkI-2ZcadK0V?>MPT$Qg<T~) zPXSkM22wml9k>*@5g5a`c_hg%$%UgB7(&%Q8WB?HQ8jBBydEzfQqu1>CodM|cNhWXev1XT=< zU$CRd2OFUDQo4KIHKagMKeN1yKpI{ouO1V!S|UM4K`T__fg{i(HuqywBQ&^xqICwt zB}hUmA%vgqf=RBso)eMbR@uo*IrA1vnJOYw&Z9CgN>~ThM?Ddp$b%NZ9j4r&`#&DG z&+2%s!A-#nW6;^~8tmcTb}Mij?oINs8An$N=2eTG+!=R(58*I0I(bODU+twMm8{lg z&@u3Y<=;OVHsjJ~#V!Zqwx7rb^~90ZTSIx+SOoW@?<8ayq2ZclsHI=Rphq04#+Fv- zfiBvqM^=as&aRUy$?l1AT(J*3PQXraOvkdDg%bVFak@F(=VMb-{6Y|?!m1&t!$WV2 zdC+84UE9MQ18-@BsGDcEJLTF6AI%oW3}+|kCnaT%Zcfuj(N4i+6kjNdG_cac^@<{z z0jFY-P-Dqg<#At?FfF2h#@fxrR^XEqED>V6e$2ZPtd65WMpKIjt#w>wrW05e$!0Qe zj$TB34Ug{?lkv_C@&Y!YmT(Yv$0hEj+{4@&GY%>c{zwkft+E9SFN)JVs@LYS%D77L z-dcgw&bPoUt19;ud?F+#C03j$!!#;x26g;%LF^{{0OZriRJYW815s(7Epa#qX?91> zXoorcg=6luZe80Art%evgJ~jllv$qE%75-U>igHk!4aeSjN{ggj}ADYeSbp}cSwVV`D)f@qb2#lj%aF-nE2AZh{ zamPi(o5M>C>+Y@0iB~07jR%QAz5vXnmpdGS>Bs#-XNtEVF=k_9q48&?CP^m{5IVO{ z^~@Fvy^Ip4EHD<+th{iIDqScZ&x9GYYaoemp9CV?pE?{X&Dxan0?L0tg9CP=3{O`6 zinOV5+8=JLa5!TV#Un+1eV@o44XN0&P+mbxe$5B9s{R3xL?FjMPeh}C#E-J-b$0lw zK@a}?Ltsy=V3J>Ujp)VhsPJ(G_lrdHRyhuqEaefgAn3$2A}5AMG&zL)CKLvJCuit zLWYwJo>VP`UYY{dj>wIqMVwdb3?too_n^bAS5iJrvdv{t+Bl(+KOh>P{K;%ltV4>r z_>j2Ct1=74duht|2$Uya-sV1-1om^mk0){LJ*Z6i68ge2K&M^?ZY{in+nhs}MRpn? zYkneL&&ZRl-7hOb&){n4!geLHt?scp7W9ZzHGYmDG`9I=RG4i}`DRpX1E=h|Z#z8% z>h#Q4nTI*Z9>~ClC(9`mf&wm}4UGS?B$!VJJbbxyN}Qq~)KE^IN)cu>*!+}ynxr#a zY~SSlA-V3A4h|LW-zIu!@vG!~l!=sjvizy{PTMR`f!MpIqIXSynwtP^TrD<9Mg8z~ z1N?YADx&!OYVfG>t+L7>cUOTTX#UG^%6fFIoW_;*x+Hg;&C6eCYlULSAR{3f>^_dX*hRK`9t(6@)APZ0dsfPehy!1?{~V**xH zK|Zmana|2Go@~?5x@VPA0QZfKElqX5JMb3{-X1%~Ku+cNCQp5oICY5g^~1SOQigbh z=EthFkZ(BSR<}5B1r5!haR{|L0$JK-YW1<-noJtk0>eMk&fQi1|8Gss$;DyM$+U3; zTFzNrIBy$u{h{ZifkRtmcK#WKaK~1ex#Ap}d^Q$)hkq8J+DU)$cmd>3Yjh25)HJ%{ wcE=vSH$twlev9^l1Fhdokz|*1PJq!O~z*bZ=_o+y>%5H#+$mQUlWE&(Bb} zcfQi9<(U$jxI(Qr--Pelw~@bqz&7*y$B+3J-A^2RK2OMLl^E@qB9d9PN|IL24|}yz zb)7aZrBkAhvf9|zGv-gf%~jT!Ejo(UWhl0tiLEcp>3QP5FXW58t!eVEF?4LN&iUpd zo_5h0J9W1w)I~f!x>36$!Rc(BcK*lUMyuqh=fjhZBfH!QT;8;G5J+Jp3%qA@Hb47; z_D<7O$20NzwcX=AJGmN=*}dWZqA*a-kGF6(9ZucMV$iWJB(Jw=(>mw)>>yvvkM-jB z^6Dq^S>LWY(!kUEOUaFBosy1k&dg@;ca>>}TDD43{M^p&?ter-n&a9%>gl(}Q4*GB zM-_6PAD%$`>+b9QV%9T}H7=l?#BDXtY;L;yNU~1FB#x^Le*a>^Q>=SNe91BGM~;Bv zK=VEm8JVp?-iu2vdQnSSGd_&FVAI`AqC8c3;}KG2#8S0H6P4>?hs7Uf@tRt{lmMGB zg=7*-;y|h$s1L<4g3lr4RuK8}Z`7HSyHxw`>FApyXN7c}-?PNc4V!DYU#e1~?IE4V z=g+CDS^2hhaII0@^IoqNSf_vaJw#Ss-bb54N2eJjoeYuWrL&ta4YBkY06%@kN^74I z>5P4J$vyNzTFIrg$rn*0_Y)AUXes*{ zhP|-Ywv~%g;;Kb}B~P*KH_6PjRmJ7g>Vk?cpS`|wY<4VjQ$R_+Y$h<$9+?mZYNZF! zn*w8KN85sP+S($;Af^W8c3L$PZ(JUpuq4`5&EKreTI+G^xtX=rzG9xAFBJr`dO}hY zak;zdF2lAMSaTxZXr;Ftk}e^;JBBFAFe7_)LzT1O`Ey2zpza>pA*!3Ofi4-PF<^p( zY-~2)TI-xMwzo6>(-!$-mmzyf(wB&n*WZmtC=((x!%aaNeLeOeeza)goQ(U}zkXB8 zG`J6K$6NFscFcF*+l%L(GRazN1K*>pt=+oF8aAC~iY%8vg{8Y1knp|-0cDeWGV*Gd z?YOcx+=~gAPaYXtENF-|;{;8s68TnFe2#w#|KL zX3`oN&$p#N5+KY}T}mQ_Np)-0DTlQSSAJ$%4vIIQ(!u-2Sv! z(d^57@2fI$%+p-Pw-U zK7bEeR77f;ht`#NNcIJ3E;@gjoX%}&VwqpptKRF}`o?c+E;mINA$wqtj z7VLP>dEep4TSrA{?LR{R>22DkB8b*qkro360g4>&T0LR*Tvw{; z!ikkf!ym*Iewe^l&6`bSBZo|8n6gXLe+Gq*60s+tn1fb;zgs4lynQjoJlo&7-cr_$ zBBiDTk!Ya!mf+ql8wL|xWU>zTzX*1)ek@{wYOi45R*Q{XXDKe|oCSOZ7le>QQr!5~ zR3E1ZgGv7qONGZKl6|CVFUxo}nK}}aeGt%~DBNGSv%uUeS&h)wMmUm~TEb_8K@Slx z0|qQ0Wo(p&@TZnY3*2uOF&XIqS&<2kAdu$heO61~sQrrtUaj(7=8=V=Kgti9wSS-V zJ~%n4fs-qD;E8r+w8)c5wN_?)2(ylLl zer9*cUV*ad;5TV^3%kRyQqdiBFRx~ct2Iq?8)POBvD7{9dJU}j(Y|vux{(+k2x5sn zMpQ}YohkMO$|h62K`e!_fko#WXIXL4y3CYefj6?aQ?%b?a$)3HxgdOyQ9XzJ0T7sDGl zkY8F8 z`OEcNpo{?@7RKkT66P!t=J$$^OLEbr3HSuOVeawfCVERF^VsbPinHGb+T+vk6`z)u zi*}0p=k|WOWJY0YmgIC{fF*khJ}nT>j+C5^Pdd=<^XWUYlb9~t_INNd+YX}7o>?X(te*QkxwMVr6JugTiW z>xTu|HxflSSfRHl&`!xiKE{Se99QfS64N7QyLXIcUA3N|$oqW^dwlZ0;$_QAw!h^b z=N>cJHh#n6WyQX38%M|}PcID{q7_UVemAjc<4 zW8D34FW2pX!f*Z5G@HZrD|j$WZvNy40|p-~{GSt7N8O2YqjbLSC`S4M*p)`??V*o3 zylH{VYlb~7jYSjlbjA)UYAH2Dft_DSk}@8sX0)q>h$n1b0 z^ZA!)@)UkUqJail!QT&6d6eEV4F-09{bme4fJ%oI}~!{ zGr+j~sN#wQnN2NZoJ{Q&0r^*FtViaoJc~pgbUtOol1)v{YzOR8W*;jTW?yA=_}6en z*4rZ_l*LD$Fk_om9y{Q~zcWTc)3d4np0Rr@q=L2y)tOD*YYM1kb+F0F%hamKy1iDO zrPCYw0{BIYv`&p(hTI(T6>CG7$-Car8t`2Phvm*%RDpg4PikNUA=|1t@PngrM7j!l zwx`DH`(r&y+DfT5P_;26^nVzZ{$~jxpD4&*|JMS~QzW!$t2$eUE>u+Zh(@hTnZ#A} z)6cCPY7LH%!8v9G%(nlo(NSG+TljBQQs|gkh}>pR(Jwxm-=lyB!d$|6tLX2TwPSZ$ z5iA>AUW?U$3p)6~zp&q7*w^znyUOp~IND3PhaQ3(iw_TzA4>g^8qi+Ji!WRg0U7MR zX^0)3D+zPh>d56dY>O0yUN?|mc;p~Z6wT_1Q$wE0Fx#hD^;SzWJ4}{U_%td_X4EF_ z?|;on>rCDn|0KgAJMnNs^+M=#7x>fu2Z=V3+GsjNB_Hf^@}GWhF+j*@aE<3@YgNec|YDe##03 zq7`oZ5x)9}c^-XP8JO`ruNJh=FgvCpEW^apXg5x`(7Qh1xu?;Zf2s0@7;Sc*P&7la zKXqp~S9>^m{G$!^PS*G>M~#yw2@iSDsDQy2vElf8LU>D@`U*6C;$e0Mi1nnFR;5W1 zvt!gc=~E!I)}fyF6`|r@IQ$vU(;D&d;U{kc`MpepiVh{n?(t0ng7p-i0xT}f?j1vA z_1j^Cq3}Qa%FL@)I~~ePNguD~qBBsictIaMBo#%lPPRV&MyH1}y?{V!u!vB3Cf)ni z6gI0|9MsOSvGc(20j%gxN>1{F-iI$5U9!tVnWWpfq=G*#D}5}0jjt-dA2dB>YK;&K zl7=vES(Pm*YkG6?M@ZiO%pmDBMCTBDG)2|RWxX-*@wB+-MgH$-1`7#mBt_$#}8X#nvW1<##@!$F^E*)Hz{3E zes9g|k}XQbA6i`S_O+jPt6nXfVRieDc2l_8{2%>Kuh6R62Kk=N_5EIx&gPD|m}C1L z$D+2x8ur&WoEp+2c`38X7Iw09xMNTVUyRP80oA>5;=S$R=F@mL@1C5>)@XRVnX*us z6HYaIbSFX)pX+&xGr^uL=q%hu~=?0 zY!~08^=&F1DQ~bTNKkFN{?G!cr7_7(bNl=FwpAujbW*qlyC-d)<8ZDuJ-Uh-VmKFL z&rH=ktT-2TD9WaM*5iHqQ`FRl=q=p{t0$bQcNv-PM3U2gwc%kHVl=pk`XIORq}3)h zfjg=kGNI@0T!=utG_J9`uaz=vHnhG?#8Mf!WVcX#@~vBty?et94!nfhYuu?@8u9%K=>abAewr_nQlb%MKE=;MUch( zd2=Y0Xh7cjwd=+$WawfN+N~*4$e#smv6gnC!(TmXr-BL$C^`aA&7qOap-LIn{dx(Qe*)PnKqi{NOIO;HARjzBhIZB>DB7>mE%`-ixd^9_j1!URH+|j&-ZsjcOBvuRx#slRB>4 z;|+9A>#qpDr-jQ!aHw63i48qspx5%L_=8=q;yua75#1JsapjC|{y~YA#DzpaJ+e&_ zbD*9}a7&ASWG*JYCspDL70pM@*UROVTAq78&HOK??egSih zqN(FfM2C#!pF_7J358&uCL0UnD` zTzweu)C?B(Ks_9>_CS61^lm+4t^~M%gsdL;T!c!`t5Ep52+gOm+LWc33kokpucjv5PzI76CGrah8u6xJuP+ z#R8vR@vU+OB<2-BIdX$B`#dyyZ|3rn2?ev`qBFN{y)|OaU0}UItj8C?NWj4TpaJU= z2k)@;WG7lZ#`ikh`=1mw3mX+L3+gJKWWJSmyUUz z&v#oLhLH{!@FoW9!^oE#531-;@`@f+Qah8@<`jBW|5lr_Zu*Xo|G3W!$i1s3b7dVy zupaZ;BW#b{iAE1Xn#Q2sz<;$T-vuQS82(cF5?^{CD18fyXjZ`-V|djci~Y}9#`xQ2 zU54I_sJ~n8G8hO52_)40vO@(XhMYDhm#F`sPo*Xi4#W|XuD-2sWbgq$P92)z+Dn4~&S zDh@euF_LBBN?dpd&=bT;)96`RyK>|TJocOUuk63A)kSEH5YPZ2pwWr47XUDjV8Ba_ z8;SjirvYBok&_l`+;EX`QMPXNH%!H)$N%%xX8*)rwb^l9&)vaQMWbEFlH>1EUG6rq zw0Z73){qXRt}lSxg?B$giAu%NlCL@p_GkQG4R*4kHlO^PD-?D)e+Rd$a94|xXsO#o zm!7Oem%^&XK9c*uD=WFOH``8ckXryAiWm%>4E0bHuH8K6O-#r)52i!8y~ zB1p(wlOL>6yJXR?F5V-#CJ0_i=OH&2gUfwq;t-~oRLxKOZMsxYGO7pK&LSwnLpO=? zE)54Ai;7+x$#dbzuti%6Nn5qqa9vPnbq-3tmeXuf_hqW=Q$PKuF`$I+vAuF0?dusD z{1M|b3r*~T36|PS=l7lB)AIfIWo>*dBSDjxwln*bqh6%1Gm*;6`+E}MUS%LfS}R}u z{zz`hglA#;adZ43r$5@1viguI{`W$u_=M=1hBw5zjyk5a^Y1Ih$-{2)#uU`%^xdK zWiC`d-z!?glzsTAY!@Ic#`-qD@t`<*f;i#(GJ9q`{PF?7x-&DLY<%+2sQDRLl7unq zX_Z1ud})nanY&PlVqoxMZx9qK}& zYGgTE5A3HQKZHctq8bqbcJoHfgNaHOnep>K&bXBBKh;>LZoADR>Gi5J0&Zv|R*(bx z9TH-ZV%ie_T^4O1EC~3Xd*cH*=w$`7-Mg9Or!D0#o2R8ck)$nvn&oiM%DQV=6 ze%^Ke+}UfLbz5~0zPtDCKj2xmCb1M5r4F( zs33vfFS0-(QN3liJf9$0?cVUyw}x1vyMBK(vhO;$RZY{fhM0cb{W;y#%wMbqN%I>vr}_RK|ei6>`*vgJMr{o zY@v&Yj&l1*LFm=3+m&2%mS3iUoIq?1K(jSz?xjqPwdL<4pX#`j)67u681lq3RbQ+d z*Xz$oAMM(?mSf3@cuUgsVOe^5Y~?~3cX8XXL>I9{lOtzt)k${br!D(C(HOQ6fzzJN zMQ_ke%BMSHP)oBiy-`F_ ze&|4*i%7d?Yv%Ct>OqGeE?Bn9P(Pk@ru$|?#gw5%+Q}2gTf@Zi&@)`3(^}n}#uljO zZu!-|X9g?tPp8VSzF&Du(U(64bk5IDY<%#jOIs<97F;Dy#M$dCo?%@cXdqsS4tGhh zw%mAMDe5B7f}VeKv$#8B;Vm3*cUqS3S|_2KJ&AYge0@ZdL;(C*l)jXm)0L0C+h?V! zn5y`#^vRHr-sx{*m<+%69Oeh8c>`Yyp2DsjpI7TseUaCk;&mIefPl2XHHqC^_27N( zDSrI;i5F+)ukL8w1d4`2dt7cWxeeLfWD=k=tvosVUD3nQt?1=_+6ejO zDB?k>WS`ppse0i)ZMZUb-#+1E9iS#?*5M+tyoZqn!!MChXF?2cZmu-JC#T`}c7Ijz z?RGG<`2P6O#-$_c71G=DI(EQhAZpi7Mdeeelhf%3uguL~+TWnRtxvV2twfZ;v>A5t z5x;bx1VI%tiPE5qoBZrgEWy!}gkeuV;66M|SLR%^qku`spo?nkOm}YpoVv zDB_{FQ!tw=&;G@S|&*>ujcy)Y=Y3@4~%h_7LcCAWsquh7jGOPTqE4ZofOb;Qj!^FXSa)J~~<|^k7 zYjrRWL6Y{uyZ5Z^Hi(og8wrps;dhm*!sbA6(s}xJcbq%RArINyXSLIgus7>xp5Xm% zfKZ-burt`5QyoNlR&ZsSgu58^c~rxtWbwUoa=`6vS{P zMt>Gm(sOLK627$|;UQIA?kuz0aecjQrFV%9-xwy!PZN&ZojC|zil^j6JIu+Fs+{st z<2`Cbzf)%Rn8e;bPH-FFC@*wdjYz{gxCn}~|2VJ{V*EHF<|TL){o)D8cO_EYm|8h^ zJiwdW(~l80*>-s|*x(^jJab&_b?7krJ+`57qg2eSfx)SH*Sl7`uh z%Ltx@NzG9}7Kmu;4hWb>rzNwc2--UtyJdeaT-l)C;oL#%b9^%Z6P%HhWK#rKx;w19$!-=vx2@)bnmJo25oA`WINYg!1pXqqe2E_OUELKSnGC(}@+ zfczugsAF0|J#UST-1CS20S4O_Q}Z0-*4tg%W!;@v-Rba7InT4;EX;R76Wub5dP0cq z!7rKF-$5Xu0O7G^_aK^xdHOhR;ck$h)4J?{2ezJ*x$E<15RtL%3GU15S_2}!J{TGv zXc$8ab9j60)@7}jJAvXh88oyDixA&72ClzVC6Lv=fdA z^(F8xIJPN=UgW(Yx%xg#Ego#!fqA!*TKxTirawsFa}BLG=5z@6D6R9|`>p&*^f%at ziqpqPrZu_`6xb_yWHxROVI>qr%X2P^Hu&P+bD~tPQnKg`T!K{Cclfvwzh6c6rvYhQ z+v+dOMUQwUFYbed)6_JL)iR+%P+PJ}Y|m?V&?k+(AJ}ah{KHb0x$e8UsShwf3b5>nlgxNu60SQR@o= zZflMA7gXjKRvIl@zycT|{#)X=+4q;xld!SjZ)+*57+qhbQV`B52#xw%EVZH8fs=s~ z>*`xGk0JYKD<`&?__`E2$POK(!ORH|{@FfmD{*Vq^r~KM;RJ|LYhP|O2kSpk8a;_F zOF;l29IK2&%tMHQlbOkszv~eJ#+eL54T3`g09|Cr^a)3`clB)syCJ~-iu~cwY{zGg z_^ri{Te`{S=T)Oe^Y5?TUjb2CzE-py_}RAp#)}L?_6{lbTJ_#=UT=c`$g3>&SCnR# zigM5KR<{LaQ^X9~N7|o`pgt!Q8c&kikV}hXa}W`5;%hXIz>L=cIt!$8H=sa;S&m(7 zwbD=S=qZe`-Ety>3q(=fzBz9?h)T4XA2>G+kj62W9OA%F?C;J$p}|MU<`VYtLn{3h zj!c0Vl{q>+WF^}AXj`}OfRZ7yq_L~FGeIqZFvf=NO8=oNYaIQ6yW0mo^JGR@%&M$7 zaj(JppK`oO)>ck2>VyKBzO-{+XlK0)qScQV}c} zzgaa$<{%VcS_K#{YkWvr4#;5#J7EHw0%2+^WNFy$m~7qN-`vsIQW*s&gDb@mYq)$g zsG(ZakZV)miDl66bHaUGzOXA3tuIi5n4ZcnCF{83%2aC4>6-$Z^}tuJB@WgSVFWZL z$fHd9WQ7;cb|tioG#xRO;FbO)cmrh9TeySF7 zkaXB4?hhx-Enb4UE*0vG$EP8yq-A<}-})an2eO63Kns?V@<2u{)l>jWwp9tO@4|Rs z8kY+uRjM^=Ng!Jj4D{7fGTMpYKb`MXKSHzlYw5S~+JRpi^}6C&T`)DA2ngU)Fsd!8 zgg+g(n)q~}l=%O%g4)s?*n5gzi-D?`f0|`JP7kbKnd4oZ>w9S_nPSVL7b?C{L%@*> zC`quj_&c)rZ=^og@yN1^X^8(x%R|vc)qUKu_taF{#RR}Xew5!tfCbx@en&?!K_>g6 zYNJeeuQ~OCgqG^d#c?TA?D>DOYY0YyP-b-MbLYs8=3yrP-$1sQ?GE9x^7yGYt&D7Q zLpAJUX6#fznblJf5JIs02%T+%!BVTAx^*RBBvbPE+HB z#r1UmCtBCUyU!~ufw&nRlwcqbFQ$`A*#*iQh${xF>JDezVkm*RdTQG<_(;saMa;G% zF0ELwqYz%N-%%XTs800GihRxuL%?AC$ir9qD#yR*jy2x8cWWs(TGp=xrdA%wqZe&N{W?w`aAxkZP9~Q z*t!^oiB8!pmzspg^GaDm@L?iyFTbs9q5-F4{JrC}QBAwdMsyw`=V{c0mR9u_w%aG* zS%G}Sku`=w>zZZLj`%d^@}y%-E1Sh^Ty7%^iPR$<)N5wnz> zPkpLTgLTC+Gh{y0UagM0va1WE#a59>FYzAG^}1ZSCrMG6$j!P^d0zPU{BY!T%nsng z8E}QWeDHl@2a|$3&pdCbCGyP?8D9{~Whdpw-4sS5GUIVzA#w@^vb=I@B{OFzE^d8d zQ`NhG&beN(F!-E^r0;gur+vzpf9b+AzGi+@`pMO}_Su>0*v88tpV*SO=|d#R7@v-g zw8pLy&alVxqq{1=NqQJGNc#gZarZ73M`2y6pxkz$uhqg8N$_IP3W}D%iCWk6abdqA z@};eFT9x}4ey+sYyBc0D48$PTvfGQMgvB7rN}`x9FCmxDJVk{_ifc3qt4UKMT<#^# z+nUQl@%%%*(=RJeE%~*S%tXJ~Y?8UH7U*lJS4JFtJz~cDIj9+|OB1+2N2{f#KALSH zCEX;Wt&pc?-?BMx@dLYji{_SYx03*ht#!V2p&7DAeis(9q%mT^X8&f$t5n7<->`YO ztZH}>T6lCL!#kE#LG|HqdVg}_Gxo8g7CP5-e}+dX3C8Z6G2Tk;TZg8ojnTvy8|~C3 z-1W$A>ufJ#cO18YqXg+R_TS>XBK8`Ggc4>Qs~skZX>GrHDL5E@e4h=Z`a;sFq3AE638&ZlBK5J&AOc9Gt+V0Z5?699 ziogj2{z1KVepL@uSwK9HsvCu$p}-6jjZFVP+OYITxFHP42S=#F(e0cIe$>mLmV@DM z(5?9zbkH|iVKP5e!+#XP*4#st5%@|# zz2Oo6BmNa48;b{|ovyJDBsD+N>z(wkQ1j#04&-7l;c99Oi=>pin4?2sE|9=hSm?<{ zHw-+Gi^>%SbU_KRsr(hP7ibf+&QT&1Su>a_s~W>q{^O;aN*A7MUj++rH0a9NR5giq z@1}w=#)b@8Q!Yg$n0|Xmok1ypf%mR7qGA!Y&_5|5Fo52dH%f=7G65(rv}xmpNKiYdbx`l^G(_>uI)xOXfJFn0MnmUNr8!u_AVW_q_vH=2ItvOVrrRveHzQi4 z^s=S60Z#&^g&(piBxL12F4zY`s;G47ei@e)3#VF@M|ajND^|>GbIwzp+Bm0DS>W~Y z=j0@>7TaW18b%ZZ;#35xl`f_f8h%w-<#IeLm1B0UxrDK90aI;k^XFU{*r{{Y6r4;DUtYSE9>(SUn!qV|R1iZ|P(LfH1q`Mud|NFPFzxk_^=(3*N!)tE2{sX?R`uu8EwY?SGw>0GDjUTV)RNj*{nz04$qv@yq-05WwM;;OHbM>vQf>2+`$ zyS4sdtbke6>lty}@IOhDQU3lW#QfG}0 zJk_F#M4hKsK}m%+V-WgHmPDtK@cb20*{YC{o@YH=Hk{if@7%&(IWqoH3>hhR`cv#P zX}nBQSz=ilpsX#IO=Ug?>mZOh&Qpc1P~oIKtAyrO_t9HDM=V1CZW@6JdNSA23 zK*aD#Dh41#S+JX7yChji-6SU8EaspPpy0hy)Ef0nzO5guGavj+w?Dq)OAQ>2@aDBk z^YB>=p%8vp6R!Zt3)!HdVS|}6*t3*C2L2!mX~J`bz+^BXqY1IoV-%kBSGDz9Ohige3?`P3*o`)1>#_eNW#L33~2HoSi*g@uYYHsqw0?o|KpNIJ+IPYBqbh zTKRtYlRuN%?!8Yll8V}Qu&WHg^ zk3=g)Rh5>6i%T~*Rh1L+kU?*LJ=2zkHCGJ-P!D+h&)-R(_cp(nQxERYuZe!sL}VOS z+TXoaslm->9;PA9h9zkVkj;B3i*Hs`y=-t4_yYE!sA|cxbuFx8n9s{}%FKqX)5xM3 zSrz%1hisQ}J$+?K`zv{71ovxS%I#hdpkMvHk;80J^YIoD4_P|plB;Bbu0>N-fH>F) zIyHZi%T7^+bIr_VMIez))^=bdk;A4j=AuC!M_33TlMH^FnO;4ZH`$RCLjTpWi7X|g zNRm~d%Lvw-!CKBPN+YiWf?XCbqGkf8Q8V8^tk9-Ya~A0tZVSv$+At{2X|V-n*V~9*{1SHg-}n_4luG0001Zob8)gZ{s!)fS==ELFlEsfE(+!+{HdPj_|hSYH8BgZqM%)tOn(riP*^<9D9P2MA6G!lLm zd47b(QGtmA%_K{SQ-o>K8w}8GS`t*|7^T4zJ$}lOkMnSypdwA9ypN)E9eOAz6OT6M zh^lZBAEm_|CMZvX;)_Et6V-Rnf5Re2=jHykutLYT%0r2UYht} z1*bN-#=591LsKv_0pRp*vvhNhgc%!Tye1e^6Z*|9*Ldx~Y+!U%Q+jaoUVkvRngJ)v zqR?~P2&1U(>g>90Y4w?cFJW;9u%TxhR(|)vV5dNvW>JJW1x)x`yMAgw0%TeH7-YmKFq%D5zH3BM}#EHwI3p6&wlAc?>pm0f9^s6Mm+da1%0m(Y|x#E zIF6D@oEW1!NkyE)bG?^~vjM=9?nojiT zv=;7lx-m?{q6*FFrEQHDi}A%&e^0Gh88g!hnx!O&EtVr-DRwLmW+{n$!%|WovQ!jc zDRnFlXDQ1KOO=1fQWb!u+_5~IrK&Y7HMzxd2rQM3<>4$xP41ETR_+kJj9BMT8@bICj@vYn=L5#ayt$z5r zRJJvd(yobQ$m4ETtG|KewkA^AHIWQ4?sm2M8(3~@BBfmuDKy5rTKx?yw>6R4u89=L z<8D{0AI?(U)BKmyRY3&H zQ3Q^{qcu6IIKEz9b{qkpAT}T$73oR^^7Y!X14;2|HAqot@21z0{d!^9fdqV_+<+Xm zN=ju|fqcEH>_F1DjeHGK5?jwXs#Jk|y`=0wve#n`$VV?E>SXhJJ=uY*-$pQyvfQr9 z>tv&KAP)d3%T2P8NA2x%9&xuv+47yQtfSWC{|$bcz=FAtMszW@zmOqmK<_A?v!~2Y zZSsfQ-rUxpjQ%k;FXumAWmxaHR+aO%Sbjic3-*&f)0bmb5!aOd6)HQo;KBdL^ybo3 z$=KufUAEd1x$;3RFX{+rYFKlCGS|EE%=sxi<_hR6VCzdgv*^4=KXgQx- zF9z`=->%1#<@{RX= zYr_sPTwGp(15+J+yLY1U8C^8wxex4QiyhL_3%O8V1z~EJIVN@unrrkm-B}~SYiMik z@zOYhzy6-cNt|f&H*U@nM5Un0swzs7Dz$o~#AhcF$Mr;2)fCNhy^*GAja5#ZWnc%X-Qhoc-)B-y_9HCr;0ZFG`}O>596h8XK1XLy zb909mXZ9K=nA8VDo<+hxLqd6y#0#GG*qI93#~Dt1oOtYH#m>)MfO~1L>O_`ZCLt`7 z>3s4#1jqQ(atzTh`BlFjTgHS2kYU}TZ!fJw6ooEv$cDCmNy%rB;2?nZ@E-5h&5Hxn c-aJ1_y+Zdh7sp(!kCp6Zw?0<#59mE)-G&#hmH+?% literal 1882 zcmV-g2c`I|4*>uG0001Zob8)iZ=*;S$DiX*QQ~E~C2tG`#&}xu;5ej*9mn<>JF7e) zE``GkHx-a>PpkX0@2TPvhjiGMHCpXBJS4H}a_XF4RdN21Vl+bYBt6hz|B$11^Y=)a zPNjcfQJkV#m=kJ4HBA$0=Rpz=Mk92e6ciO1L5csJ7C&XkBU!MIQJy4WHbh~v4_xFI zvCFD6#7x++hm!n(P?RNp{>i3<7gasj#~{zp>~_6IsZH}38kS~Q=f+go(vA-(De|<) zkspL4Lq1KSveZW~2J#b1_DK-$dGB{Y?1qKMzIx;_a0yCjvZr>f0h?(7OQT#Y z%)l}1a!Sit$WUa*g>SogK>@9Er~_hvzz{FuA(X|8HY^dl0DoxkC!38R%W2>gc|x;G zgw`RkVOUChh_OP>=kF=pCQRek8F6b-y5?99Lk49?ZVwQouJQ61(EMkl#>1T2B^LQ)_9tq9Zj`=dUsDeh!&c6y+&_#v}L-;Vb@QX0Cs+O$w zuKGB=6wy@?K-gFwBT*em%sy;MlTf~B*S7ly!pQt5`ZsZqUalWzu`*`GMdT3fIoM(q z4l{RD+?ao=WP!1&r}2(02C+vzL$#z%G#SenGyh?S9QiMQ7<}d2*v~@%z^Ds<%1uA0 z3l8W3BSBzfVml(}K@yQ*i^AXnN-pw4%A8oPHn+0{3~}Ly_CAA>ck|Wl+F0lt>)J5q zt2=$8Uk{P7T<^9+bhBCATIOoEnd?Ke-sqNjzuf++n}!KrSLW8bF}7P{`EiIAbA7R> z3XdAy8K$vi2F=B_wVkckv#W*vo{hgVmb|6K$C$5n%Pn{#vZ~B|Adzh~9t!J?acgXi zJKfseuXSOFg!#+_XY{4Xi&@EUy1o{;<&3&kn7HKy1Gsxh0qfGrNC?-8gj$+&>3IG- zRT&~Y!SG9FzclSVo0hK)y$R5hdU{>-rfNk`mhf|WSO$8yr`JJG#$ruRR$KI@Ku_-J zbDUG!9~rl%>-=_wM>8~60O=uPXap@^-lp|Gr>_VhaFDN>y^ z6uFf(#w=@0dU{>-lsaoD<5t#?VCT;6dwQ?XW8fwl%NinF{4}wZHDKd9y;$yAdgDg0 zjN5`mf|Tp@V!4xEBUr|5!GdAqI=xu#q}K?Raa*upwsU*2+)1wyENWY@$dGcKUMzRf zQyamewgrm}H)>8VmOJS+f<W?7>b%boPJI%`bCR@Tsv zaMf$&F0HIFnOtgR+=2)DHi)zQM8OAzS1o*3yN$uB&J3ekN*7pu7%)ohH7Lm?#}lRB z8{;TRxCYr4(9*O7d7=t@Yap4H<{+`ue(+rF>=Omy8v}{4QiGhdg`re}JW(6IHISNE zfs}Fk{$x^uJW(dTF_5xcC!3eg^{ZraqGEh&Ag6V*QIuA)QL1F4^&o!$q@vWxMwzy6 z|B!Hh;uF`^Zu^&N@c$2Bn#6bS5KY6nYA1;ZtpJB-&5Ia#WpEEIbG>8*>aWqI)f!K+<_>76k zx|7UB1zSS_vfiUqV;t+t(;_(g2Dv-xIq zvs;!{>i9lxR=aict%;4qd5|A0K5gIKrffU1`${`@Nz+W=N52Pl#@_wlhw87cZyzx)wMeMPPsS@%dE|{PFW8eTXQRtlb2aR^L>YUUuTE{p#j2`} zHP>;cnx@rW*-@H;AG8Wb{P6XdNjcdsusEadka3;Qm!G5XIUW2Oog>S24>wA!J&6ge zUQ~D$iTDbM@pnmdL^|MS{4I~9B=$(`@{|5gab^bJA4F=rdd*xsWrUF#5qfkSP2VD+C8`UjK{--r6(kvy%N&H<`uULU1ep~sm^ U;GtHpIXum7^_s(f0K7!~E@_Om^#A|> diff --git a/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.cmp.rcf b/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.cmp.rcf index 944d52028315b0e9e0fa9f3d6a319648219849be..c2c32efefc107ed011f9f85108ee9197f322ee35 100644 GIT binary patch literal 1231 zcmV;=1Tg!o4*>uG0001ZoV{4tZreB%eU86^XkKQ3BGo0S#SZ%5I1b=xmc~gJJqePd zrpCBdAj?w}gZcL%sl^mUO0rQWFTo{c-gC}fbg9$RQ#4Pu*>e4iCdqn}rQvd&ZP3+n z6_3zUvW-@8u!&dkB1_W1i_X#{$%2(L4O zyGhpQCQOm#B7hObBWfdTVO)DQ`X!$I9H$$092%Zk=wiEEJt0hNWI6A^eTOY;h#unf zpXDM(AH&7p2&0F;(3dzu(*i1IrJ4UL{eu3ZB9&ME?#>7|h^j z?ly>iq4(&`{g(0AZqRI2cl_pjaPkxjfA7)sBc6Eo*zzXc`QSfx`to_rPF;sT`0kQP z2K@BvX>jrB>S{V4eZ{tqAFLU+*)bE!Z0Zwc4|k?hH^D0GITgPK{&TR)g<-zn6gDBb zO_+UsHyK|Cv)jk%d~$btJDohTeOl}Tdti1KT#g^dcb}vUOVHb74E`U;x85TD#OxOR z`4*)t72@C}N%>~J%t<#nV*_WWWM$Vm+jY*i!rAeGKMq9VEEYf_Ef$;>*8BltYgm&9 zP*}r3tcjkr5@=ixG*$wQr9hJ+9K!bMHo-f)jWOsMt8vB$F{Xw%lR9N@XS#J0ps-8I zRF1os+aPnM#ScuJ`99U-kDzA6g5d( z2-`fZv4*hSNNai!VMk9`FqDd!p4W<5GDTj?cFDLbZd{bbMF}E9eZXYCp zYmh+6b9!DYZON=lKotp?B>Z_-YH!>Iy33h-0a`?GOzq8SGTqC5(Mr?P(o|#Z?oBhYILG4T+3o1-(6@?X1tZpw$~bXC!-`*- z*}Sq%9l1;zDuWagLXLB+g*k4b3{{li?O;-2g4}Xo=wmtEaNeZbVPXTyOD)RFCd#qT zQBJNuR0(7GLpdFC(=N3IzpRlwS$tC0R_t==S78*dn1gHnKWL%AW|y{Im08KVT{y>gK|5TiRe7PUN>HoPsjAZQ ztErT41Bl)t)n(Ped)FmEYhjARbY){~ko)V{^g&<22Yv+~Mg32-J|@4%2fTt0?-hKw zui(S!@X>g=gYt66gjFEkRU8!sNKN(Zj#MCk84%bFL(HyCLqK$`!S29m zfZ1O!tkVlry|CLcmAQtd(;G;n0ug#i!=uzXbG?)ihl~s~1)E;=?FW=l+g)8X7q4eG5{> z0Zy$mfSY%Q@(U8X24MMCi7T^BF4GI8|Lw%q$%R$9pyQe}G&Iuwa;Z3kT%gK@ow{5~ zcA0M6WmFvl%>HsYI=P^cU0rR#@NVlbmx@EkWvOxzT9*s3qE|;5h%Xd6p#24Nb%Hgn t;l%X8NdA1Kn>hL_rUDVyaA;h^t9l$(Z#-GB4xFTsVP2iFiCUhMz? literal 1023 zcmVuG0001ZoVA!+Z<{a_$Df-|VXZHlG6&(zJRwL} zhsK~HWYsk7yU%tA6l^YuMqjF9xBmZpe9rMP=jZ2O8Sl~{dH{ADZPUaJqI3(cg3up< zO}z6$-`V=1zfR-CVcta&$Eow^CTWlcadff%`FN46zYWg$I$x3?P5lVO(ddl-T*nc( zb`tAAV6f$|VzGxX_T13fxTy>N49+;F^R$w@ul87F#U*ac8eji+1tTizIyNw@l_-Dri-ha3sqpe~Tmi->0aGn7t^l(NFl`2w z8&ZHt1(=)!Z0J2)=0#;*V%77CfIv*;wG>`@60fOuuvGzu6%U(*%?|Ur9iSB&>OxwM zS9f5Xv;#}OgG$QbS{Dm`HG)}ICJH+9Bs!+0vr2G?<|aOvdRBZ0{xU39vduag)`NmH zTX(kDv*BlA^-om`0dmaB7V0t%~ttwixUTDUgAdU?|hiI8l3>D5?Qgk z)Jk0b7YU<(3Dmy?>tDj^U&8EPg0@IhlWtN?I=CV{^NadJpXJ=XKGP#|j^L)8Be*77 zZ7sfif`?QI+`k0rUjp?n!TxvsQzfkacVYG~L0cr0+ZHJ~XJ&oRQr>K)a?g>*yH~a@ zx*+d-_j(e^o`1+YCMZ{KZaid%tkdmWeq5~6MJ>97)MQ-u{c3e_i!L^5bh|fNM5jyI zbd~+mBXvEZ>8)VVTS3`d74JJU>y{hNK#4heDfZd&)1gM zs`N}k_1*qUi|NZtKnsfot2VwZhQ tpykgw^1)klefj6H2FEF-ksWy}dMYJ5Jpb~zZuS&bl8d#T-aliaD6Dg^`lA2< diff --git a/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.map.atm b/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.map.atm index dbe5de65e663f8988441bce17bc61f15f6b3b647..e61f1f0f7a1b76ef822bbe93a3552e9a8dfeb227 100644 GIT binary patch literal 4234 zcmV;55OwdY4*>uG0001Zob6p(bK1xfezt#wikFjAc@uPpt=b16gsntKT1nt#UsM9i zvChHZ;}?iqn4c8C>Yn<1sD1lu#CxqLHU_w-Dw`S?*V=MP^O*_XSO@XmZM zi0!uclhkas1!KBO7YSr99_EW=mCa}8A3qAC`FbI&m#HwnWt8~WQn*Q%*_WBHn$M@p z3t>9{l1+r$^=yLKO987$W;eoob(b!L<@|Q_XR=61QvL+~nyr?C(f57fAz7?uP>@!G z4{xiYC6n1#VZL5HtXINqHcgkp?P7jUQ*Q-mL%5wU(l7ID_JutCE}KoJ>l^&@CjBFu zq{72u{$-Ke-(%7odX(L-r(`I!zW0yKkghM6^GTMh(i`DsK3U(VvlT52k7hGy>z@9J zV@m&eSftD4^sBI3kN=%cR-}g2oOBC-yI+#3C-d1&M#0IuoWttVo(T?ga|VFp)8Tr& zoGh{j(unb8k*3&%i_Ihb^h*M>kqW&WrP9NN^3Yv61Ifd~G@B&jX(~+fqRC^iEm{|( zf9e@ob?=w2?3+QmN625L0MYcr1$tad{sPkbBOM@;Jte^-sol-grOW{75t#6ab zYP|qx$6q%CLV%zml0=3;lM!uLBFP&1v&jC7vym-Vi)_4J%@@lLg5Xcn1e#r>w=l*W zax#C9!`%*(^!RqYAb8xQt0bE)Kb&LfKhoI^mKK~<1G z#>xt*+se0jI9$wmY^+dSHoHmxf?QG4Te2ie5_BC{Q|P*U{`dL$ZE~Lh4WJhD>ts5g zr4)$CUG{(|3~V=wdq&@OM)A-JLfdnpY%|a3T0`5k${8Kcb7I$u96OBSOV8_KAM)gG zrxS+*)3m~{H*g9WJv%CAGz%F$+qJ_htIMb#aw6Ms?BA_!+!@6vmPjGX9rWXl5n6fQ zcgIHy3_>gTW&z&_BFwRT$!z^9HCtw@m=#BM-*zvt1Rz0^Ym4Wa0}_uI12oE1hOe6dAQQ#4JIWeryJ zS@+rty78yTxQtD&Yheq2ZD8;N5328DPvbt#Z1~}39Ik13m@;q!3*Z=9-EGcw7`p-d zH^bNr*=2(6$n6Zm5j(eQM1~%D;{MzwJ1gw&>C?c4IqSy0?e6IiR{D+hpf?gbx91q) z6{5k;_M$6TD~8|OQ@81S-qT|r+O@X8!}PgbBX}ICZ|6;KO3)#0@=ed}*_VTSc??a{ z_F~5xTFz$C4Lt{D-72g*+99hKL(xrhSlabmYm<$u&h|Qn`#CODQeJJ_g2MnR^NsEk z#b8o)N}z}^12Z-q&xD5Tp&fbwUjZ3Y{s%G^o`8sbpZ0l!5&5t6>>PGq!!F4dAL$PgdlzC!#Llr z2Z9Di1pPkuZeCdGnb2bk`0+Bl9 z)WEymE$0GqxC~InBmFiR$TxVNEPA5u^NhdG@n2RNWkyG7yPNUh7&aBr_Tp&dW8ENu zK!UcD{EFn@P}`#Wd57 zH}Z)jgbvJ1=vdb=$cLhM17Xqe%AJ5EY6YYlWs!|*0CdAYQ$vT9>X|+xHS&C_jko~ zvt1!5Z-o1%d<^=uPo-d^uxl^|PDE9?;S8+8zQOkhlm($n`bK13$BxKWm3IC8KHiH! zYmvo!sla>jVBWVl<-Jt%zUKYQ@LsIW`_}P!F9EG37VqT(@1;X|uPB`IUaomx^ZsRc zFIDC}vfd(H-oFg*<;uKQIoRhbKx>7?d$quO4(-m5@smBo9lzynh+qYt?zr!MUCewANX?Zxwj2AIf{3*K@sA&HI}7FT;Di zGVgT`&h=VCW6Zq2Z$I*W{CIzVo6P3x)e{C!)coO%KdtKbVe)hNGc?VL z^dY0#%bvE-NZ8&l%}4qqO!|oX#d`(*aC2T(^M^P7w5#*y+2>3z#UG$a!x%9MRPZ0e z68|93yG#@>fJO>_wBSRM@I!+SxrrZ`A-CQi!ZN*PSo7tZBv_&A!7s>e<%RvuS3IOb0A6+lJLa zJgb3flF-Oz%N5f%Qj`TOMc9@XVr&eVRLY-wib^6cRN6UTq1L2v8pkUJ9mQFe@8UbB z(e2K*+jQ?_o<_ILZMUf|>YhfoyQix)42P%;d=&^$3`WIDP=JDTwh3s(7d}bJoxJBN z1oc}ALHedbsO~n0!th%PVUNHkQ%~Lt%CYDh;pez-_+&SPdma((3u=8x?~4y?Au2tB zrMLe}<-NeZByU(%Xk}9bI)z0VO5>uTHJfd%QOMlP=DS7{vYVJy(qDvc$P=jLJ$d&B z-+?NO_*50(0`u-+f;69?73>6D|N76MNiV>W{d-gIL& zgS0~!#Lc#_W)OD_s_vJjJfTqE$ih;o@x*)!Mbyd`mc-(cbclu3=7mbTZ=%YlX<{j- zX=16TX<})$iB+3ePnlTNJr+?KzPO1+&zi}-4jwa$+UwX@tY(gHWNBbRu{B%40W)E$ zHSrLS422uLc5+<*@wbT8T^W@p1i~8_Svr_dYz7rv8OQ96;eK6IfkE|cam}E8465!F zsJ#7cG0VtmQTvJc%CFci8(A`oOY#9mmZI`PrClRSKTRX6b(%(2`y`DlsaYFYwUPCd zkyYKz6{X?J8(I3sZ%)L^CaL*KMi!%);~QD%w#(FPQqgUfsn#U6UaR<;J2!gmXtj?gfzYAsmJfrE+sAyc=6lJV_%lj(AW{z%TfjDd=Y|T~(6`C;Bnxr4FMbx;_YbVF`AAgHj-FsMjduOLz z2FYMTu^CiwxE-?@)H=k-s_%kp2JK_ecZ9Ee`@3M4k)=@ki5bjJQp-k`%Hk5+cfF#K z#m%Mgu92mlrjezcrjezerjgaEjjY}nEPVFaV!(Paz z8TLXx&9E189rmI&vV^CMtm+Qr+7rGF&oi>x+qimo*(ASG+zVDS$2YQCU_!AqTOmkp z!c=SWA%QP+ZuHv8as9{NB3Abw){h?e0(jCGGZ`co9B#*K1|1UkLa*h0cv$J2|fZ z@LNQ=y8p0#^uQOulg60Mpn}8gn8_ezzb>l4p!zPjX3#zcRd=}6xd?m#Tp{*Ti%BG< zY-F`qTw;6PRx`46ZZ3s)jjYoQd?BA^;0yUQ179e0;EUSG5}q=$symSDuMzk{*2(um z;RjweDX$dxg4N8M8d-N)x=0q2yRX6>Yz%Ma>+v*=m+3T}tmccjHE#Tj7y?gc$$h${ zVjh1LTrcQ@`nZ#|(pT4QCi5Lx;uZpLbuUn_`L=`Pv_sPy(nPXWE`(kH{SLiBU|JV~ z4{9bHxzUvs+Tn#jcm=6m7!{L;b_n1?6}ZcZ4BtnMMZR2!tf1X*z$1z9cubnwtiN3E z!7c$L%=WmKDoyjs>Kkz`ncg-009Jlv4=o~@8W%#tG(waTZb*_b<+Ek^I1NO|l^{~& zQowu8Afj>ej}1|~6t0pth}s-Lq^hN0Gn_$0W7ZrQB26s4D#{r|^o`SFL!_4+y?KL3 z=KvyIF1cxN29eH#xYpaHLss4(;z3-4DO~(UQqCZfc_11dqKx_7I`Tj?MJ#>O3uh21 zJZLmUFU4u*4I&*4G)*nN;lvq48V?4DE|&ZgIfF>& zL0s#4>2{Gfht#0Brwc3|lY0voel8Xg`iHodBCUz7(MUI>`gFgae$EmCdTM_ zhh}eqk-~$Gp(59wcWU+)7^ysPP1OLS=N+592}V4)e`pe5^t^Mkx4=k~c)$o{_VW(T z-UK5aWVVhn`*|m4Z-J419GMOOu6!f95~#D^_n^YqJMLg&n8cruco4>c=S6$*L3h91 gq4W(i@OlH6RcLVblRv)8h!_z;-vkI@SQPLyPfYkO^ zzZ$+NuOKUeze9C)gEF|LG|NO-8(((lhkXjM6-|5Dl3f&f$(_KQSJ7lufmu&9*>Diq z1=&3-+QvZYvuni4=hg#28Hb=#;ZzHHP|7#oim%tocDhwxm#&jsa#BlwQT&p%LGnBA z++ds=Y{yD?eMo@IG8$fjr$Ef#jc*pKD>}V1Ys)*g*26QGb;hFuTP+bq%yYk_+OO?E zMWdkB%QMieQXT@c@#6S(j-sOD1c7F{lDDhN!=}#{hD*sMNb@+4%<4&--5`l2#qv{` z8mcVUfE`@;o3C;ep~_;DSkH3}YahWs;P+k_6htkGI38-5f_NWy<)fLC29R^BGSm{3 z6aOYB&Vi(nO~VG}D5Zkq$A+c9^4NL&21PyA$S^-b$}Q!AMlfm!xGGgEcQ$n=Ml3z<``t9 z*P?VG+TZg!T{@OU!<*B93*6^ zCJp3oGWpv}VD3#SmDFY#j9c$DZ{8yWrP96*htBmVFIV#~WR8u68fJ+QP5v1jqcN51 zT=?edZx47kbJe}X#cu4IhOc5;BP?Uh#kkK>$A-{r$h&p9=F-VPC1DKYQ$#!Ji+exS zyy|+LUGAbe&X{gkCxHl@xlD|j)EF{x(+`;Y1-fOZ)U8^YFf}l9+6iNG-I>2~*xn8v zd`u!9X8sL0Irn#=v8MiyMy*ZMKv3B$M=BpT4hI`Gcc|Eu&nCRQ)bW()L zaXlCh*GrV3aYn!VJP^}zN>+wfOT3)(bXQWGch!-DwYP%U?8Ru*)3c=;JG-4x3O?Gd z*)j~q=8+k8p{{J~TGoC%Nky~onKuMR3B3#$CQ&&mzT!!gzHeN*AHDFp4YB1kI6y7x z*x!s@F?x=grCyz(a+cbhA-{HRm8Iwp@mz_C584!t{y?oPywAllIq+5n*WYz;pM@55 zo$=dI`eBj0U;Gh8d#@05f@z-#&Bfuq5U9+_QV+PbR_H^Yw8Qvb<$mzpw)6EG7k<8r z*rwSZHtJHUHJCjAyT79){{Yc>&SCj7ZZ0@bb(%ZszioC+>SG{3Iy8t$VA4&s`!p3(`zsCT*J_zijy$ zzGX?~&hWdZmSa|JAl07@!5}U`Cyif>>o4(WgUTqy2_JC^Ewd92WwZgPoQKFdAUqTg zS1u@=(K^;@tHhrgW=|i{5`;T-#`{Tr8|Z5J+YxU7$qCq0bG)U;C9Gk6ZOH#_*Bq#tZXh5@UOXukAhB@^_!6`Kch@>y1^ivKR_ETVo6bhMYQh zFNsIn0U}Y`iVH99Wqlp~)jK->+J7_GblmFkO2nZS{$ozI?)$a)9iD0iGL0*72?OkX z79dlyZ&md_D~lUWAY6~6Vv*NMom`#br?Y(pp~yq+qy8j<^r_4|pkqpei4@ZNJ%A}Y zhqLy{6^hliwzOO;gLOV=Cc57F$#bxV@YQ{03`eqiWe_1?_Haj})<}3cUT<$BecXG>4f^0A)@1GZjVZ%vn6{ zPk8hsF^U}e`_K|jp9Flz;Sm2kU8S~rU&j9uY|D}5e@%6drtaBs_K2I~{lcQ&tj4#z zCCTTSbTxjOx?@MYj%>Pev+?78_T(Pi3c!GS1qHn*so`2@Be;gRnl;>6MWGRWiNRmn z_C`h$@CL?KgaMwm>??bD~t?Gp2CFjNwOooq)Gwc$buYwUhn+eH7CNv40lU6%6f2HtOsO0zjo9jTW&o5usvPx8I7>phRQnX zMPOWp^%a(5g^X$C>m*B@m%eb{x7;OZDBWfv#aEc37!Z%8XTQL!jOxycqCrkc!N4Ay zSEj5#`l7>%HJ!R}i(O}4UB{KeK7S}g+&h0inCJPZ9hop9pzITPvNSqJ5{n)<2IWRl zQvHTOpl@1$glD!*zE%Cj2GOP@L-8Yy@%b?iU!Ym7;<2kxcv}2`z+=ADS~smPPb>IB zL&?r4!mQUy?4LbFa>PM2m>ADJsc+cMfrQWs>3uqCvkiM<%PPf1FRDXqng0qMC3R@Q8X;A_wyUk z!{(^sHs9$sr2ikuwi&&R`x8%P4)gGEf`E%hBo`xh!|53>YS=Dh4jt~&lQv(oq?>y^ z9beI~yj~b?r}L_GdB$tzzvsRg-37oN*k@2r#|Ro0-3z;MUyOq|AWb&9`fi6;yX@{? z5G>B%v`^qr$O;T~ySe-Gw^$AkgkzGj_=wtLnm6~f}byAqR=)ARbdtO>EB3gfs zJE$Wy|A^0l&2UwxZPkc|@`C=oGivcs)fyjLNXM38M%R_tq~02-BQLwNSXlO~MD?*O z-sfS)Eds~#4J}e-QzjMbqY{}TLUQZvTZsoIp6S>J3bc(A4**gXFS3PG7dO!HM?qg5 zwA0B1J*b%Aly?_sOsOO|T^L%pvCtT?TvE}#kYH&{DE>t>=gokqH-x0-LYsWeNJo$# z2+ykaibKBGp5pda!`g&I3=2tztR$pShR5Sa?*!oIi4k4IXNpr7`@{+~Ok%zBoh#}v zU>$HU%}f9?XCAKR#AKBP+%zwn@EN4?@67(ONs`R2{m>mqAPXmNIw@2EDzuTm zgaazYUQOR6#4KS&bs!pmPUMMypzc;M1-{S;Jc(N{@i|KCDUOAR+?i>b zjGjhqiw~3T#<<6RWnr)1WUcW>cgsmf3DvD0&PS4d&ZUM0Pogn~lW5OEL^SrJ zQuRCP51F@DP<^lMX~;+)++NVO8NtC2S3*%X z1E#^PbicDyjxmC1a(@a>!;&z^-oeE9qhzT7xKetukA|^cM4akRul!Wc4h&;ogUzF_ z4Hu(f8P6vMF|RB!iT({8rK%n)gPui*G_0I~P!%*4oH<`vP(42g86AAcwHAvx+A63% z2f2cK(Sps#y5M{7?_fl!=C(XR8Ps!IA7wI-GrGAksGa6C^!0@=Z)Vb*SrHu>@`npP zc$1tEWuIjI-lsZAUPn<^!8=GsJ(24 zf)zK-792U3&0j)pQDY?X!={m({C|30Swe3d-@}MJR@IN`z7Q>fFUj^eB8#s@+cd{{ zh%x|e*yZ1%+1oC=Q=#jKdXqd2p{hYNy-LvM{Im-4fCry`xX2hz4tf^cDN?>uWTIfz zoOYIg-=zQ}w)4T;q!&Z!0*W`x2U5UY=%FL_9+#4=+B!Z=JsrbedlcIxA|E zi|maR{mbgn{mjcG+04KO_?@8O2Cx+FZBVWiuxaueqy%dP^c2$jcVDWtO8*fBXPE#1 zc}*5W&|Vw@B2+~v#^}%}vFp!JYdx8$6H-F?KRs_Ok&4H}t4|93=*lJVTN#ui{%M%` zcU?S{Aw4x>Pu3~_-4)OsZ=-e9rTMkxdbC-3KtrGt&D#ryK1lAnI}rVlk^PwUMG~#PL@MUg-j$wfxHaAFrJq{rb zIoPcGy_6SYeRa9!y7dl-_us!-4MJ%ozp-S_P<;x!XUn%k8}VDM#h!=W0na?<&(s=$ z4p82T^G;1lt3ZM1KQsl^{||B?&ET-}ay@Q-FtsqCVTd#thfKLt_eu*^Un9K_+C`>c zM$C-l$y;4mb?9eK-T*O5EK#j-BQBYJRe_n{nYd?*IRh#@0Q~o-n>=<#5=nn_YniFk zV2c~j_UxHyALdg|cgd9?+QcAtNU?48@3&8a`rk^EIhL2mQt0EI$Ed z$x@4_DEV40=V`(V#);--Qr2!N%Lc081BG*;E1bgcVP_0BsDCS$W}hHgxRd>psX?yA z^mt5w)lcv_;Vdo%=0j)2@1Wh_0e`@}n9S%rC^USMa{Q#4DF-7~-i9m`_QB7N06dfs z78Y@%7@07ZG3j{AD2bJ-5WzEPa$QRq8_H2n+7@w*tz}eix@$=|sLd5VP}kNt)2CA# z2Q{M}jSuBu7}dttoD1YE;^M!cBNLSb;=mrBB)Giz;O5bTC^2knJ;m+z0MU%h@YC_3FTSK;soMa6Xz>?0u;fFbWL>MWyHR3Fh?oz?g%uu z7wMQVo>%gQ>6x4dtj3`38xYx5G+z|iGu_>{k#@9j1&dKY1L>YLE-xjq(A^bdT#E9Q0nnb^XVjusBk zPZ+j_`t~vzz-dWph;4LIM)R36yUTD9thUW%`$@u% zZfUrif^xE-3T5Q@i?W(@f!2NoG=IGhf9@k1MWFlu7nRaN(a^X!p|AK0559$6Tax90 T71;3mlbNflKlsO%x<&s7KM_S_ diff --git a/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.map.hdbx b/double_selector_8b/incremental_db/compiled_partitions/double_selector_8b.root_partition.map.hdbx index e38e7687d249aaebbf724a4126df12d0e0d93dee..e717b3a5c9aeab6357d3296edcd6384efb69c958 100644 GIT binary patch literal 1801 zcmV+k2ln`^4*>uG0001Zob8)SQ{y-gfY0PtsC-$d%7WizZpCzl^` z(2gG|$!5q*&41sPY$w5hsjcF&-~*4eq*nK*mb)#)*%=z9n=K{ly9^xR?ouS(_rzmF_rQtI@eq$)WjI0xsrD?>vC`#AFM`51$yqO`c z!c78{W_Oq(mWJ7Pmtr9*NAO=s#?at;HbWbiW(jmG)bPPORYgxX*`hShHhG3Z5@Ciy zn#P4RL@);mQ;OFqN!DWYF-iO=5BSFb-xD9B4Nce7jbkoK!6Fjpk#MEZce0;Gn9f-0 z6F0*F3Q|9hagr6CVJJypuDJNfeZmhLiW!Txh~?ft*w2K9EEQ$}xR?pmewqZNfK!@W zVx1M1p)r`50B}Bfk$cRiWFsaStSQFagl;wD8n0bg4UDczN{vA3i1@A- zVHA~J-F>$mt*%h;on&_a8+yj1@Vg5JyBXS6K|vS?F1%nV=-lKG8bz>h1&6SUB`sEg zq1a9G(Dk#N0$OidIm7{hA|Vnk6k<*rLd4C%9!h@j)gUaR#LKgkvQva+5q4o(ibL?R zL@w5E@9xe`VR4vK!6U$#OComK;l1y15PQiT=hO8Wr)wHI~YpB#%8TEC*e@!Q<)<#5?u-M(!AI z+Rt~Wkt6|rfM&()S(>V;Dz_hY$btRZdFPkl#=q_e0HZ$qC^mhk5^T|(iX@4XN!%Et zJIzFrD@&atkQ`;(4L4#konH^eFvU?AxoZZJ(>>RqJvRQRUxZsMJrmup$0$Fqjn(rcinD^=FewOZEb@vPBn={3>Q ztE{0LwXC7R&K=yg^j@IH!SyVjHDq}BS#m9FXs~m=wpwnAJ!4m~81;%phn(xR)p8@f zUBzP5D;6DguGdz}jr4XEi&3vw48C*QYPpf#u3|Ck6^j8m*K4cgCVJ+sVlnF#iwSRP zURy0U(%V%mX1!wR@vPBS%Z>ERDr=ZlEo=06)@ZBcMtWA2HF|O_YiK%>F4}6jsam#p zp z=$@3xMuG12TJM9R<2ABCrz-Um<#NBiUg&*HbgHUX=z6sh&*&BCUa#^#C^}0n(P?V! z-NMugbg!3q9}}IXRcYesF?^XOUa#*yD7t=?CUm`C7nfk6q4(=Y$TE^{ z-{cT~-&s@PJ*hV7z055M}A0nGw>Z*mCwj_D~p@B}_ZuRZg0cqO}ilVi~L%#Z&G zL-14Uf5I^M?tPPk(09yFZ*my=j`?Xu zl|D4HUM}Ij{&Htn!DxKTs}V4Q7r#Su8>JUtm2` zFxOBGhD&=oab{CaU_PBLU&O}Uc>?2lFq}`XR+B}$UbT!+3+QGm{MzXCVBH~#T z+-3fH;3huab(6#u+m6fl9eZ(k`Qq}*xdLC&&wDq@U(m&|O6TH6yF>V`Wu}y$LXtW; z!_+C!N|nBlq;rgnku|FYPB9I4a5y(y_Cm+ z;RIxjS;l9FS99M|Js;~vV1;Ji^?cPdEyMCXzi(MqWtAImHed%W-I33K9Wp7F_X!s7 z>=`n?_i*|UM~~^KFVQ*BT#fMKjkCrHrsak37m>&>kT9Ml(co!E+@*E`yunF;6JOk@ z-uuZE7|VMV|B2z`34t&fPlsP&I}HAq4xZ@Q098 literal 1791 zcmVuG0001Zob8)SbK5u)$IsTMK=HCkmDVOfilQbp2U(G4I3r71J+kE! ziw{u74j(FjbfQV+yKe)0NP_LumTs%!A-2^38t5Mz%|9vR?hZ}z{ejWlbAdigJ|bf@ zGX9BmeT2qIL6{HCY@ai~pn2B2yF*WT$xz7&%HubB{DY&2aJtJ-k>?5Tqa@$a5XEH{ zie`?43qOlcUOW?qcpevDeMY3I9wGju1xMq@SvtG7=vn@ji;@A_;Lrpl0D&WEk|9)PK^s!UFJV25{w=Ns%l0BD741 z57ROdLyR?YdHs&zwqeQ@$0d_IB2xG?;kP}}`-Nl?wEc>-YF-h&}`U4$HXSaV*Ri~PS$UJ>iv-1#J^_>z*q>ss!iW(3E}Lj=gaM;j~-TwM{m8@t|rqyTCS$v`f0xTeY$qneKea)XEU%a)|*rF7kBM$gp2j; zv$q*9m*e}{^rM(@>&|6QPkNm!w(||luZt!gK9kh<+BIp*mHX&!+%Hpa^R%35eWXps zYnXmIUrV#F{5hR2HR+kN&`a{LDiJ_B%1g*ZkCOzdL(V)WxDBHCgKhN@9%A@miXV>i zQCx^0Ew3T)GCE%8yhe7-%QWygFKhxY-0?c&Wn#VIW!eg_5%4lQUgx|ltKntq=e(dq zYrfU-I_G6O4KK$!=VckdYtZpJ=QV2Ty`?Ml-V*iR?s%Q?vW%wQTc%R)2cq5&J6`9! ztft;u1Et;@uph@y9j}&`Ks9tkz1N|LIl5BsVLJw$Ts=>(K`SQ)s+=(3_84?>RpHgj ziGeC7Fl@)5ldB4^R!$65If2D~?BuG#tCbVBDkn_1JqDdzJ?CY&a>7>Sgb9yBK_^!g zUag$4RXJgadf&-ag_qsbd)ra!{Xo?FPOd7voTlCnb*0`rNW1UU>bY8Vgix*2Dpqj8 zZloD6E)-y3yjOtTZ&$8p`o9NfSHc2P3;0B#4VU1CR?m|^| z{pf_6mFTdczI?6s>xBaC>d|3rHRy(_P%|oY7izWZN9X7@IuonU1j7p5g|hAH(V1p* zn|S>;yS`0asNk+2-Ke=uSe9~|uG$H41C34F!843S$Bm|>~v7ap^oE|k94OiX+&Ac%SJ|a z(AJCz^K=itqZ5;#`N`pL(wu2cO2f8n8)Iu|Dm`)*$rG_wZBL_t7ttNzg_s?lltTvx zA+ZLL6Wb#{2(fKD11Ai^k>fbcs{C}nhjp+z9R1UeQzq5reT5~sdxK0Ee7pUYCg*hU zdvs1bHzUGy@9juNSbaeGT_pNDBnEGi_(`NadA8S!$ev^o$wGO;_ZTNPV65m>{m%fe z%qXPEY%%#0w!`?h)fn=B^8560ym2RD%eb2-^!;afh!PqwpB+T|*PQ(Y781wMPG86| hZ+<#K9nJf*)ERnO9W)tgeZ2X2b?f8J{{`)+;lIOJjt2k$ From aea68d0d7a0a321342ef88d6957736faaede03dd Mon Sep 17 00:00:00 2001 From: juzeon <812312770@qq.com> Date: Mon, 7 Mar 2022 11:29:29 +0800 Subject: [PATCH 3/3] =?UTF-8?q?=E7=82=BAadder=5F8b=E6=8C=87=E5=AE=9A?= =?UTF-8?q?=E5=BC=95=E8=85=B3?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- README.md | 8 + adder_8b/adder_8b.asm.rpt | 12 +- adder_8b/adder_8b.done | 2 +- adder_8b/adder_8b.dpf | 12 + adder_8b/adder_8b.fit.rpt | 448 +++++++++--------- adder_8b/adder_8b.fit.summary | 2 +- adder_8b/adder_8b.flow.rpt | 35 +- adder_8b/adder_8b.map.rpt | 10 +- adder_8b/adder_8b.map.summary | 2 +- adder_8b/adder_8b.pin | 262 +++++----- adder_8b/adder_8b.pof | Bin 524488 -> 524488 bytes adder_8b/adder_8b.qsf | 32 +- adder_8b/adder_8b.sof | Bin 240789 -> 240789 bytes adder_8b/adder_8b.tan.rpt | 229 ++++----- adder_8b/adder_8b.tan.summary | 4 +- adder_8b/db/adder_8b.asm.qmsg | 4 +- adder_8b/db/adder_8b.asm_labs.ddb | Bin 4100 -> 4395 bytes adder_8b/db/adder_8b.cmp.bpm | Bin 674 -> 679 bytes adder_8b/db/adder_8b.cmp.cdb | Bin 6287 -> 6432 bytes adder_8b/db/adder_8b.cmp.hdb | Bin 8222 -> 8789 bytes adder_8b/db/adder_8b.cmp.rdb | Bin 17257 -> 17320 bytes adder_8b/db/adder_8b.cmp.tdb | Bin 5808 -> 5806 bytes adder_8b/db/adder_8b.cmp0.ddb | Bin 59350 -> 60009 bytes adder_8b/db/adder_8b.cmp2.ddb | Bin 42886 -> 41429 bytes adder_8b/db/adder_8b.fit.qmsg | 10 +- adder_8b/db/adder_8b.map.bpm | Bin 663 -> 664 bytes adder_8b/db/adder_8b.map.cdb | Bin 2132 -> 2128 bytes adder_8b/db/adder_8b.map.hdb | Bin 7934 -> 8432 bytes adder_8b/db/adder_8b.map.qmsg | 4 +- adder_8b/db/adder_8b.map_bb.cdb | Bin 743 -> 744 bytes adder_8b/db/adder_8b.map_bb.hdb | Bin 7170 -> 7693 bytes adder_8b/db/adder_8b.pre_map.cdb | Bin 2341 -> 2339 bytes adder_8b/db/adder_8b.pre_map.hdb | Bin 7951 -> 8640 bytes adder_8b/db/adder_8b.rtlv.hdb | Bin 7975 -> 8640 bytes adder_8b/db/adder_8b.rtlv_sg.cdb | Bin 1992 -> 2016 bytes adder_8b/db/adder_8b.rtlv_sg_swap.cdb | Bin 851 -> 852 bytes adder_8b/db/adder_8b.sgdiff.cdb | Bin 1698 -> 1697 bytes adder_8b/db/adder_8b.sgdiff.hdb | Bin 7997 -> 8650 bytes adder_8b/db/adder_8b.tan.qmsg | 6 +- adder_8b/db/prev_cmp_adder_8b.asm.qmsg | 7 + adder_8b/db/prev_cmp_adder_8b.fit.qmsg | 39 ++ adder_8b/db/prev_cmp_adder_8b.map.qmsg | 11 + adder_8b/db/prev_cmp_adder_8b.tan.qmsg | 6 + .../adder_8b.root_partition.cmp.atm | Bin 8873 -> 8927 bytes .../adder_8b.root_partition.cmp.hdbx | Bin 3327 -> 3356 bytes .../adder_8b.root_partition.cmp.rcf | Bin 1606 -> 1761 bytes .../adder_8b.root_partition.map.atm | Bin 6006 -> 6042 bytes .../adder_8b.root_partition.map.hdbx | Bin 3235 -> 3272 bytes .../db/double_selector_8b.tmw_info | 8 +- double_selector_8b/double_selector_8b.qws | 14 + 50 files changed, 633 insertions(+), 534 deletions(-) create mode 100644 adder_8b/adder_8b.dpf create mode 100644 adder_8b/db/prev_cmp_adder_8b.asm.qmsg create mode 100644 adder_8b/db/prev_cmp_adder_8b.fit.qmsg create mode 100644 adder_8b/db/prev_cmp_adder_8b.map.qmsg create mode 100644 adder_8b/db/prev_cmp_adder_8b.tan.qmsg create mode 100644 double_selector_8b/double_selector_8b.qws diff --git a/README.md b/README.md index 0aeb3b6..5aa7cad 100644 --- a/README.md +++ b/README.md @@ -6,6 +6,14 @@ 8位加法计算器。 +``` +K0~K7: A0~A7 +K8~K15: B0~B7 +K16: CI +LR0~LR7: S0~S7 +LR8: CO +``` + ### double_selector_8b 8位数据选择器(二选一)。 diff --git a/adder_8b/adder_8b.asm.rpt b/adder_8b/adder_8b.asm.rpt index 5f7ceaf..0389a29 100644 --- a/adder_8b/adder_8b.asm.rpt +++ b/adder_8b/adder_8b.asm.rpt @@ -1,5 +1,5 @@ Assembler report for adder_8b -Mon Mar 07 10:22:24 2022 +Mon Mar 07 11:28:58 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -38,7 +38,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Mon Mar 07 10:22:24 2022 ; +; Assembler Status ; Successful - Mon Mar 07 11:28:58 2022 ; ; Revision Name ; adder_8b ; ; Top-level Entity Name ; adder_8b ; ; Family ; Cyclone II ; @@ -93,7 +93,7 @@ applicable agreement for further details. +----------------+----------------------------------------------------+ ; Device ; EP2C8Q208C8 ; ; JTAG usercode ; 0xFFFFFFFF ; -; Checksum ; 0x000C8655 ; +; Checksum ; 0x000C3C8E ; +----------------+----------------------------------------------------+ @@ -104,7 +104,7 @@ applicable agreement for further details. +--------------------+------------------------------------------------+ ; Device ; EPCS4 ; ; JTAG usercode ; 0x00000000 ; -; Checksum ; 0x06F061B0 ; +; Checksum ; 0x06EFBA32 ; ; Compression Ratio ; 3 ; +--------------------+------------------------------------------------+ @@ -115,14 +115,14 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II Assembler Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Mon Mar 07 10:22:24 2022 + Info: Processing started: Mon Mar 07 11:28:58 2022 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b Info: Writing out detailed assembly data for power analysis Info: Assembler is generating device programming files Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled Info: Quartus II Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 242 megabytes - Info: Processing ended: Mon Mar 07 10:22:24 2022 + Info: Processing ended: Mon Mar 07 11:28:58 2022 Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 diff --git a/adder_8b/adder_8b.done b/adder_8b/adder_8b.done index 13ed4d3..c3c07d5 100644 --- a/adder_8b/adder_8b.done +++ b/adder_8b/adder_8b.done @@ -1 +1 @@ -Mon Mar 07 10:22:26 2022 +Mon Mar 07 11:29:00 2022 diff --git a/adder_8b/adder_8b.dpf b/adder_8b/adder_8b.dpf new file mode 100644 index 0000000..abe19d9 --- /dev/null +++ b/adder_8b/adder_8b.dpf @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/adder_8b/adder_8b.fit.rpt b/adder_8b/adder_8b.fit.rpt index 5b7f598..c079c15 100644 --- a/adder_8b/adder_8b.fit.rpt +++ b/adder_8b/adder_8b.fit.rpt @@ -1,5 +1,5 @@ Fitter report for adder_8b -Mon Mar 07 10:22:23 2022 +Mon Mar 07 11:28:57 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -63,7 +63,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Fitter Summary ; +------------------------------------+----------------------------------------------+ -; Fitter Status ; Successful - Mon Mar 07 10:22:23 2022 ; +; Fitter Status ; Successful - Mon Mar 07 11:28:57 2022 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; adder_8b ; ; Top-level Entity Name ; adder_8b ; @@ -91,6 +91,7 @@ applicable agreement for further details. ; Minimum Core Junction Temperature ; 0 ; ; ; Maximum Core Junction Temperature ; 85 ; ; ; Fit Attempts to Skip ; 0 ; 0.0 ; +; Device I/O Standard ; 3.3-V LVTTL ; ; ; Use smart compilation ; Off ; Off ; ; Use TimeQuest Timing Analyzer ; Off ; Off ; ; Router Timing Optimization Level ; Normal ; Normal ; @@ -216,7 +217,7 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin. ; User inserted logic elements ; 0 ; ; Virtual pins ; 0 ; ; I/O pins ; 26 / 138 ( 19 % ) ; -; -- Clock pins ; 2 / 4 ( 50 % ) ; +; -- Clock pins ; 1 / 4 ( 25 % ) ; ; Global signals ; 0 ; ; M4Ks ; 0 / 36 ( 0 % ) ; ; Total block memory bits ; 0 / 165,888 ( 0 % ) ; @@ -245,23 +246,23 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin. +------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; +------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ -; A0 ; 24 ; 1 ; 0 ; 9 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; A1 ; 57 ; 4 ; 1 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; A2 ; 23 ; 1 ; 0 ; 9 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; A3 ; 40 ; 1 ; 0 ; 5 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; A4 ; 41 ; 1 ; 0 ; 4 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; A5 ; 150 ; 3 ; 34 ; 16 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; A6 ; 13 ; 1 ; 0 ; 16 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; A7 ; 5 ; 1 ; 0 ; 17 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; B0 ; 28 ; 1 ; 0 ; 9 ; 3 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; B1 ; 60 ; 4 ; 3 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; B2 ; 30 ; 1 ; 0 ; 8 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; B3 ; 39 ; 1 ; 0 ; 5 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; B4 ; 207 ; 2 ; 1 ; 19 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; B5 ; 14 ; 1 ; 0 ; 14 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; B6 ; 10 ; 1 ; 0 ; 17 ; 3 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; B7 ; 11 ; 1 ; 0 ; 16 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; -; CI ; 27 ; 1 ; 0 ; 9 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; A0 ; 77 ; 4 ; 18 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; A1 ; 80 ; 4 ; 23 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; A2 ; 81 ; 4 ; 23 ; 0 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; A3 ; 82 ; 4 ; 23 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; A4 ; 84 ; 4 ; 25 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; A5 ; 86 ; 4 ; 25 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; A6 ; 87 ; 4 ; 25 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; A7 ; 88 ; 4 ; 25 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; B0 ; 67 ; 4 ; 9 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; B1 ; 68 ; 4 ; 12 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; B2 ; 69 ; 4 ; 12 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; B3 ; 70 ; 4 ; 14 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; B4 ; 72 ; 4 ; 16 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; B5 ; 74 ; 4 ; 16 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; B6 ; 75 ; 4 ; 16 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; B7 ; 76 ; 4 ; 18 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; CI ; 23 ; 1 ; 0 ; 9 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ @@ -270,15 +271,15 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin. +------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; +------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ -; CO ; 58 ; 4 ; 1 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; S0 ; 102 ; 4 ; 32 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; S1 ; 34 ; 1 ; 0 ; 7 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; S2 ; 118 ; 3 ; 34 ; 7 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; S3 ; 31 ; 1 ; 0 ; 8 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; S4 ; 37 ; 1 ; 0 ; 6 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; S5 ; 35 ; 1 ; 0 ; 7 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; S6 ; 12 ; 1 ; 0 ; 16 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; -; S7 ; 6 ; 1 ; 0 ; 17 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; +; CO ; 151 ; 3 ; 34 ; 17 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; S0 ; 142 ; 3 ; 34 ; 12 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; S1 ; 143 ; 3 ; 34 ; 13 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; S2 ; 144 ; 3 ; 34 ; 13 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; S3 ; 145 ; 3 ; 34 ; 14 ; 4 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; S4 ; 146 ; 3 ; 34 ; 15 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; S5 ; 147 ; 3 ; 34 ; 15 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; S6 ; 149 ; 3 ; 34 ; 16 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +; S7 ; 150 ; 3 ; 34 ; 16 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; +------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+ @@ -287,10 +288,10 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin. +----------+------------------+---------------+--------------+ ; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; +----------+------------------+---------------+--------------+ -; 1 ; 21 / 32 ( 66 % ) ; 3.3V ; -- ; -; 2 ; 1 / 35 ( 3 % ) ; 3.3V ; -- ; -; 3 ; 3 / 35 ( 9 % ) ; 3.3V ; -- ; -; 4 ; 4 / 36 ( 11 % ) ; 3.3V ; -- ; +; 1 ; 3 / 32 ( 9 % ) ; 3.3V ; -- ; +; 2 ; 0 / 35 ( 0 % ) ; 3.3V ; -- ; +; 3 ; 10 / 35 ( 29 % ) ; 3.3V ; -- ; +; 4 ; 16 / 36 ( 44 % ) ; 3.3V ; -- ; +----------+------------------+---------------+--------------+ @@ -301,19 +302,19 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin. +----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ ; 1 ; 0 ; 1 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; ; 2 ; 1 ; 1 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; -; 3 ; 2 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 4 ; 3 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 5 ; 4 ; 1 ; A7 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 6 ; 5 ; 1 ; S7 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 3 ; 2 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 4 ; 3 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 5 ; 4 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 6 ; 5 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 7 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 8 ; 6 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 8 ; 6 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 10 ; 7 ; 1 ; B6 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 11 ; 8 ; 1 ; B7 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 12 ; 9 ; 1 ; S6 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 13 ; 10 ; 1 ; A6 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 14 ; 18 ; 1 ; B5 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 15 ; 19 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 10 ; 7 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 11 ; 8 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 12 ; 9 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 13 ; 10 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 14 ; 18 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 15 ; 19 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 16 ; 20 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; ; 17 ; 21 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; ; 18 ; 22 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; @@ -321,32 +322,32 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin. ; 20 ; 24 ; 1 ; ^DATA0 ; input ; ; ; -- ; ; -- ; -- ; ; 21 ; 25 ; 1 ; ^DCLK ; ; ; ; -- ; ; -- ; -- ; ; 22 ; 26 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; -; 23 ; 27 ; 1 ; A2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 24 ; 28 ; 1 ; A0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 23 ; 27 ; 1 ; CI ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 24 ; 28 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 25 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 26 ; 29 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; -; 27 ; 30 ; 1 ; CI ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 28 ; 31 ; 1 ; B0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 27 ; 30 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 28 ; 31 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 29 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 30 ; 32 ; 1 ; B2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 31 ; 33 ; 1 ; S3 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 30 ; 32 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 31 ; 33 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 32 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 33 ; 35 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 34 ; 36 ; 1 ; S1 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 35 ; 37 ; 1 ; S5 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 33 ; 35 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 34 ; 36 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 35 ; 37 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 36 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 37 ; 39 ; 1 ; S4 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 37 ; 39 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 38 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 39 ; 43 ; 1 ; B3 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 40 ; 44 ; 1 ; A3 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 41 ; 45 ; 1 ; A4 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 39 ; 43 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 40 ; 44 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 41 ; 45 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 42 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 43 ; 48 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 44 ; 49 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 45 ; 50 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 46 ; 51 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 47 ; 52 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 48 ; 53 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 43 ; 48 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 44 ; 49 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 45 ; 50 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 46 ; 51 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 47 ; 52 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 48 ; 53 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 49 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 50 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; ; 51 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; @@ -354,69 +355,69 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin. ; 53 ; ; ; VCCA_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 54 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; ; 55 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 56 ; 54 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 57 ; 55 ; 4 ; A1 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 58 ; 56 ; 4 ; CO ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 59 ; 57 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 60 ; 58 ; 4 ; B1 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 61 ; 59 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 56 ; 54 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 57 ; 55 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 58 ; 56 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 59 ; 57 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 60 ; 58 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 61 ; 59 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 62 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 63 ; 60 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 64 ; 61 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 63 ; 60 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 64 ; 61 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 65 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 66 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 67 ; 69 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 68 ; 70 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 69 ; 71 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 70 ; 74 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 67 ; 69 ; 4 ; B0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 68 ; 70 ; 4 ; B1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 69 ; 71 ; 4 ; B2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 70 ; 74 ; 4 ; B3 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 71 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 72 ; 75 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 72 ; 75 ; 4 ; B4 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 73 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 74 ; 76 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 75 ; 77 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 76 ; 78 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 77 ; 79 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 74 ; 76 ; 4 ; B5 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 75 ; 77 ; 4 ; B6 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 76 ; 78 ; 4 ; B7 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 77 ; 79 ; 4 ; A0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 78 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 79 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 80 ; 82 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 81 ; 83 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 82 ; 84 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 80 ; 82 ; 4 ; A1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 81 ; 83 ; 4 ; A2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 82 ; 84 ; 4 ; A3 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 83 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 84 ; 85 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 84 ; 85 ; 4 ; A4 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 85 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 86 ; 86 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 87 ; 87 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 88 ; 88 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 89 ; 89 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 90 ; 90 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 86 ; 86 ; 4 ; A5 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 87 ; 87 ; 4 ; A6 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 88 ; 88 ; 4 ; A7 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 89 ; 89 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 90 ; 90 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 91 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 92 ; 91 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 92 ; 91 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 93 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 94 ; 92 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 95 ; 93 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 96 ; 94 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 97 ; 95 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 94 ; 92 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 95 ; 93 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 96 ; 94 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 97 ; 95 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 98 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 99 ; 96 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 99 ; 96 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 100 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 101 ; 97 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 102 ; 98 ; 4 ; S0 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 103 ; 99 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 104 ; 100 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 105 ; 101 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 106 ; 102 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 107 ; 105 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 101 ; 97 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 102 ; 98 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 103 ; 99 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 104 ; 100 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 105 ; 101 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 106 ; 102 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 107 ; 105 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 108 ; 106 ; 3 ; ~LVDS54p/nCEO~ ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; 109 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 110 ; 107 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 110 ; 107 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 111 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 112 ; 108 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 113 ; 109 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 114 ; 110 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 115 ; 112 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 116 ; 113 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 117 ; 114 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 118 ; 117 ; 3 ; S2 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 112 ; 108 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 113 ; 109 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 114 ; 110 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 115 ; 112 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 116 ; 113 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 117 ; 114 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 118 ; 117 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 119 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 120 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 121 ; 121 ; 3 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; @@ -425,32 +426,32 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin. ; 124 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 125 ; 123 ; 3 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; ; 126 ; 124 ; 3 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; -; 127 ; 125 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 128 ; 126 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 127 ; 125 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 128 ; 126 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 129 ; 127 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 130 ; 128 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 131 ; 129 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 132 ; 130 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 133 ; 131 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 134 ; 132 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 135 ; 133 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 133 ; 131 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 134 ; 132 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 135 ; 133 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 136 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 137 ; 134 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 138 ; 135 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 139 ; 136 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 137 ; 134 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 138 ; 135 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 139 ; 136 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 141 ; 137 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 142 ; 138 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 143 ; 141 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 144 ; 142 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 145 ; 143 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 146 ; 149 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 147 ; 150 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 141 ; 137 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 142 ; 138 ; 3 ; S0 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 143 ; 141 ; 3 ; S1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 144 ; 142 ; 3 ; S2 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 145 ; 143 ; 3 ; S3 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 146 ; 149 ; 3 ; S4 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 147 ; 150 ; 3 ; S5 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; 148 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 149 ; 151 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 150 ; 152 ; 3 ; A5 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 151 ; 153 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 152 ; 154 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 149 ; 151 ; 3 ; S6 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 150 ; 152 ; 3 ; S7 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 151 ; 153 ; 3 ; CO ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 152 ; 154 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 153 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 154 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; ; 155 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; @@ -458,55 +459,55 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin. ; 157 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 158 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; ; 159 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 160 ; 155 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 161 ; 156 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 162 ; 157 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 163 ; 158 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 164 ; 159 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 165 ; 160 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 160 ; 155 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 161 ; 156 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 162 ; 157 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 163 ; 158 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 164 ; 159 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 165 ; 160 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 166 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 167 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 168 ; 161 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 169 ; 162 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 170 ; 163 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 171 ; 164 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 168 ; 161 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 169 ; 162 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 170 ; 163 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 171 ; 164 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 172 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 173 ; 165 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 173 ; 165 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 174 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 175 ; 168 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 176 ; 169 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 175 ; 168 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 176 ; 169 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 177 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 178 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 179 ; 173 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 180 ; 174 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 181 ; 175 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 182 ; 176 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 179 ; 173 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 180 ; 174 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 181 ; 175 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 182 ; 176 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 183 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 184 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 185 ; 180 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 185 ; 180 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 186 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 187 ; 181 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 188 ; 182 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 189 ; 183 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 187 ; 181 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 188 ; 182 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 189 ; 183 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 190 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 191 ; 184 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 192 ; 185 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 193 ; 186 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 191 ; 184 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 192 ; 185 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 193 ; 186 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 194 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 195 ; 187 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 195 ; 187 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 196 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 197 ; 191 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 198 ; 192 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 199 ; 195 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 200 ; 196 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 201 ; 197 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 197 ; 191 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 198 ; 192 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 199 ; 195 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 200 ; 196 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 201 ; 197 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 202 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 203 ; 198 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 203 ; 198 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 204 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 205 ; 199 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 206 ; 200 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 207 ; 201 ; 2 ; B4 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 208 ; 202 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 205 ; 199 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 206 ; 200 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 207 ; 201 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +; 208 ; 202 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ Note: Pin directions (input, output or bidir) are based on device operating in user mode. @@ -592,10 +593,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; A3 ; Input ; 6 ; 6 ; -- ; -- ; ; B3 ; Input ; 6 ; 6 ; -- ; -- ; ; A4 ; Input ; 6 ; 6 ; -- ; -- ; -; A2 ; Input ; 0 ; 0 ; -- ; -- ; -; A0 ; Input ; 0 ; 0 ; -- ; -- ; +; A2 ; Input ; 6 ; 6 ; -- ; -- ; +; A0 ; Input ; 6 ; 6 ; -- ; -- ; ; CI ; Input ; 0 ; 0 ; -- ; -- ; -; B0 ; Input ; 0 ; 0 ; -- ; -- ; +; B0 ; Input ; 6 ; 6 ; -- ; -- ; ; A1 ; Input ; 6 ; 6 ; -- ; -- ; ; B1 ; Input ; 6 ; 6 ; -- ; -- ; ; B2 ; Input ; 6 ; 6 ; -- ; -- ; @@ -622,17 +623,26 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - 7400:inst18|4~0 ; 0 ; 6 ; ; - 7486:inst20|4 ; 0 ; 6 ; ; B3 ; ; ; -; - 7400:inst23|4~8 ; 1 ; 6 ; -; - 7400:inst18|4~0 ; 1 ; 6 ; -; - 7486:inst20|4 ; 1 ; 6 ; +; - 7400:inst23|4~8 ; 0 ; 6 ; +; - 7400:inst18|4~0 ; 0 ; 6 ; +; - 7486:inst20|4 ; 0 ; 6 ; ; A4 ; ; ; ; - 7400:inst23|4~8 ; 0 ; 6 ; ; - 7400:inst23|4~9 ; 0 ; 6 ; ; - 7486:inst25|4~0 ; 0 ; 6 ; ; A2 ; ; ; +; - 7400:inst13|4~0 ; 0 ; 6 ; +; - 7400:inst13|4~1 ; 0 ; 6 ; +; - 7486:inst15|4~0 ; 0 ; 6 ; +; - 7400:inst23|4~10 ; 0 ; 6 ; ; A0 ; ; ; +; - 7400:inst3|4~0 ; 0 ; 6 ; +; - 7400:inst3|4~1 ; 0 ; 6 ; +; - 7486:inst5|4~0 ; 0 ; 6 ; ; CI ; ; ; ; B0 ; ; ; +; - 7400:inst3|4~1 ; 0 ; 6 ; +; - 7486:inst5|4~0 ; 0 ; 6 ; ; A1 ; ; ; ; - 7400:inst8|4~0 ; 0 ; 6 ; ; - 7486:inst10|4 ; 0 ; 6 ; @@ -640,9 +650,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - 7400:inst8|4~0 ; 0 ; 6 ; ; - 7486:inst10|4 ; 0 ; 6 ; ; B2 ; ; ; -; - 7400:inst13|4~1 ; 1 ; 6 ; -; - 7486:inst15|4~0 ; 1 ; 6 ; -; - 7400:inst23|4~10 ; 1 ; 6 ; +; - 7400:inst13|4~1 ; 0 ; 6 ; +; - 7486:inst15|4~0 ; 0 ; 6 ; +; - 7400:inst23|4~10 ; 0 ; 6 ; ; B4 ; ; ; ; - 7400:inst23|4~9 ; 0 ; 6 ; ; - 7486:inst25|4~0 ; 0 ; 6 ; @@ -659,8 +669,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - 7400:inst38|4~0 ; 0 ; 6 ; ; - 7486:inst40|4 ; 0 ; 6 ; ; B7 ; ; ; -; - 7400:inst38|4~0 ; 1 ; 6 ; -; - 7486:inst40|4 ; 1 ; 6 ; +; - 7400:inst38|4~0 ; 0 ; 6 ; +; - 7486:inst40|4 ; 0 ; 6 ; +-------------------------+-------------------+---------+ @@ -715,14 +725,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------+-----------------------+ ; Interconnect Resource Type ; Usage ; +----------------------------+-----------------------+ -; Block interconnects ; 29 / 26,052 ( < 1 % ) ; -; C16 interconnects ; 2 / 1,156 ( < 1 % ) ; -; C4 interconnects ; 31 / 17,952 ( < 1 % ) ; -; Direct links ; 2 / 26,052 ( < 1 % ) ; +; Block interconnects ; 27 / 26,052 ( < 1 % ) ; +; C16 interconnects ; 7 / 1,156 ( < 1 % ) ; +; C4 interconnects ; 37 / 17,952 ( < 1 % ) ; +; Direct links ; 0 / 26,052 ( 0 % ) ; ; Global clocks ; 0 / 8 ( 0 % ) ; -; Local interconnects ; 10 / 8,256 ( < 1 % ) ; -; R24 interconnects ; 3 / 1,020 ( < 1 % ) ; -; R4 interconnects ; 18 / 22,440 ( < 1 % ) ; +; Local interconnects ; 11 / 8,256 ( < 1 % ) ; +; R24 interconnects ; 5 / 1,020 ( < 1 % ) ; +; R4 interconnects ; 47 / 22,440 ( < 1 % ) ; +----------------------------+-----------------------+ @@ -826,7 +836,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Error detection CRC ; Off ; ; nCEO ; As output driving ground ; ; ASDO,nCSO ; As input tri-stated ; -; Reserve all unused pins ; As output driving ground ; +; Reserve all unused pins ; As input tri-stated ; ; Base pin-out file on sameframe device ; Off ; +----------------------------------------------+--------------------------+ @@ -921,19 +931,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------------------------------------+------------+ -+--------------------------------------------------+ -; Advanced Data - Routing ; -+------------------------------------+-------------+ -; Name ; Value ; -+------------------------------------+-------------+ -; Early Slack - Fit Attempt 1 ; 2147483639 ; -; Early Wire Use - Fit Attempt 1 ; 0 ; -; Peak Regional Wire - Fit Attempt 1 ; 0 ; -; Mid Slack - Fit Attempt 1 ; 2147483639 ; -; Late Slack - Fit Attempt 1 ; -2147483648 ; -; Late Wire Use - Fit Attempt 1 ; 0 ; -; Time - Fit Attempt 1 ; 0 ; -+------------------------------------+-------------+ ++---------------------------------------------------+ +; Advanced Data - Routing ; ++-------------------------------------+-------------+ +; Name ; Value ; ++-------------------------------------+-------------+ +; Early Slack - Fit Attempt 1 ; 2147483639 ; +; Early Wire Use - Fit Attempt 1 ; 0 ; +; Peak Regional Wire - Fit Attempt 1 ; 1 ; +; Mid Slack - Fit Attempt 1 ; 2147483639 ; +; Late Slack - Fit Attempt 1 ; -2147483648 ; +; Late Wire Use - Fit Attempt 1 ; 0 ; +; Time - Fit Attempt 1 ; 0 ; +; Time in tsm_tan.dll - Fit Attempt 1 ; 0.016 ; ++-------------------------------------+-------------+ +-----------------+ @@ -942,7 +953,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II Fitter Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Mon Mar 07 10:22:22 2022 + Info: Processing started: Mon Mar 07 11:28:56 2022 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b Info: Parallel compilation is enabled and will use 4 of the 4 processors detected Info: Selected device EP2C8Q208C8 for design "adder_8b" @@ -957,47 +968,11 @@ Info: Fitter converted 3 user pins into dedicated programming pins Info: Pin ~ASDO~ is reserved at location 1 Info: Pin ~nCSO~ is reserved at location 2 Info: Pin ~LVDS54p/nCEO~ is reserved at location 108 -Warning: No exact pin location assignment(s) for 26 pins of 26 total pins - Info: Pin CO not assigned to an exact location on the device - Info: Pin S7 not assigned to an exact location on the device - Info: Pin S0 not assigned to an exact location on the device - Info: Pin S1 not assigned to an exact location on the device - Info: Pin S2 not assigned to an exact location on the device - Info: Pin S3 not assigned to an exact location on the device - Info: Pin S4 not assigned to an exact location on the device - Info: Pin S5 not assigned to an exact location on the device - Info: Pin S6 not assigned to an exact location on the device - Info: Pin A6 not assigned to an exact location on the device - Info: Pin A3 not assigned to an exact location on the device - Info: Pin B3 not assigned to an exact location on the device - Info: Pin A4 not assigned to an exact location on the device - Info: Pin A2 not assigned to an exact location on the device - Info: Pin A0 not assigned to an exact location on the device - Info: Pin CI not assigned to an exact location on the device - Info: Pin B0 not assigned to an exact location on the device - Info: Pin A1 not assigned to an exact location on the device - Info: Pin B1 not assigned to an exact location on the device - Info: Pin B2 not assigned to an exact location on the device - Info: Pin B4 not assigned to an exact location on the device - Info: Pin A5 not assigned to an exact location on the device - Info: Pin B5 not assigned to an exact location on the device - Info: Pin B6 not assigned to an exact location on the device - Info: Pin A7 not assigned to an exact location on the device - Info: Pin B7 not assigned to an exact location on the device Info: Fitter is using the Classic Timing Analyzer Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time. Info: Starting register packing Info: Finished register packing Extra Info: No registers were packed into other blocks -Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement - Info: Number of I/O pins in group: 26 (unused VREF, 3.3V VCCIO, 17 input, 9 output, 0 bidirectional) - Info: I/O standards used: 3.3-V LVTTL. -Info: I/O bank details before I/O pin placement - Info: Statistics of I/O banks - Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available - Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available - Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available - Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available Info: Fitter preparation operations ending: elapsed time is 00:00:00 Info: Fitter placement preparation operations beginning Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 @@ -1006,7 +981,7 @@ Info: Fitter placement was successful Info: Fitter placement operations ending: elapsed time is 00:00:00 Info: Fitter routing operations beginning Info: Average interconnect usage is 0% of the available device resources - Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y9 + Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X11_Y0 to location X22_Y9 Info: Fitter routing operations ending: elapsed time is 00:00:00 Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info: Optimizations that may affect the design's routability were skipped @@ -1023,11 +998,10 @@ Warning: Found 9 output pins without output pin load capacitance assignment Info: Pin "S5" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis Info: Pin "S6" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis Info: Delay annotation completed successfully -Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. Info: Generated suppressed messages file D:/projects/quartus/adder_8b/adder_8b.fit.smsg -Info: Quartus II Fitter was successful. 0 errors, 3 warnings +Info: Quartus II Fitter was successful. 0 errors, 1 warning Info: Peak virtual memory: 305 megabytes - Info: Processing ended: Mon Mar 07 10:22:23 2022 + Info: Processing ended: Mon Mar 07 11:28:57 2022 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/adder_8b/adder_8b.fit.summary b/adder_8b/adder_8b.fit.summary index 57cef3e..b6ffc12 100644 --- a/adder_8b/adder_8b.fit.summary +++ b/adder_8b/adder_8b.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Mon Mar 07 10:22:23 2022 +Fitter Status : Successful - Mon Mar 07 11:28:57 2022 Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition Revision Name : adder_8b Top-level Entity Name : adder_8b diff --git a/adder_8b/adder_8b.flow.rpt b/adder_8b/adder_8b.flow.rpt index 3a1614b..3b89ce5 100644 --- a/adder_8b/adder_8b.flow.rpt +++ b/adder_8b/adder_8b.flow.rpt @@ -1,5 +1,5 @@ Flow report for adder_8b -Mon Mar 07 10:22:25 2022 +Mon Mar 07 11:28:59 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -38,7 +38,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Flow Summary ; +------------------------------------+----------------------------------------------+ -; Flow Status ; Successful - Mon Mar 07 10:22:25 2022 ; +; Flow Status ; Successful - Mon Mar 07 11:28:59 2022 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; adder_8b ; ; Top-level Entity Name ; adder_8b ; @@ -63,24 +63,25 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 03/07/2022 10:22:21 ; +; Start date & time ; 03/07/2022 11:28:55 ; ; Main task ; Compilation ; ; Revision Name ; adder_8b ; +-------------------+---------------------+ -+---------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+------------------------------------+---------------------------------+---------------+-------------+----------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+------------------------------------+---------------------------------+---------------+-------------+----------------+ -; COMPILER_SIGNATURE_ID ; 220283517943889.164661974110084 ; -- ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; -; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; -; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; -+------------------------------------+---------------------------------+---------------+-------------+----------------+ ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+-------------------------------------------+---------------+-------------+----------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+-------------------------------------------+---------------+-------------+----------------+ +; COMPILER_SIGNATURE_ID ; 220283517943889.164662373514744 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; MISC_FILE ; D:/projects/quartus/adder_8b/adder_8b.dpf ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+-------------------------------------------+---------------+-------------+----------------+ +-----------------------------------------------------------------------------------------------------------------------------+ @@ -88,10 +89,10 @@ applicable agreement for further details. +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 245 MB ; 00:00:00 ; +; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 246 MB ; 00:00:00 ; ; Fitter ; 00:00:01 ; 1.0 ; 305 MB ; 00:00:01 ; ; Assembler ; 00:00:00 ; 1.0 ; 242 MB ; 00:00:00 ; -; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; 198 MB ; 00:00:00 ; +; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; 199 MB ; 00:00:00 ; ; Total ; 00:00:02 ; -- ; -- ; 00:00:01 ; +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/adder_8b/adder_8b.map.rpt b/adder_8b/adder_8b.map.rpt index b559eeb..9c6e794 100644 --- a/adder_8b/adder_8b.map.rpt +++ b/adder_8b/adder_8b.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for adder_8b -Mon Mar 07 10:22:21 2022 +Mon Mar 07 11:28:55 2022 Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition @@ -39,7 +39,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +------------------------------------+----------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Mon Mar 07 10:22:21 2022 ; +; Analysis & Synthesis Status ; Successful - Mon Mar 07 11:28:55 2022 ; ; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; ; Revision Name ; adder_8b ; ; Top-level Entity Name ; adder_8b ; @@ -218,7 +218,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II Analysis & Synthesis Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition - Info: Processing started: Mon Mar 07 10:22:20 2022 + Info: Processing started: Mon Mar 07 11:28:54 2022 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off adder_8b -c adder_8b Info: Found 1 design units, including 1 entities, in source file adder_8b.bdf Info: Found entity 1: adder_8b @@ -232,8 +232,8 @@ Info: Implemented 47 device resources after synthesis - the final resource count Info: Implemented 9 output pins Info: Implemented 21 logic cells Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 248 megabytes - Info: Processing ended: Mon Mar 07 10:22:21 2022 + Info: Peak virtual memory: 250 megabytes + Info: Processing ended: Mon Mar 07 11:28:55 2022 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/adder_8b/adder_8b.map.summary b/adder_8b/adder_8b.map.summary index 06c7abe..cdd9d47 100644 --- a/adder_8b/adder_8b.map.summary +++ b/adder_8b/adder_8b.map.summary @@ -1,4 +1,4 @@ -Analysis & Synthesis Status : Successful - Mon Mar 07 10:22:21 2022 +Analysis & Synthesis Status : Successful - Mon Mar 07 11:28:55 2022 Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition Revision Name : adder_8b Top-level Entity Name : adder_8b diff --git a/adder_8b/adder_8b.pin b/adder_8b/adder_8b.pin index ea6c706..55a9646 100644 --- a/adder_8b/adder_8b.pin +++ b/adder_8b/adder_8b.pin @@ -70,19 +70,19 @@ Pin Name/Usage : Location : Dir. : I/O Standard : Voltage ------------------------------------------------------------------------------------------------------------- ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 1 : input : 3.3-V LVTTL : : 1 : N ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 2 : input : 3.3-V LVTTL : : 1 : N -GND* : 3 : : : : 1 : -GND* : 4 : : : : 1 : -A7 : 5 : input : 3.3-V LVTTL : : 1 : N -S7 : 6 : output : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 3 : : : : 1 : +RESERVED_INPUT : 4 : : : : 1 : +RESERVED_INPUT : 5 : : : : 1 : +RESERVED_INPUT : 6 : : : : 1 : VCCIO1 : 7 : power : : 3.3V : 1 : -GND* : 8 : : : : 1 : +RESERVED_INPUT : 8 : : : : 1 : GND : 9 : gnd : : : : -B6 : 10 : input : 3.3-V LVTTL : : 1 : N -B7 : 11 : input : 3.3-V LVTTL : : 1 : N -S6 : 12 : output : 3.3-V LVTTL : : 1 : N -A6 : 13 : input : 3.3-V LVTTL : : 1 : N -B5 : 14 : input : 3.3-V LVTTL : : 1 : N -GND* : 15 : : : : 1 : +RESERVED_INPUT : 10 : : : : 1 : +RESERVED_INPUT : 11 : : : : 1 : +RESERVED_INPUT : 12 : : : : 1 : +RESERVED_INPUT : 13 : : : : 1 : +RESERVED_INPUT : 14 : : : : 1 : +RESERVED_INPUT : 15 : : : : 1 : TDO : 16 : output : : : 1 : TMS : 17 : input : : : 1 : TCK : 18 : input : : : 1 : @@ -90,32 +90,32 @@ TDI : 19 : input : : DATA0 : 20 : input : : : 1 : DCLK : 21 : : : : 1 : nCE : 22 : : : : 1 : -A2 : 23 : input : 3.3-V LVTTL : : 1 : N -A0 : 24 : input : 3.3-V LVTTL : : 1 : N +CI : 23 : input : 3.3-V LVTTL : : 1 : Y +GND+ : 24 : : : : 1 : GND : 25 : gnd : : : : nCONFIG : 26 : : : : 1 : -CI : 27 : input : 3.3-V LVTTL : : 1 : N -B0 : 28 : input : 3.3-V LVTTL : : 1 : N +GND+ : 27 : : : : 1 : +GND+ : 28 : : : : 1 : VCCIO1 : 29 : power : : 3.3V : 1 : -B2 : 30 : input : 3.3-V LVTTL : : 1 : N -S3 : 31 : output : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 30 : : : : 1 : +RESERVED_INPUT : 31 : : : : 1 : VCCINT : 32 : power : : 1.2V : : -GND* : 33 : : : : 1 : -S1 : 34 : output : 3.3-V LVTTL : : 1 : N -S5 : 35 : output : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 33 : : : : 1 : +RESERVED_INPUT : 34 : : : : 1 : +RESERVED_INPUT : 35 : : : : 1 : GND : 36 : gnd : : : : -S4 : 37 : output : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 37 : : : : 1 : GND : 38 : gnd : : : : -B3 : 39 : input : 3.3-V LVTTL : : 1 : N -A3 : 40 : input : 3.3-V LVTTL : : 1 : N -A4 : 41 : input : 3.3-V LVTTL : : 1 : N +RESERVED_INPUT : 39 : : : : 1 : +RESERVED_INPUT : 40 : : : : 1 : +RESERVED_INPUT : 41 : : : : 1 : VCCIO1 : 42 : power : : 3.3V : 1 : -GND* : 43 : : : : 1 : -GND* : 44 : : : : 1 : -GND* : 45 : : : : 1 : -GND* : 46 : : : : 1 : -GND* : 47 : : : : 1 : -GND* : 48 : : : : 1 : +RESERVED_INPUT : 43 : : : : 1 : +RESERVED_INPUT : 44 : : : : 1 : +RESERVED_INPUT : 45 : : : : 1 : +RESERVED_INPUT : 46 : : : : 1 : +RESERVED_INPUT : 47 : : : : 1 : +RESERVED_INPUT : 48 : : : : 1 : GND : 49 : gnd : : : : GND_PLL1 : 50 : gnd : : : : VCCD_PLL1 : 51 : power : : 1.2V : : @@ -123,69 +123,69 @@ GND_PLL1 : 52 : gnd : : VCCA_PLL1 : 53 : power : : 1.2V : : GNDA_PLL1 : 54 : gnd : : : : GND : 55 : gnd : : : : -GND* : 56 : : : : 4 : -A1 : 57 : input : 3.3-V LVTTL : : 4 : N -CO : 58 : output : 3.3-V LVTTL : : 4 : N -GND* : 59 : : : : 4 : -B1 : 60 : input : 3.3-V LVTTL : : 4 : N -GND* : 61 : : : : 4 : +RESERVED_INPUT : 56 : : : : 4 : +RESERVED_INPUT : 57 : : : : 4 : +RESERVED_INPUT : 58 : : : : 4 : +RESERVED_INPUT : 59 : : : : 4 : +RESERVED_INPUT : 60 : : : : 4 : +RESERVED_INPUT : 61 : : : : 4 : VCCIO4 : 62 : power : : 3.3V : 4 : -GND* : 63 : : : : 4 : -GND* : 64 : : : : 4 : +RESERVED_INPUT : 63 : : : : 4 : +RESERVED_INPUT : 64 : : : : 4 : GND : 65 : gnd : : : : VCCINT : 66 : power : : 1.2V : : -GND* : 67 : : : : 4 : -GND* : 68 : : : : 4 : -GND* : 69 : : : : 4 : -GND* : 70 : : : : 4 : +B0 : 67 : input : 3.3-V LVTTL : : 4 : Y +B1 : 68 : input : 3.3-V LVTTL : : 4 : Y +B2 : 69 : input : 3.3-V LVTTL : : 4 : Y +B3 : 70 : input : 3.3-V LVTTL : : 4 : Y VCCIO4 : 71 : power : : 3.3V : 4 : -GND* : 72 : : : : 4 : +B4 : 72 : input : 3.3-V LVTTL : : 4 : Y GND : 73 : gnd : : : : -GND* : 74 : : : : 4 : -GND* : 75 : : : : 4 : -GND* : 76 : : : : 4 : -GND* : 77 : : : : 4 : +B5 : 74 : input : 3.3-V LVTTL : : 4 : Y +B6 : 75 : input : 3.3-V LVTTL : : 4 : Y +B7 : 76 : input : 3.3-V LVTTL : : 4 : Y +A0 : 77 : input : 3.3-V LVTTL : : 4 : Y GND : 78 : gnd : : : : VCCINT : 79 : power : : 1.2V : : -GND* : 80 : : : : 4 : -GND* : 81 : : : : 4 : -GND* : 82 : : : : 4 : +A1 : 80 : input : 3.3-V LVTTL : : 4 : Y +A2 : 81 : input : 3.3-V LVTTL : : 4 : Y +A3 : 82 : input : 3.3-V LVTTL : : 4 : Y VCCIO4 : 83 : power : : 3.3V : 4 : -GND* : 84 : : : : 4 : +A4 : 84 : input : 3.3-V LVTTL : : 4 : Y GND : 85 : gnd : : : : -GND* : 86 : : : : 4 : -GND* : 87 : : : : 4 : -GND* : 88 : : : : 4 : -GND* : 89 : : : : 4 : -GND* : 90 : : : : 4 : +A5 : 86 : input : 3.3-V LVTTL : : 4 : Y +A6 : 87 : input : 3.3-V LVTTL : : 4 : Y +A7 : 88 : input : 3.3-V LVTTL : : 4 : Y +RESERVED_INPUT : 89 : : : : 4 : +RESERVED_INPUT : 90 : : : : 4 : VCCIO4 : 91 : power : : 3.3V : 4 : -GND* : 92 : : : : 4 : +RESERVED_INPUT : 92 : : : : 4 : GND : 93 : gnd : : : : -GND* : 94 : : : : 4 : -GND* : 95 : : : : 4 : -GND* : 96 : : : : 4 : -GND* : 97 : : : : 4 : +RESERVED_INPUT : 94 : : : : 4 : +RESERVED_INPUT : 95 : : : : 4 : +RESERVED_INPUT : 96 : : : : 4 : +RESERVED_INPUT : 97 : : : : 4 : VCCIO4 : 98 : power : : 3.3V : 4 : -GND* : 99 : : : : 4 : +RESERVED_INPUT : 99 : : : : 4 : GND : 100 : gnd : : : : -GND* : 101 : : : : 4 : -S0 : 102 : output : 3.3-V LVTTL : : 4 : N -GND* : 103 : : : : 4 : -GND* : 104 : : : : 4 : -GND* : 105 : : : : 3 : -GND* : 106 : : : : 3 : -GND* : 107 : : : : 3 : +RESERVED_INPUT : 101 : : : : 4 : +RESERVED_INPUT : 102 : : : : 4 : +RESERVED_INPUT : 103 : : : : 4 : +RESERVED_INPUT : 104 : : : : 4 : +RESERVED_INPUT : 105 : : : : 3 : +RESERVED_INPUT : 106 : : : : 3 : +RESERVED_INPUT : 107 : : : : 3 : ~LVDS54p/nCEO~ : 108 : output : 3.3-V LVTTL : : 3 : N VCCIO3 : 109 : power : : 3.3V : 3 : -GND* : 110 : : : : 3 : +RESERVED_INPUT : 110 : : : : 3 : GND : 111 : gnd : : : : -GND* : 112 : : : : 3 : -GND* : 113 : : : : 3 : -GND* : 114 : : : : 3 : -GND* : 115 : : : : 3 : -GND* : 116 : : : : 3 : -GND* : 117 : : : : 3 : -S2 : 118 : output : 3.3-V LVTTL : : 3 : N +RESERVED_INPUT : 112 : : : : 3 : +RESERVED_INPUT : 113 : : : : 3 : +RESERVED_INPUT : 114 : : : : 3 : +RESERVED_INPUT : 115 : : : : 3 : +RESERVED_INPUT : 116 : : : : 3 : +RESERVED_INPUT : 117 : : : : 3 : +RESERVED_INPUT : 118 : : : : 3 : GND : 119 : gnd : : : : VCCINT : 120 : power : : 1.2V : : nSTATUS : 121 : : : : 3 : @@ -194,32 +194,32 @@ CONF_DONE : 123 : : : GND : 124 : gnd : : : : MSEL1 : 125 : : : : 3 : MSEL0 : 126 : : : : 3 : -GND* : 127 : : : : 3 : -GND* : 128 : : : : 3 : +RESERVED_INPUT : 127 : : : : 3 : +RESERVED_INPUT : 128 : : : : 3 : GND+ : 129 : : : : 3 : GND+ : 130 : : : : 3 : GND+ : 131 : : : : 3 : GND+ : 132 : : : : 3 : -GND* : 133 : : : : 3 : -GND* : 134 : : : : 3 : -GND* : 135 : : : : 3 : +RESERVED_INPUT : 133 : : : : 3 : +RESERVED_INPUT : 134 : : : : 3 : +RESERVED_INPUT : 135 : : : : 3 : VCCIO3 : 136 : power : : 3.3V : 3 : -GND* : 137 : : : : 3 : -GND* : 138 : : : : 3 : -GND* : 139 : : : : 3 : +RESERVED_INPUT : 137 : : : : 3 : +RESERVED_INPUT : 138 : : : : 3 : +RESERVED_INPUT : 139 : : : : 3 : GND : 140 : gnd : : : : -GND* : 141 : : : : 3 : -GND* : 142 : : : : 3 : -GND* : 143 : : : : 3 : -GND* : 144 : : : : 3 : -GND* : 145 : : : : 3 : -GND* : 146 : : : : 3 : -GND* : 147 : : : : 3 : +RESERVED_INPUT : 141 : : : : 3 : +S0 : 142 : output : 3.3-V LVTTL : : 3 : Y +S1 : 143 : output : 3.3-V LVTTL : : 3 : Y +S2 : 144 : output : 3.3-V LVTTL : : 3 : Y +S3 : 145 : output : 3.3-V LVTTL : : 3 : Y +S4 : 146 : output : 3.3-V LVTTL : : 3 : Y +S5 : 147 : output : 3.3-V LVTTL : : 3 : Y VCCIO3 : 148 : power : : 3.3V : 3 : -GND* : 149 : : : : 3 : -A5 : 150 : input : 3.3-V LVTTL : : 3 : N -GND* : 151 : : : : 3 : -GND* : 152 : : : : 3 : +S6 : 149 : output : 3.3-V LVTTL : : 3 : Y +S7 : 150 : output : 3.3-V LVTTL : : 3 : Y +CO : 151 : output : 3.3-V LVTTL : : 3 : Y +RESERVED_INPUT : 152 : : : : 3 : GND : 153 : gnd : : : : GND_PLL2 : 154 : gnd : : : : VCCD_PLL2 : 155 : power : : 1.2V : : @@ -227,52 +227,52 @@ GND_PLL2 : 156 : gnd : : VCCA_PLL2 : 157 : power : : 1.2V : : GNDA_PLL2 : 158 : gnd : : : : GND : 159 : gnd : : : : -GND* : 160 : : : : 2 : -GND* : 161 : : : : 2 : -GND* : 162 : : : : 2 : -GND* : 163 : : : : 2 : -GND* : 164 : : : : 2 : -GND* : 165 : : : : 2 : +RESERVED_INPUT : 160 : : : : 2 : +RESERVED_INPUT : 161 : : : : 2 : +RESERVED_INPUT : 162 : : : : 2 : +RESERVED_INPUT : 163 : : : : 2 : +RESERVED_INPUT : 164 : : : : 2 : +RESERVED_INPUT : 165 : : : : 2 : VCCIO2 : 166 : power : : 3.3V : 2 : GND : 167 : gnd : : : : -GND* : 168 : : : : 2 : -GND* : 169 : : : : 2 : -GND* : 170 : : : : 2 : -GND* : 171 : : : : 2 : +RESERVED_INPUT : 168 : : : : 2 : +RESERVED_INPUT : 169 : : : : 2 : +RESERVED_INPUT : 170 : : : : 2 : +RESERVED_INPUT : 171 : : : : 2 : VCCIO2 : 172 : power : : 3.3V : 2 : -GND* : 173 : : : : 2 : +RESERVED_INPUT : 173 : : : : 2 : GND : 174 : gnd : : : : -GND* : 175 : : : : 2 : -GND* : 176 : : : : 2 : +RESERVED_INPUT : 175 : : : : 2 : +RESERVED_INPUT : 176 : : : : 2 : GND : 177 : gnd : : : : VCCINT : 178 : power : : 1.2V : : -GND* : 179 : : : : 2 : -GND* : 180 : : : : 2 : -GND* : 181 : : : : 2 : -GND* : 182 : : : : 2 : +RESERVED_INPUT : 179 : : : : 2 : +RESERVED_INPUT : 180 : : : : 2 : +RESERVED_INPUT : 181 : : : : 2 : +RESERVED_INPUT : 182 : : : : 2 : VCCIO2 : 183 : power : : 3.3V : 2 : GND : 184 : gnd : : : : -GND* : 185 : : : : 2 : +RESERVED_INPUT : 185 : : : : 2 : GND : 186 : gnd : : : : -GND* : 187 : : : : 2 : -GND* : 188 : : : : 2 : -GND* : 189 : : : : 2 : +RESERVED_INPUT : 187 : : : : 2 : +RESERVED_INPUT : 188 : : : : 2 : +RESERVED_INPUT : 189 : : : : 2 : VCCINT : 190 : power : : 1.2V : : -GND* : 191 : : : : 2 : -GND* : 192 : : : : 2 : -GND* : 193 : : : : 2 : +RESERVED_INPUT : 191 : : : : 2 : +RESERVED_INPUT : 192 : : : : 2 : +RESERVED_INPUT : 193 : : : : 2 : VCCIO2 : 194 : power : : 3.3V : 2 : -GND* : 195 : : : : 2 : +RESERVED_INPUT : 195 : : : : 2 : GND : 196 : gnd : : : : -GND* : 197 : : : : 2 : -GND* : 198 : : : : 2 : -GND* : 199 : : : : 2 : -GND* : 200 : : : : 2 : -GND* : 201 : : : : 2 : +RESERVED_INPUT : 197 : : : : 2 : +RESERVED_INPUT : 198 : : : : 2 : +RESERVED_INPUT : 199 : : : : 2 : +RESERVED_INPUT : 200 : : : : 2 : +RESERVED_INPUT : 201 : : : : 2 : VCCIO2 : 202 : power : : 3.3V : 2 : -GND* : 203 : : : : 2 : +RESERVED_INPUT : 203 : : : : 2 : GND : 204 : gnd : : : : -GND* : 205 : : : : 2 : -GND* : 206 : : : : 2 : -B4 : 207 : input : 3.3-V LVTTL : : 2 : N -GND* : 208 : : : : 2 : +RESERVED_INPUT : 205 : : : : 2 : +RESERVED_INPUT : 206 : : : : 2 : +RESERVED_INPUT : 207 : : : : 2 : +RESERVED_INPUT : 208 : : : : 2 : diff --git a/adder_8b/adder_8b.pof b/adder_8b/adder_8b.pof index 29b326778aca79728141e12731d5bc35de489655..16bf68f09a42c0c5d61d68af64a269ec22b574d5 100644 GIT binary patch delta 8096 zcmeG>YgAKLx_h5>t3MvGk*VOXW%kIy+*_U)4`--ZQ z^h0WOz8X3WTc4T9Zqh>KnvrYQ*1q-qp+l#V0Hh?vx8?kSp`4taoO%4nJ0ue8|FG2v;a-E-#C4G=b;;C&itlid^!gcaqU*9n_ql! zxa_LgTyP5Ivp3G3ee1>uw7MaUrcQmWs-r_Qu4dS3wem>yUFUFBRkO9a zfG4wH)KV{Z^{`B2JglGBxDopP;mMQN77SNb0{j{ruaLVH2#@%#iehtwwj{~Pk?YrA ztBcZeHC?Xyrn9qdO60vp8q1yauD^=mfe6chLVK;FBR})1$)w?kUK-1tX53#z5y5c! z%$NF+p`rZz;fe|kPa(tiE;@nyLYpd~n7EO&F1>|jE!8>HQE zwXo1#d9|Rx%8^Yt{+Zl$qDg#P+WlMFE#u$*bSN+H5``Hu>&C!9%chZUzH!S+nf0~P z*|OoKzco7(i)V*N+`* zo-X+Tj9QxIu3jnaKJUM3u{;*BYg7bxybOq9)%VXnyLMv49oOJ^wcM>px)YK(9Alhk z7PwK1L+RS~ldu!x>Gyr~7b+Y8Q?f7&#`t$HNZuc;HBO$t+9(9h1RgBA+ zh+#flU41y}{)ce9U+(tb8ZOg;a2&teL47;B|u>V z%a)2~YtvPnnP8_T4q{dtO$p*vh9T7%2gaMA`;eOaejFfE1SDQR@gXYbY^N4Pu+*wUYu0ICm|#HgXoCvvC$J zaS@Bw<8^X2s&k1%>!G5D!_GlHz8*CIP&dP3s zSlo!utfSq|W8LD>?kcg^-rRL~WAjC`>9V<^qbxmFEP08&IVnGHUwL-#=5qBh^Pkc$ zn;S2hAJUd}JIcB>|84%Q{q=6PYf^r3Rd0Frv8r#=?LX}hx< z`@zXM|IC3=*S{0BTTv>r>6F1|e)l-XTJL@_IMVnsFBsNaQxIX_X9@Hu$2Lva#x8tc zCTQLNs01X3(BF&rCDn`Bg#(XpMT$UA60PR8ZDnn)Iei@O43Dz?($%%=TOc zQ~g{B>{HX+?%5f9IR8nYRKkASF0$TsJs;LAVBfWy*k|q8Lg-462miCM!No5m?`mwC z>)qkfW<00PWEG1nN_>0VoAqQ)M>ZQ-Xvy;Ja6P)ppJQ5RF8KYFQ+N9>Yn|+|rS?-?NkkMkuv1Ro{H(v3dgA^XVt- zh`lIyX5Su~X2fbS(grWqT#5^gj^I8Mo z!z@@7ag`qqh%DvCT#WL2syX*6bRyOw7AK=$Xj{w`t`_q&_RR4o_;bVO+4_NJ1Fz=L zDmG-)vc<(p_Q9egpm2BrQV2BWQXO>!C`YromhFL;GN|F@RJxM}kJvzhc+K>IX7+H* zm!%a9ZNsU+n@Jf}k2d_Ul~vSbb3TC$y^_VRsp4`9{Dd+FfXb25cMIDWhW9wov@rIfv+WdGSAZYs1bBAo)0g33RKq4MjAh2>sq5* zKJEdnZJbN}fsmS3^P8q_MKbUnGGC-4n?NyY?KUYgo?@0mUC5_9%#X zmyh+FDCIYGmIu}=Xt8YVWx>$XHFUa*$i^Y$iVv&Tu?+@*=Yd2svEfg7l~ z@G+)VYH|U@6+;%kWxxzN`FS!-MOyfI$XW*D=!AayX_%;)g}aS{1F8yWNHM0yHI=6$ zd*gbC1d9_M0d~4ZiIeanJ6K0*W|jw#$;}m|AL{>JVLu~{Pjphx9P zh-K7K?I1vS%hSwzPlg-TG5sPR4wV9nIHLlJAC$?g5{O|!0bU8lHkZdIAadx5(I>*6 zU=#&Mlq+rc%)YVk@YW>ye8OI*1GfSx7wX^B>m)XZLw1iFHNCfOgPIh8Jw6}a&pk*3 zL;x*CRUUR1L5$Xz0jX=R6aN4=X#!F9IuTsP_xM8A-;-P21($oDU|D^?v%c$?Q}p#Z z@s98CJ?5ObyU~%|>-6*vysmqHz){@Lq~);wbJl}ywpX5q#FSCqB#OeSCMO{21xgN= z%Vj&@oNWhMO+K-Az%%|bFbE_qHX!`TC(J$rKO%^t*y~)rs@XXkwjiu1`hMw}?aGC% zNPe&LOz%K?({aaTfP?MEu5_nB&Q`9JnV0{ACQxgVbAwlbq;c`L^IN?Bjcu@;i`t8- zA+{f&2K!6jg`9OCZ8C#5*%VmW`#n^IdRp*sP6+3@4cu64@P-CP&B_hK&5JMI!E@Fx zScNV}0|z-=3{VSF(}v$^0o`SaMjv7Yy8*EVsLuCxc}xRndM~QWrm)S}E<*6g88eX& z|9U@|wkaisinxD)r+WVAOsKye6Q+gjP0_hWz1}D@9;!YiUG_XcFRpn6pZsPNq{xW8 zJ}hh8CJ=dxi@-%D>etatc*B81dh;m>tC11_hwFzlYZN@xrLqX4%t+S4weZdF{1Oaw ztY4X#=72zT;9Ut?XzL|*t{2Pb$k7Hz6x;aL9n!m?Ex(e6hnI9vS=f>6_R%UKt{4-x zZ3R+s)dH4fEtI;LeP%JQuly7aBqS-F-|M2MdNP0EvAaO2d8w$INDKWzlfs&c^>FCN zmV-x&t7-7-7h1XCx@9wX_R05^!7KeQQ#Q$79Q@Vsja*t>;v;M7ToO))Cx-OGeRhGJWlp@l#DI(N&wCqpqiVWj=XWV!+h_2&6G&yU`YNB0|;{C|O5UUMj|0w4JOy1oCvIf;h3czTHqkERd?+ zwzdhpt@?KW@&YP`UFkpgqZW#m%K@;~?tzHVQXl4`aq5!FKS{Tg%)E3RdWTW);`atPA$>6% zB>yK^KsAk4B#{y&4-B+$bh2hT7XI(CSKOAs$t)=2<%%6c)WThHLINlnhnFsb_2&eB z@ZD5ETl{0j<7=$7lEQH-n?JY^jbF-M@A)V0-xSPr67FFuqz80lV6toi~|NtNh*j{ zXrY#R_|%h`>6PB3PKG3FccABFP!-6k5kghZncs^P9U}YIARciHch@xdXD3m;smSnZyWR&YKr!g6XussHAz6}Vs`iV-SAKd`&*g~cC3G9ah`jS@vUh|;S z(e5HX9~5LeT&=rpY6W>zk&{@OZsUAjg6o`;N0>1SXECnq5_R9C;V+jK0`RMn` zvH3c9?!;Wa`+$OO3$#(liI8(k`yMh=o@0|ZfenhAF_A;%;KR$E^c_ITpnZ@*m+`cBSP=Q02T~CL{MIb_p5#%RYR}7hkcE^?)va;RrF=BP_m2hcF*53w#Jb2G7yOBK=7qSLpSIn3X##oeOamL7z*M{RSIyTU_o)2X* zI6WO1abVF6OX^++F*+v8zY-84O7%L*7*U}KAVsnceioElR9AQs!nw#VvCan+RfRAe z!Gf&8gJALl??we2cOO>#C2)NLbvF{vy@bC!mB)~JSPhE7^=066E XMRes8zf@R~E3H$fD+sFUVdehL_LE74Ns;@fUI?wA{Bl}cJ#M^2TyV!HY zn*9;0_|r7p%Esh8)iYtjGyea~p6$=zU35gmMm`VMrOOUI+wJphR=eG9KPBXx{wADT zV^`~7L8`1cvujt&rZc;DZzwHtGeXYkHsn0Aq}W;e_znRgc}QFeoGNTH3wDQl z&z?P6*i%)NZ|@>~M6{3M608mgj6l(BO<3!K=p#QBpr2l7K`>9>@AqgR(%U1XC)0aR zpT4T_f9>u0IS6#2I5y0M(neB#fOKPPudb=>)Awh+Uw_?I(NkURw=Ix9BHCTJ1gm3W z51yg3A6t}C=HihbI-kV#tC~^39R?4uQuEE_{PX4oZW0!>wa)VMC>3tPe_3X z4VQW_y^{FtOh&GUbv3Auk!T9CNh{6=w#~0vw#4kDjXlF6F-^d=|3 z6v#nN4K!KAa9XCauIoYl+3F`3XeDZ}LyN_<2-AaF_@#i>8ZbT%*IniIQJrpw3cp*&>&DOxtRAs5;s;9aVTN{j5k1x^6HMC7|ExhzA zJ`N-JDfO)bnFjU3&r%uq3^=-Hsz!ukftCx49KwK#u)NTqwUpS-yjmmhgY}w7pOdSG znT6#Vm10DX@pic+)02E-L>!`}J99*VZo4*sXe+y$v*64X$lykpEZre`RkI`R#t0Pp zk{O>jT+7m3+e@y_C2kB7pA7c_+^bbK4|94UHIEsT*wT|raKY$7$zN9cdxV`34zAC@kVK@n&|NLl4o<9CE-uar& zui0=tUpb=G%o+P20Rj2D*O!J3`?L-}I%vxTtFIYRX`#%WEtBYE^u zz%6#%IPnI6DP@L}hl+;r9L?*PT)Q1lvyOS?j2{_W$~%WeTWcyD$rC4dbZ43QNYP%# zf=*sPszgexF>}OOJmH|q55Jx*$;bVf{3G3;r@2K4E3BT74`<+_Aa@m9!RvSqfBC=+ zbL+EmU_R~9cb^A;YbW?l1E+Um*Y zuRdO~Wo8E50uW;)8^X-4Kjg@>Spz>;bnFt|-7wQ-q&vmonk7PjdF_lp{aQ8O+p>|* zytH)7Zx~(5_*3_d;+;7;eCwP3!8VyExqm+2H|5$K8#}q~fZ4r~QL`yKNYi%#A~X)- zx4d#QcU>3Y|GT!pk*wLuKQas2LS8ylN{qfGvyIBMma|30l=4qu(f;d3I_mDq;QAbe z51r$4oS8Za#p9ioFAV;}`i7y0;tjJ_`R2>KX|A3Py?=V|k3J_q!OQ0A-iAZ*_3?+E zm0OFdTNl?XUfMXLe(AEteKLP*Zjlq(kH#PBj`C;b7UivPfKKdp>U_1>yMGm4zk{Z6 zeal6>({o+A7TQ1yN5La?bIzeFXj^VR9m^k>GD>LG3S5$CH_^~z_#LGgeE$@`do`RO z0nG6RYak@?z``t{-*XHMsyUbqY7O!(HM8v#l`c0cZ#+wFALUCr#-fArM~Yt9@?;i` zF+OTy37>ROf!k4*BrhJB!{y(P&Q&QodCRJ;SyAKn;pe$#-5`VP^kvGDSb>xT%Ce5XAY(33EXq z9c|lO^aEa*RctEvJ}Fjk*Qg+>%G^KY)j_hZIQv5@@i0eC>U_e%-~jweGcoR6YW8fJ z$p-pv-N!>vW{Aa+>5fj4Qx*E=k)(0SLNuH!f7RdS=Fny+~`3Oky^A2E;qFqU?R4)CRSsL2mc z^_eqogDs>o-?!Scus`2fCe?(OB&y~MDd#!ZckcPT0)R8;zTFIIv4m&W-@u0)EZ@>J zoStReh>itE9_T`7;vh4-UagnR-%goN@mO#?HSQVV51o}6kk&`I?0f?b8&B-;XW>m1 zD;$uBu_5)@*_Kc1zCB!xw5#N+Ct7{ZC&{^gBALD{X#uMOH^GV zYziMz#Tg1KsCfs4U)NblB|X{xKCqq*!`mj=E<4j1!!PvGszq&&ji!w*5`r^0Dt;J| zQ9Np;GSxpAzApn=aT13Q=(;cL!>6Pk|2rV{5I&kqf)9VJDx>(}Um3+w#VfhoI;&Tt zD9*W@F>ET{0EJsvfEG;tD5cvHsjSLxOMa~sDD<+ diff --git a/adder_8b/adder_8b.qsf b/adder_8b/adder_8b.qsf index 22d8fce..360e6bc 100644 --- a/adder_8b/adder_8b.qsf +++ b/adder_8b/adder_8b.qsf @@ -50,4 +50,34 @@ set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_ set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" -set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" \ No newline at end of file +set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" +set_global_assignment -name MISC_FILE "D:/projects/quartus/adder_8b/adder_8b.dpf" +set_global_assignment -name USE_CONFIGURATION_DEVICE ON +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_location_assignment PIN_77 -to A0 +set_location_assignment PIN_80 -to A1 +set_location_assignment PIN_81 -to A2 +set_location_assignment PIN_82 -to A3 +set_location_assignment PIN_84 -to A4 +set_location_assignment PIN_86 -to A5 +set_location_assignment PIN_87 -to A6 +set_location_assignment PIN_88 -to A7 +set_location_assignment PIN_67 -to B0 +set_location_assignment PIN_68 -to B1 +set_location_assignment PIN_69 -to B2 +set_location_assignment PIN_70 -to B3 +set_location_assignment PIN_72 -to B4 +set_location_assignment PIN_74 -to B5 +set_location_assignment PIN_75 -to B6 +set_location_assignment PIN_76 -to B7 +set_location_assignment PIN_23 -to CI +set_location_assignment PIN_142 -to S0 +set_location_assignment PIN_143 -to S1 +set_location_assignment PIN_144 -to S2 +set_location_assignment PIN_145 -to S3 +set_location_assignment PIN_146 -to S4 +set_location_assignment PIN_147 -to S5 +set_location_assignment PIN_149 -to S6 +set_location_assignment PIN_150 -to S7 +set_location_assignment PIN_151 -to CO \ No newline at end of file diff --git a/adder_8b/adder_8b.sof b/adder_8b/adder_8b.sof index 6cc9658990c1ebf2356fcd0fd0ef3bbe0b4fb87a..18edc05ab4dcf521422c16ad9f9b6f3a73c6bf61 100644 GIT binary patch delta 6222 zcma)BeRNdSwZD6xJCjL7n1m2QAYl^ulmHV%7!=FI1Pnet43bDu^8xXr+LaEzK4rms zdP6}XwFq3v5gyn?QLG=!(IL4B_z~K!cG<ZV@b4AU8 zxkSX$n_f^qx99T9`t(sX!RaX`roEvFjYx=77)tmobMJIs7OC?oDL=8{YvThIx?MCnt7{V zH>~A|X#PJ+go>xK4sN7wyZ=y?Gp1JMkJi5^0tjv9K?&AeX6+Nc`C zf9XUYP){qp<&X5bf~$395zTdKKBK9o{+M~*QE`G3ev7rzY9}ugOaDUB08?MxPjBn! zlcaU{PxO%;YwXhf-=|{vi9mOOGv*TA$#^Oe_f*@VVxM!dMOFGm%W~3l7c19;EtQn7 zbgS^!wO+@iQbd0WQDU5)N&c_);?qe*Q0 zt*5DfBAfcj3;eo5fa?CW@XY2#{Sm4bg&$CIOM75dAYG%opW@>pTuvM%-BwT9>1K7m ziKUORV@qe@uc`b0K)aIrTO*z>5jVPia^SFb=IB_gtzF{Ct(+*_UjrA$-ZG0B*N!Lxt^L#IzCRd z+tD(gzF{Y|=x8TTbiT8O+my2-q%6OE+kdzo_=wy{aS$oHDe1L4oS=_?NMfrSI$7d_ z-6B}!T>OG2lCEe|bHqX2ze^5f`yApRNl0)k4JxBe{|7_u_Su~%}kZ89b``cPkwGpv!8<3#% zPwWM+gWx^Odi8JEZ}&}keGoIclvDc{hnX#Q_WqFnmGv!~xIqBNXIp=MeK?AIPhzZN z7?P|Fs2+pt*A`aWJvY?ruD`k2zjrVLU~|6ssDUnz};m;^AU4hv`sfXN)A*Z9k2m-*yw_R1kk5L1Lz(ZyE%nX7bJ*UbWaA?$ew-< z`v=3_m7R>-#|&cEx)gSO?Wh9RLC&Q@`Ye##x>Tl47Sl|ppqSc-TAh={ScgVDw%DzQ zKXj$VmQ12-y|;sJ*49k5QWEvQ?ZPA1VrkE&a83i~-gSCrQW_K>F3~wFg?N%dzmjpQ z9QdRKRYc@cxdqvRR7SdGW;iT{9_E|v(6afEEBE3t7FjeD8mE#IDxhvS5N}cHAYQ7+M4)ENx8~$45e0hrU zBtOa=WbrjFD!QUNK39Dxx&|W$F?=uFq!Y2)Zuk{g3B@fUcn-#0m9(@#mK2p1xY0q0 zEQJ2rl_@k`N@NL`b|w*e3uJTb@W)3mK^I5Mkp7dAqS%fB*WB=zNOe_L=f* zx4t4Cb9lmy5FIZF?1p54c&}jJJBuZZpO6vb^rAMt7V915g@1hdm9kUMvXy6-w$9V7 z369voGE=|uFSHUEZwHIVxd01?q=Ep?PZ8;oNpu{`YAej4r|dJ;mLyDd5^I_TO8yg) za7Qw6@RpYhBs~92NP@e!!*a=i8f*zx+e2zI49HjVkd1#*S!VGw71X8 z;ZtMvnG-bLw(h$Jskex&OqBUf8k3y3mYQH)n}f3OI09jyRtUqm7v9X_I|RXH_%z-7 z9+yJLb##;76sAtK+n9o(3uJRF<|}o{Ngk_X^?a56Ngeq0udurP6{;AZ778+3V8e%GBbvQ)7Npf zSmX_u@3OT-tf^BHe{+(fxg~T66b2$j_W|H6d^~R${d(6W zbyD~$#O^dby^pdf;GD@)+rj!rFfiBY18HgrnxyGvg~Fj;;>}WfeoGG0JJ$ujwGzX( zr*cyO`R`LQZ257gvywp$f*btK;zIR;a<^2pE`UBCS2>3+QH9IlZW^R!Hwjv&3BCVm zDhBQVI8KH0A-^zO3b`6G-*a~xEk@>@I%+73>vN5Din?aE;(_Y^Fzfzq_59-f7JCX3&(Q1pN*?c^Vj-wq8zuXAA%d|w%H|1m%t}QE)n3U4~4!?yx`Zfd%2QVJY$z! z*r3CcxQe?^!pcq@CNOU&<}BIb&bfvcXUGg2^oj|PGEJzcl|*01!EL%NKn}M`+ugDm ze5x&_5J&pVFS~>&bkWnv6+oM7Z#^|T;8ZW0G}^ALSfN|za<<0lavf(AlsOFMx9M5+ z98xoj*Ja7`-2k{sHO+^!W-)iLRS5MR$};5W=cw{!rTBXnz@pN3- zRAG~3Q61Ev+qSBEpyw8IPoJ+y6dg0`jBZy&3U9AWrfH?mQU)l?k^>u|cTM^}(~F2^ zT^XRbnnJ8I5Ab*3-|OXo7BsVsu<)g9?ApI!L#JWFGdt8cslKwQ5m|w+FOvb2Na;-+`vDOk?ptNi9nC?0Y8yb7tw-B`!?MmdM_7DCct)M28=7>igMXoha0kVW)`__<-Q8L@Y;6q zg%FW{hVfu|)7UD)_&EY_OJPd6{qE#D1WsS1%T$NvH^kn^RkGuEeziw4v zBjEB^p}mZpbKg?$_<&~OUkgU>&E*qvY;H&&d0O5vR=k`D6(7bWMe?Qxqw>AmA({L^ z8a(92KcKhM(A_Y6F9I|?F6BU;Xh*D)$jc%BUgoL!V_bw`+*ZWh_gXIPeg55q!k2>#(yU z8pq&$XotS^6P1@WQlBwO*1qgAYZ_vg^m?x;lT&W+TD(`g@<_<%s?zVjsK)DyFRD=! SQEck_~jP1uA4A-P~u1BAQ?nBXhnAyg`p1QQ@g2!$z(iUeBm@0Qn6w!D_IaetL0i zv}d(}^Oy8@`s&2hXeH5GPs3iv3M>Q1D#$}BZdG$x@htm%Tb=GZTfLNm32Am}pokY) z&jSU-O4mEh6HcQ2VotnscIp-dm2_$!)bMB@3Y~y+d@-D=7vns>sBV1=lJw0dAy4+( zWj9Y4&i_q1{~oNNKc9uEd^Z;s*r}h-q~;w^&$n^j+*!KvZuOv|d)@~J6AY>u0!6y< z9ORk$i+%XK&whDnxnl*c0dM9)UHmlWA!a1;jt@}fW*}YsF?0c){~46C>TI%>V4U9g zJeDN#%pZY!Pu)pSO@4*tWF_Hl$fZ+LV20~1yFII(LR+DPHYO^uf4CD|+K%x)H^9lf z%pPpop;wGm{h(vm%V39oy@#5A#j`mI8(Dre`R9UZZ$7*q67;TgT!?n_UCUgt$yumj z2CHbG_G`dLO`l*g&zKzDlf!ojnzxh^)oq7zeYE)<>;Q{u>Y&XI=4G_*gJgZLh22PR zx1nb1^`UyT8YO(399Dq$T~J5&55-1Wa~)PVR$w%Ux#H{}+Z+FQ1>G?p+-M&I-rYgY zglQ-O>z(s$9NtO1$5QHg0H5Ni6TwT3en6isfizZfRZM=h0%l6eXz@Y0zf zNf`I|{KgypT>JRa>v`S~NtZ?mPD#{gHxRP3WX&%B4`9*?`}nmQ{Yb}OgWGsuCR*$0 zmt!%9s>ApI9vN)6*zJxLSObzTDX{4VlPb%wmPLJ@nR6u!K3d%hA%2`GA&qlpI$IUJ zI~gV6Z;fuCj=klh4_ehoetgzTSRI^%w=3ts{^LpNL95DcIUL45XfLjv&Qi0*{=Hb9 zOS>950A~}udiV)+QOPRk#9%xP9)X%peu2q!?@@fh>`sGpc2XEKQ^i-R7%Y25sBF{G zm8uC7?c*~W>4T488Z^#!680qB(1MF3>B1Kw z&*w@ciZth4KI6r7_?;6hjf0q48Sj=^$FMI!>T@rvHECluBa37=-FO<}y-W}@d+>{+ z+Df5c;1pfitXh$dC1GR7un&17J@7PN1eRR{Xk4u6s`c_Sa(dj$+nM1()8TKJmhE~< ze>oV=B{)`~(1k2hXBWT@M&`hHE?6J7+M(^Qx)I<ROEn6e@#6Y8r54EP5s$g zXiTJ*G2AlSzJw}C$AJ>AQa8Qtlgk;AwGgpf_6D*)C`SBkgRQR z1Nmp;*ytpw`*GY=cR&pM-9ntXx3Jb>n(O7JQeCi6Rm6L)S|QOd=!QEbq6a*d@@*JS z6NhNF2L+}Fbxjd|qnY32_4U-%2@oXNug`S!#=|(xjOIja36GE*i_^mq<9r?_*SXo` zSb^G}+KUvdkcd0kWfu_{`g9oxJ`{dEi|MJ=SUN(N;V?I2P`X-Ith5f~;44Wk2k990 zX_QA?f^v!e+kVU&%|1cKLr5tyGiX;(?NZhe&hNvZv1XRoI1gRy!bE;APhn3ZxFZ?O20)I~wzQf}2X2?nnGC2xEk-ez4 zfgd%h_2vMoItk&#{Vqc_jAIu#%Jg^>`O%~dO6`Lz+rx1z^e?dAJaIM8-(UtU)6ag~ zqbiTw%l^@{_&s-T55r)-?ZoxSd)BJW_^p1j29tfN(EytBhzjF%K9Pq^d+?b~hRr$i zJF!rbK&#*%{PyA(wCZPu_&$uQfmR&F*;4P*use;K#Tl6^Ju-!pkgEQR>AasglAl#( zQ?8XyunlHO5l_dndG1Oswc5g!brl4?3Co$z=Bl7CK~{J;!-`{*i}@Fn6ImbrHPsr4 zJo_P*>xayx7Z zBUkfp5bNj*6NUC!(x)=K9{B?v$QKL{iDVTttLRV}jP`PEzU?2_A8XmYp;4lA2twTrays@R^r82+ zp@r#wNxRxjM<+xpA=4K$Cm6uz1>6~nghB*6IRYi$L%x#w}a!-=?aQhdu z;mP}49wu>QljHQi@4?YZ7-K=4-JRPh2hbD!AwWBhz-`X4>pxNS(NAGi50h(ug_#^9 zu;$q%G5pPGo=_6c=IxD;gS?xdQ))VnkE7dE^jOK5#-VEPaQAS}ak4`NE$DTggz3Ur zOHj^_Edl3pCquh4Bu&}$LRt?iITFRa$xoQO4IeRuEVN@2L{5gR5W&uRvp-Kh5OYMV zhjj#_@qkS7(IdlQ35$#qtKw)xH`Wk)Yo0D1h-XkU)`tArzX3|oJAy@ycTVr~+GU}$ zhlebe{OU^wufxZb-{?b!O4TgaEao5$vJ;b@*@3-}WbE6*s9i;xF>{_0C0u4>@k%*| zwSuKvYM=ryI)g=-Mlceh?{we5-AtOIMxfbH}(3NW3ppId0fMz5ZDJExgWhB#x*CB1w z(Q3MJyQ&jEr+cL3PLFL&^B6|GSC!A|ojcWF;54=WhC?eNZ@2iBSInC)#!UAkZ>-$U z#qY4FstN`%vHRhpYEV*_bQv?~Gunw8*R%aaT;7Xac;zK8*JKH583LIV5na*23-80+ zWf-#~ugdr?W<%0hEzv#5=RUXFF>AS*C}RApLK!!VbYr{Rx}S9cUY+6pv~+?#r@x19 z*>_RO*pyY8dDh50+r;~FFTTxRn)ZacioSeY`O;kLF8qnir(KV!Kyr*4_tEl*(Z%13 z_1|oKaSHE}{qKc9Os6XzS4m}Je)QYK{n`EYJc;;+UF3=Jh28Ra{QqA1c8MCqN-J4llwgc1Z4 zus~=c1_4p@D3KNmp-BHGc)XwY-e-QyB$Ic~p1s#zYrWwCfk1VfV2}@Rr~%iPTmuMr zUmqAo775e1hWB=X$t$2>NVMD;MLBsS5(6`L4G6?LyTVKzoxNc4FmnT#rK=N6+Xa6W zSY}fX0b+naG~T@v$nMt(B+d8h;s$szUnNPx^3a`c%ZcTVR<%Dox>yB0T_pIe1Dqt1 zDVeT|@yUKH(5R?n>H`o421=>rjXZf!BMj7afMZn`whP_?_pA6`v&jGYzS-Dfx`3Wk zSzf2w@@1J`UHP7YYw>ck?+jUAx|y>4)Ba(7>@$U9FTwumqA;FhXfMlW@DeB}LiyK6 zSw)_4a(K(uCTUAy^L7o-VxL-rSZ^3<_tQ{DC_tZq3UC<5Uk zHm)|@Ost@e_GSl~E@ww!9F2+J8V}CRxvC`_4dq33G5W)h_{>p+daW=nLQ4H;r14N* zI$gPA5t`u#oXU+voiH>&l7Toi8@Hssf z$H{gVq6MDR6B=>xKW_av8CA`&UR06Cyw1kN)B)k!m3VP{C-jZxW_n0fUgM`4}7`^$MCzB3^aaKutGJA z8Ujyq7oi3ET_kkp0ZXh<`wxY1MCa)bDG%lKRr67lja^d}aBzz9f@+$DxKamDuCOsj zAE+*h8NWNvqSUl2%d|K9GZuJA8nDhr`$B%yVPZ5y0s=Mz3pqg2cC~L7%0HL0&<@|m zrZi8ws3nJLJihC>*?-8isEyTzHFfv8y!xpRCF&a4dn;nqBQ8E+BR(k`E*rimuz1@M z6g7p(-zEz<< zv;6BriO)*=!eB}{yxT{w4`>Vl&+FEBktZEeT$*D(SVij`7f{N%gir_4@mpn?$N{oV z7rsxsNZ@efX0OIjLrOcfM(6PYuuGHl<%2*#s~ED#R89S$*v6+JW?cH#(J#XR!kE#- z6)IAN%?A-*X2Hk8Y%nQP`7f09$0E=b+m=)X?FgWx67EYKL97?dN6lNP>{qd5vlWilh)p z=Qbm~2O(Gog9B5GZ7Wx-iav0P)ZzB(eS#Nu$PWxhu1`7@`ecmF{$nj(^SPD;tu}lT z4Zyerl&=Av=d}H2zus{rs3z<=ZjK1M8)I<&>sNDO*e6cWl($5%I^qmoo%vEMX39h$eT-s}dveK~ve zdY*g*o77i)CD3ZcdBd}HW=!f~a!M6VoSjE-$hZ)zyid925cebj-r!)Og3n>R}vRk*s*D4$IdEzIs2D}E{a*J(1(b?KZ=Fg3ug*U%faqrTo(z|>pG$L z{#$J{HRS1sk1lG&&jG>|m-2VUriR9~+gJ z-LULTG1<()IN#6)k3!p)H@oXHO>=bk_U6W?^!JKcNtEL7)>dP=9UI8(N9yQ;q-(|U zySM4#%gA#3+~ME=vcBAo9lNEaH4!75duQ40y;D8>z(;nz*%viFuf4%i$Zj) zU$Wj>l6|Kf-Y&C?nYOj2Elsn9F3suAi504K=nvZd2wuinhv4kC7T(%dERP0^n^5BD zw_hu7w{NwQrG2+PT`_oeQe`)2y?44?+j^&}RRCfiW@i`bDRy=3Qf_CfVaxFJX@zz| zlb-!MoAT9!CFkIFVYjtLDw;W})bwy?02?D#fj!Gg^$58Zd^myM_kBp@_b5XQ zr7&V#!z07DGj+Uw3vm#vOHbS~bF>bqfOMk)9-C*oPm=m1oI(_%eQ|qtlSr%CR zS*NQu_^`|S$`=`v*ZP9!%g-Pr(p>G-91hnmrLb?bY~PLCNaO6`kPD06 zoh*}{Cn6DbtjO2?7qSlpu{6T5{V7wU#zX?9>b|gI*VnnDf-#}eB|&fIzo#_mB&?#Z zznC63w~CWZGU76lmCMY4uKh)x)mH0o?*C~ZI+#&z#r=x(SS=)zhat8=Z8CSNzmfGd zR65IFuw&80$9>fOxnrP941FO6-@PEK$@tr{!~dM?YT@?-4Y{9Be91><&AdpkX=n~> zJVJ}H<;3U_lVUzOw<6@^TCzqu4A-8Y{xLt3k9?#!fo8sb&spBO_ZdwqxwA4<$H_Bt zF)AF%`&&KQSbB~H2CX52lz)Einol5bFm`UNn!6=fRZA155RH%q&Q_11H}wNQUM_h& zsNK9oYY6et99o?9rA9|o%(u=maBw6U{Y}Gvyt8@CW1QuP6u;{OX(unTi>0)Imq3Ce z55TgEn?4C`BE(UCk86<`fxpE$BJaq_8L&H30WqViS<wCv~ZNI*=u9p^Yl*!2Zl;ATU0w+-o@7D9>fpN|LJzp8sG>8t+}C~{4v4+lC*<@sxjRXV0I?;V9*7ZH>}f~TlU6;| z+i5zeq-011>EIL77i_MVKC2vY+g3Ky z+aKDtGLXOEb?}8}+O|5{(C=Se$TGJdwn;C&vQawRaYotFruNt`o|hv0=DK-`jnen^ z!&_$=?qIiPSP<6Xl*~G}$3l0mGz=jgQJfg3nh>36fV9FZs#x5@n-^mp0zPGG-wM`x zB)Kslg@9&xF}QVBu`Vp;hdm}M(e%ui<)S@L5_-0_pcKDyux8!TtG1+UD;MxgV>0zZ zwA&5hk=UYlC*9fg{(OO4-oUUVY5!U@P$IDl;D&A3z%?}FFaYq;`?L%PVZE>Tde+gh zd-9P%s&8o1Ta}K&_qM%dB~OPye|+7M&ZFrNlgfY=o4-+rQA|~UP8`5SAOr|V5F=#2 z=}(@d1Ci$O09Hy-^D7VBCm*mstr0)*M+#YtNb;j?P5?3is>o{+%@ekRJcGe%#7yt3 zoD%NGnATeHnF|Hgm;bt+6Vl_``) zIZ}*vhvq;tdP>vEQETy$q8v^ZYDmpRsC?wh6a|+5ffXQ3eYSo|o1*uen*f)$DPvu_ z$}{uVQiFGA3wC{k=bFnmugmDc>*s5l45d`;mY;t==956NX>ql^e#-~KS2GF( zV#dA(w?L^Ox#?d{>pil~TxDD^e==oc0K3BPmm-J!l_S{1)5;D%_G&&e&Tc$#q+e>Z zXX?VK4-hQhK43&Z01I>aUz%juLud|#M3z!#LemsZaiQL?MeDJL@!N8e|9Ng6^D`-8dUgJD*#B^UGZ!;-P1p zScd|9p;hNyYn8n=zyoe*o&msAk&|>-IS<$c*~}{7Dp)lEMv^!_&}CYMZ-M9kaw-9A ztk|$1d;FCtEzX@YEjmV+yufo3dpnC5zu9=gKE{gX3Ff{bLe?3 zgoP&P`!Kr%>%h$l!0+xMOsV*1Y)Cr3k>UsTSwq zHx0eC0B7x^<$snxS>ay`)R+Cg3|`0ATS`w)iBOcGu4uUIf(XmIH1aEV9X+tQHIwUZ z$xAP(IungB&0~r6OxYZdIr(7lzc@2=Du3s}96D1sBiBir&jS&Q5LrMh3g&!Q=AU|| z0j!+g)3okqDkMq^MeGA2p7_39Ia$=WW_|0ZF`Oc>-(iR>qCaa2cO0_p(A1#EdsxqS z#YrKU0`sqpzsTUAwxe7$`NZNsYC9N5;ALJwk@$qfdX&6HfQb@we`!sVVD2w5tGo>* zp(>WYHZ8YP6!+X7+tWkIOgBu;^X$ag|5BGdg{rfP;J{Wzda72&bBMeFwl@FAzpZju zFm_o$pxxaYV0kBJ5CjerWOaJsFnvQzxAKPMaPkckHO27Ezgv#S!`Qf#9S)YH3iaxk c9cibwzY=qSu-xV%x;UKU`Y6x*^I~`RKkwWZmjD0& literal 4100 zcmb_fc{r5&-=3L7P7_&9c8x;R!I;5hB#JPa2t~4uWh&c<$yU;6u@u=xX3kU!gEqt{ zTiN#+%b*&OlVv*8?{&TZyw`JG&vMOo`P`rH{kiYYNJ1cxM;oCK z5^$LT@6N<9vVRB(VXTWp><{-3@ef+0;+ zuZlWeEtRx{?+QF?8vNW)Mg^T?KPt+nxs~l=6GlGws%nuhJ*^xrMXmR0E5f?JseC$N zIUJ)eWl=I=Clbgnn%gK^Ubp;79#!`#Pq_wFGwaxOXKTgfg}G;rULiT~#bUN<)+h!m z$#@sLFrQ16>~f6`i*Vjs8&$D5UOv}3s#?L(lVk3o_2@4Dnj1Trd(+f-*Vf1jI+LS0 zhF`@}`Ervxn7bss&ez2(*rFFomu}U0by~l>HrrE&A%z%}hn1Lq{magzSI`rZH8*i1 zXn9}P)PFF7$rr9>MRA2J&nqu%^<#iRlo#;+{0Q zoAt{v4XVqp3>-#m=-QIWxWtROb2Hp21XpWjwR4gf{PmF8G^z8$sB6F0d2~|b{f_4Z zPnJworS_-kZNgw2a%K0{+G0-fbmZuC!NugI>>ppe6Sy75z37&fX z^6U)NdUB-v^@wEgbu)wN+xWBCm-OmAu72gupD|E!XPpkUO)>NmQRTjRnRb!{ID7e4 z#VAvNWt;RE@igDyI`KYJgH%JN@O(LUQ z!@oLr%qmiNnet6;GwetS8b*Tbc}-JoDURhKndqH+^GTU2#yA?2da%x$*!sEn!T@nR zU}pA>3v60)PisPoGP5B>p8u;cm6unvYnw&a;E~wzc?Ua7`nBFiQs|P7;x9=d6C*F; zxZ_HPxbGinXUfF!yj-8f#&rdAtT5Muzd!qU1&3jp(b+^Y z?)_9B6Jb<0Sgc>X!<16xKuGE?_x9}4HFY4soNQm!6OK&8i+9RGFHyZ68(UlrQ8o&i zTI8J0wd|>q| zdt&RcX1qlN5QHV2&7m{8HWm=%MSF|+*M~mlf3D(CwuJtkek75;ud#uUhQgmQD687n ziIl=Sr@$Tmi5!L}IG(iAF1_vMul}~RHI(d}f_Ic)%U|WTBZxGSg9Pl}#YKXydPHP# zkqI^^;A7DvhwIx3gsb&!hwN`1@8Yy#;1q2G(fB3WpQkDpRK3dr zSk^Qx8QLQLBg#$U+|%O1Q;|4gyKS--h)>H!CT9s z$7AlHljzNCL%oRYQ2l<5Ea=N&2L`RGG41WZFt1}GirR5sN<2}7#s8CZ%v2Rq*xk&L z?BTc?Uah}`lC-CXdL`1eWQg%Q^2M0xgi3koD)G8P^IKKcZ|X$~hBLfU-@2WaZj^^p zt*BPURZpKNIpfT~?XI|!I=lgPLy^nkM8SP+{Ov+&%s#?Q*n5HB>(sf1-i_zAS z&Iu(CD`RqIoiym49F+?Dy9UQuyQa<ky z!{3YL_PRyT0(|uDJA2c)PgTy!2>fYctgo4~zPTlBYH*n6FZ%-hrCkY#|H~&yk$ExL z8pH$_#m8LLFe`dOA-hr!zr#VZ6K-!K`Bat(oP|c^>sW#)Fa=M8rf4r+xgKN(Kz`@f zKSVmF0jAJ%;)#a7Oq*#sk=lfvNVsaJ*F65Ji4s*_|_eFlfus7zz0?RyV$xy7( z=*!61Bzl4vx*+2rE05jebmB5%3-<6gkoCC+VF1XO!e=C%FXOwq3Pd9 zWSlna0Y^%wp#_J=U}9b&(;*apK#eHcFj4KM{8Fx}!6s0Rh6_=?zxfoXnKX$IbMbsW zn=`rI2?<|!%7ttl9I1c%ibVDitJ*6VU_JU@OKg2FyexJapO+UvklI*{)T!%KlH)Qe~y_(~1P;wEx!^e=<={qmO@YzE_T z-b~Yv6hK}3p5>pyfFoDc8dO)76YB?ICV5;ypzoB&K$Q$>p6U!GUJDXhut6TwP*`Tr zUX2tL8(2niwvu$3O%MSI%k|EE+`<1T=(2^WlR{c>?D^V)pVmm@nvl;KBsh>&H)}vkYmN%~fDtargF!N>i1>cN(7o53O zg#Py|sx$0yzA@OTf`I6u(tA~TPpqK)jbiA|ZO%g}M}leX=|yPpz>o*(0fPYQWq>|F zpS`Fp9}i_c07ko+I-IQjp2U*2pofc&ia3QeOJGwdt|x@&mkx#kf)Ywx=4 zthvg2R`-qY>XQ7QvpkKT#{WPKodc3ZK(AJtm@?Bez5ais8lXH>1A#L(Qb*hc8Uqo_ zCQIK;&QEFb6ZZG;AF>j1l%RdcHH#?Rinf@P6AHjS(djGP#w>WYrpv-SUTeIUfRP&?YFpz zS#yU|0WzdZ(4k<*@Gmv!Q2lioa`*-${Ei18(Ibx3+!2i|sgSpuKw)81tT>d5DhFyD zEEP4mYRI2Zuqg%9W$?tWstwTdrrG79sVh>0KmmvYq6r$uk5q3g1f-YP>=w07C`-^C zy7h4=N7#3k_N#MBa&_Aew8xTd;|>^^T?s1I+N|WmS6#PlRWwpAt_L}bE9LGDV48yB z4ps|*hN1Km8U`AZ{r`dn5I_r4kPZ13(|Dk(`(E_nfTGqCeu?*n2!IYqa3fb%pnESl zYGVk%VwAV2L4c_22d$x$ZCoI{xbNx)Xp*0(0rPWVcYgN~_}y;hqbJL_s?++872exa z98a!wPTz=n4}A~)gW|23^J?;BXc^+@I}YeO1nWMMY;JjM?u9%sVxkk2iOX}&;q)$0nl#` z0-Bx1>EH)0w5%H55m9%twP1TEe?<%eSy_pKqI`TIFipf35uY}~Xw+8g!l)fRX;JoO vm{Po>!$1%W??(q6 zl!zJ!h@F5$fs}>@D3B_yI~9gm{=8$Fn(E!if+dggEK4cXM;Q zvlE@uG)=cFmCBpM-!x}L)2nE*Bp%7c0EY41@mA`h&Mbap%zur7)+J6I#r;S8BF_7t zjbELwsAEm~UX@v|x#!2tlQXxvL8MCLMZC&5`$V7$h@-b2bB?1N0kT_;&0Fv=%d;rOCVV%e_^C*Y6998(l%<2YS&WC1P8^2G_yB#vHx6jw zB!;*t6I`rMWr9-}CbTYR=02yI5Z31Y&rBzE(CZ&l_i0%oimT+Ezlrml=sl zDD#sGO+jG+#yqJRM9L&BQ^=j7X*_NeF42Oo;RMQ$Y580s`d~VIBVFNJVVq%UY?b{_ XQ!7S`f+2;|Y>Q_=FD1SMlOzIu$J^mg delta 476 zcmV<20VDpW1)>FzR(~i000000005%^00000005!_00000004La>{Yu?!$1%W??(q6 zl!zJ!h@F5$fs}>{3M5J^iCq{av2mPVq~IU;0ZJMQ9%je6*_%dXe_i__-WxnJEPQYG>tUKN}}B2Wdy)2t`M@suM#k>$C}f`>(&10pL1 zaT`P)nYB-Zz3PgfM$z3P=b2erhrQe*a#UfR$SUh7hgqJ^u34%XoHgp!T02Bk6X$nT zH&wb?3*QDNaevh{Mbud$HC3r~R3$mCdc7o7vEbfioLot09y>`IUx%G+;M@#bX*L`< ztsn?fztMK0ekTokVLxki{h;l1;$9MU!@y637iCf0A9MH8IL^ioFeg0Wf);LKh}*Kk z#knRMoYJzPO?hVD4b_Hlw(ozIa&K(IfDh(uTW$ttSWz~I2^88kfr2+P3QeFaPH!{= zg$)=@Q9Fo~XCDFS|V;@1NJ diff --git a/adder_8b/db/adder_8b.cmp.cdb b/adder_8b/db/adder_8b.cmp.cdb index 4df6d6fdf5e7648cb098bfab6780deca95334669..c5c753fd9e63822b00e400ba0cbe2645a849b5b8 100644 GIT binary patch delta 6411 zcmV+m8T96lF`zP#PJexZ00000008|K00000007hj00000001Bv00000004La?41d) zWkq?%&l?zahkdb#fMZBuh(Q6tFe9`g5=072xe)>kML`v4P=bkCBs|o_XvtnIiK5;Z zQnJWaNeBT_fdnkY5P~FODT#vtS)!3$nHlEh|Ld>6d-|Stwtx5Dya$<~Yx;JdufP75 ze}CPlPv3Lj42Q$vL{Sv0Q~44lgjK2(3smv6uvih>Xq=chNS|Azrq`(4Gu0^;DZ}Nx zH&gxlh)W56+C4e{dWo>9%GZ0NO8V#gP5qsR_GdGFE!|6Hhdobc^7`82I_U4V zp|5X$@6#8L9Dl!mnt{Ep4?K3p(3Gn9Vb7M{s1knn6|(c^_B=cbd#d`Tiy!|>?;V#fxp@D>mh8LhgG(lUAhw^&h<_K9DLr#trB(U7-OIXmms3}| zu9@_!ljk&->(_0i>u%!dYR~)41@D@Y>2kd6WA|)%(NUFAy>>h1o8DJnU%onD-8XMT z7I`!?na$*HYKbRr?=4~)veYv57gnrGhVwqJmfV5obWKJ8_e9@^ zED#)r2&tX0PKh;9Da22Gc)we=D9(iDa-FVy*<_tucuDNvD69RnXKU^Ei%LIaxXw0x z>Q;tB=7&ONQrHIUKo&{1OTMAz22^Ft$+6VeLU~i$KEvFBYe=;r(Y93(%))l z9ux4of3A~#HZ=DmqqoYP={S2obTUSiB!y&PysGi+_IL?z9?x8pyq{f7jpwSLKE7RY zS61-R<4DA%s~>w$Pe0B4^nPxyN{1R&SS$LG>^gIHkV*H&gQ}Sy|WrrRz%j>)J1H10|=feRtcmscpR7 z@m_j^8Q^%kwa9Yp>hL}(>Ggij>vEA(*RMKXz2A!+`q-0_4wE17+EuwmT=|cy)iVA0 zDp|Gty4K}<{mADlbCvAvi)B;3zP`9R+J7FF?PnfbprUTv&UnAI^I6w^Zo;2+v#vcH z-rj#%YF;Vt>-rymvN7zYB5zOQ6%O0n+MiJ)&l^f1e)jlCAvwnB_hE<&^)&dzs-igJ zzin&|mW?@vFC`4GzTd{YY3@BSC{^S>C@zCq!m`xdH20SOb^F}&F{sWhm%sbpn}32P z41aeHF-)$pW{t%+bf2R>_hj#AAGC6~Vz|9-ItOiS62M+5#7}*!PT1djM?3cC4!p;B z{5UqtQgajbn_S78ryc9!*{Qv0>^^a`8Q3&-o20|Fxs3m-eqtCf23Kcm-jP-h zcXf=xR|n>UhI4EV`xxB5*xH-M;D7x$n11fbb@S)McU%9aHDtGrHRSnuh<{&)!SL@g z3_p-Ce6Rz<3kK#RpLH1c{ky4s84XHJ82`BA{_blGGV1i7(-G$vb;S8;9dW*V9>z$1 z#Z`te`gS%(hxd&0uM9xPQXNLiyisLad(#*_Imvl|xfzH1t(`Dgn*?nmYn)Z~B9^pOeeRPcBs>7fwj{Tn8GzO2ouU&uN zwY2Ge^NEi8&H3{%M#4>_Q-2hU(GRmRIwH-JOVggUX^eLGP&-Dynl{f(81H?!^>4!H ziyau9G!GbIJ84&8q;1|Pj&(K=L=NA((Ds(u-U{|!33&2n2|87;p-FEXr+ZXab!5X^ z(>VRv33d|kq`2~S-#dN%Twm#bXs4-N)NcGas7P>?22WwjeIp22%H@0i8+WGLDBO4EFCB$8eNk z(6nyvm-f1#P=~>J4bLOQ!C}hZn};=RaT=p)EWfYke17!z+cEfA$9(>H!v#h27GA8-FP>Wio|j@lIjD{r#DFz`(!zsK&w3X)b)Q1B1&>G7PF~+OhJ1@3hv} zO^P&^>@?=9SYl|5%IHd!F ziyFr9y~&3~^DvHbVaQNcWATLK!%@kHrst+lp3^=bKYxFNwKv_r7bW@CSZ1Hax_r>_ z7HBU=ENgh;I6jb`tC}#F?09zE?oz{mPr;4Mn2t$44sNvTx%BbrhH?IA^6}~pAD`_Q z=L6F?H=Sd=@E-H=r3wbVPupAu!cmQbBNIj&&qEx1H(@lF<6x%{<=X7u*%>t0g1e1)}aKs-h;SN{(-3T$}29 z#FCg0KpvBbuImDiW*%Fq#S ze7EK5C}rfYC~hcY`GlZcJ%5wIFtO(J7( zwtpzt$7z;PHy8EPcx4jL)3vtAXei81Y}{7VvqU{Ewb*+iSf2Zb7amj3L{1RfLR4Cw z9`!{w)CGz1TrAPEiKu7j>eC}$aNJl_C~_pw*R=CCkNII#-u@7GC`X2v!oNQfm23zh zagnH>66KGTIn^?WuTf23j|MT2i(7R4k$+U@nz${Bi$py=QO!;yZYJtyME%l;AL?_8 zsXs^*vmWZE;#C<`C6CR;Rgs3C4a5a*D-#!-M*Uk+4joaEs9VnD^`aaxMjcAKzDo@- zycA1#c^g1)P(dYYH6Bj>O{yOpOGaX$sKhE9>{TpU))$o#e=)Tfbv;o(Xq3qREq`5m z9IQ7%U;M!u{8Y(!{1Exqhd+C;UDAk z1!YdM%tppG$DBFkHZB}lL|uknNy z4RSF33&cAi$};f{l*_q@e|m)|h<||`-yir^s_Ug%(HzHPb!C>oCo2lxuVG|VB}Z%A zzm1}QVB^+oIPw@?sH`GKr@r*I*jS zP-;iwFGMAxRV~bWB>r5~O^g~_@WuUsvnb?koH_9#eykqmqgv7p_wj4iynh3eRv{qk z-YX5v_NB^;Vhh$CDyr_ak+-D2N7N)Wuy(L+uPN&`ogxd%t3LGO0>N>+W-g03?UqHv zvPyM4Cy&$fTp_}Xem6q1~4{RcnEtSUW zIvVZ9H6c$s&gFa;|G7!S_>)i6hf-27Dm-i)^|r7n3XX}ixh|08$hR0D_dzmD+%Y7Y zjHlfV$1?aXznq$d&4)jP!e-;)a|ftP)|8kxskCVAD%|+|ZZ5KH9fb`S(ish>rOEi& z#5{7{@OI=08{Ouj6@PAg3-aQO#=8Se#yjdc-^F@pB*n1N!4b(Q<>&Om25xq5J!5`0 zVe|OoW+cY%uzj?Bmc|qF9Btr459DWKeBYSQL#91!K%JPM#!`s`Obg1Uup#oQd~1HG z++2pUY~{vx#5_j1aZSd%b2(q%rLsTr+)-J7rDYU0%r;$;Eq{%#HZwK;%;hGHm_Ku8 zeJCXbqk`z|Z#+vRp`(Z9(K_E^JU1Rt755~$33=M8d}}^zbUIl4j#TM6W`ul8*kF`r zjB7K#$~Tj+kySAX&zhT*Gz_m)OHbs*87E@$uk|M7;e~db?7PnAVMAY1z437JW>`>BaPYjoM z(c@#o8lLL2uCXBbPC3f&_6Dl|uj={a-lZs(FF!yHZHYkR!5P%lpNIHfsV+dV$HBguKgiLs>G`=oli;)7*wK zk;l?CPzHuqR4B`)QJQ-gF;A};LQ#|FwQk=il^Rmm~EqN2f#>MGd@uz&Zf?)9qICWpHOhj`a34^#&RO^~1} zIZAn|Y45iIPgP1_h@ifk9r}>cUc)YWe6mFLP1A<~XLwKrV+5rQD z$gi&kDuF?;1Xal~ts^k-6tI&V9w@KF(LhXdZsIVkqtO5iJVdSIFf0%Z*JLmVmLL*2 zrhmq@VZ{n#SUZ+TAu!Y{LZh{z_oP_6ZkQ~Qi)R9Z#lqTj!{jGl1G%*h-!OIZ9Md`u z1K%@pR=V|;aJYi=x;o#IUR1lS%C*JPcaSD> zo)n1rf69WLnqGBd#9KDm{Dw0j6mo&8t0}$#n8r`{eI{gy4mlP^jhEAf=GA#?cF3{S{n%#r`8WNHbRR7=QY5}%wb(!)~lipma| zl9PVjJ0=t4*=v@9LRsyS$?B~ofF2>U6M3u-WeR1rOQsf|(eF(&nF`xHK6Nz>nc#WQ zR6CKWzZo(!-*2jyiOCWU-#+IvJQ<@I%hcjqCzDvh z*3!6X*r}J);}37tIb?RS-es!|t;(J{VxGHUx^Lz(xw~@iQl?N=yYOuAeO7qtcCyCt zf7Y|jMtD%dy(16Q`GmRuYYU~WtCfNyaJ(| zthxd_@{G>fW1Q{RO-C{(M`K0`Y z!h$w!9|BbV3IZj+w`LfrlkPPa!@Z62h6T*qX%D8tBQ46Nf2o%%{hbdh!mlU2*Z`^I zGy87Q7Lqop56m>{HhiNvRo0ENx^8vDf zu8eaYI6N3A#qqdBex$q46#LHC2D6>d^!{ZUw+9j?|CUO6YuNaVH!j|ieIkReSoj3 zcdtxuJ)i0IxJtVf=`-C`z5G;4Df$af+SO0d`=(59J)h~lGx_^)(kH(H3d;Fr_#Kku z?Wp#J%4zqP={0#L+jCUVqh!R+eXini+!GcDns=@89!d6Tt2$Xf-^{+>%ItHU_)+Se zYF|B{$;<7l=YKPKKT7ub`iD+Z6~Cp)KK!NK$G2+VnF&AE7x?s09Ltgqs{WTb2x{|j z(01POjy}g$eeiQq?Dux9$$_@2eFr4_TGu}bx`{7BBqOswKp3-6GyH*M-~FXs6+XlH zKf8G@gWI8&LaswUyI81U_9>8i!9fzGL8wK)c-Tnt4Fj+UuQ}_dh7pH z5JCR}^NnlHRw&D4dNIRUgy)l}s<~j$fPJe4T95oAXumy1(h1i$lVI{xQAsBR$rI|42By zFQq)g`O9fuI(Ad}kZ$MHDuUuLa6OgJ;@~_j;ItvHZNA+jz>tT1E-T4RIGcT!WcJnb z(QEV@k7!>#pV@a&vQG!0lh)Hd*}mHr-t-=&eSZXUa#=aQ*ZEQcp4TehmC58U_Cxi2CeQanoX7(k$YMrJchf|uIuUgmNG>UwFzpu9Y z^(hKm2dli?u z7=M^fywuILRl|u1?NzjHl!-kSCwRo+n#eqxaoczO20R=+P%_iIi> zcqU>!$Ys&)C&RRnnd6kYH%;pH8TICU)`V|uGD<|nC1WDU{|5j7|NnRaWRpE;R6!8O zM=y!_xa5-4_<<%IM2QfKM6{5g@NRFt+kf!h?(uE|K837EiU?QO8qx|1ijc}i2`Me? z1VON|Fr_w%l?NfBm0}7TL1*UPUS7@zvwM&E&CLJJ%(Z#}pFG+zG*Kx#QB!q?x70RH zoQmq<`}=<{^}+%4Z_trG1-yw*Q6(GA8&h}MKq+Mo;_dU+l#GK|)Dj<&Je?`c<$sv$ z&+tY3{hy9k4|f(Q89)!80Q5G3MrdG7?&U^Ov9X^9RmyaQir!hH>_)syHLWVm;6z!La)G&DPc-jv39wctx>vro149 zB}GRV@QOZSi-yzGT3V+~MK1mLI)6CMlovp{eKMkqm2vd;@t=D~89UA=!1XRn5!P3{ zy-ZyPIK?Nx1H6aOL^a6hYA5l!Xc1@+#)$Jx#nPc_*!<_&H+}rEP4w<-47J^fM1)35 zrJOPw7uME`Fo7Ipp2}wHVnZRr5T_B9DP|0)0~A9O>?r80&9^JRfA+A35`X%s4IL(1 z{^i8l_6V16A>v2RGu*@WDt~JJdNjn9w-EO`%{aIG!_G=!6eRl2b^E#3cL%0T0dAu2 zEE=r>slxHo!cdxbxz}d~;5<4?Xnv$Apv3r>)yYA4j;_;ae)nm8Xp;Q*dor_`8)gZ# ZNEw6$FtWSdI*|v1ZkqT%00aO4|Nry|3|#;K delta 6264 zcmV-;7>DPeGLJEkPJi8e00000003(i00000007hj000000055|00000004La?41qJ zT}64vPhLqt5R^(mK}|xWN(O~ch>{ps2r&{sEsh_pASj>}jew4YD)k0!{Qz_d&fqZG z&danSW2dccDb!l0c9dGJp#^D;ww1J1K{N>RRT9kY|F`=*=YQ-y_ndp~oA(2ox6AC= z-DjWgefBwf&be>s_xt??MN#au_Gh#J9#^NBP{+%{Vtbrpa>0Uix<1XOo}zYz^=bA~ zh0>{+zXNtw_EifPFW@tNk^%NnyTA2mpwIE!=pBdoWe{3%{!`V*`Js>ZVL7foE)V(# zGW*A+cbVhL&wu^*(`m|k0ft(^fAG%jaos?{-#*9K{hjM``!w}g3S%o%^&j|;Wb(V> zXIy`~K5no31bMkX#*sJO_!wuuxPI7|>o-+@LVs(`pE#z zJZJN&6W@5%>Wkj}$yL96QnC7hZF{c%z{ek4z2GLHJ%1`CSXf%GjO(yEp3gh3kDKsv z9PhiVaq)9H?|bXKd_0xb+=pj(0|}+iqDylS2Iw7E?wvh70g2Hij~K#T)E=77aenKBoO3b&A0&%sSl~Q4Ti665>@CXsB;5icP?rufuij={4~Mm%`bHwoWJbNIG}6)4{`Kj*>SJ z4w)SayPkLk_`!>!vs*9qD&Fq1TrN1sbo|_ZPJd7CrsQwcJu=A%H!Mkz zYt%^fQm%X!1PZ6EP4ifl&$~bNxFOBg_3GLWJ6inIwI4jbPM1F0GJRd2y&l=AnPLB< zPk+)hon3t2J51^{(n-&ebfj_Tp*DD5=drlYJP+Z2p_aUkPW|wEOA^JkwR!jdrN5u% zx#sjfe|$CFIDdi7?~s-`z5DB5Hzb67{hZ~feSV4|)#;os)6%ibuZCXc-X^;LG5<8t zapt42?!J#0yS$qp9$a^9^*LH_oA{y!bAPT6dwU!@&kxWx?bm$W^VnNz>;A1JP!>ho zy1%Jo-9Ko0!vkAsDIOP-9rN$9`FdP9{j$>&zvrvT`I|4p-(8sIc~0a!uM*;Qj7vJF z4*?8PL6My|| z*qAsMg<^gn{(z5)za9PU{eyH)`G_h7->8Im)n%Fa<_n)rzG=(rTYLkHCSPA)|7-jJ z&@z8L$1N*O^jBvaq-p+R9rIoEUGvVL^HY=jMQ6D7&GWx4A~4!w=O5mZ=-XoFQaf_b zM(p_h*pls!KhAQ%`z;2}wivits(&{5ptyUq4KI9Gnx73D66d1mnV*T{TQVEoV>W!V zBaXk*5yx-Ol1-Bj4!7imK27t>JLr9$?bt+r<&nwK$7NsB{H8xm^KEf-ue~Il%{BN$ zQX%G&zt7e{_lTaR!dhE{wmf@QN1pxfjy(Iw9OT)Jaz17fXInBG&odkE?0>NFN1sei zYRd?NIxN*ndQl(mcH9cJTybmW5_KS=m(HXJbrHu&={>vUIU!<)>8 zHFnQ$yRTibF4=%5n)ciF>qp!8@;QjbLy`(Q#}vh%Wi~!F-N(ZLzmx9W*`7Cw?uK-g zpQWIvkAeC5^mg@gKdm!AZ-01q!nnJ|k85Ane1Fus1U<`!eeC==n>Hvuq+L<)f+@FQ zz1i@g3z#j6HXHt2h|Jgb^d-`tGyQRMV1x8-wBZ!9;efZHVY3aK)owN$xWD*whVDhd z{1I;Zqr{(U?jvpGAhzLb+YiXNN;0+Tz>AG)c^2B2fy!*IqvSOD;D2=U!JZ?0Al1TW zTGsJ0&HY3?PM(8x^lzEGpUD0E3bSFM#p0v2b@21{ms|GJD`kU@C}G1K*x)&#Zo{vb z4G+DQNZ_-{pp9KN94Gx1Mo01_}6pyv9gc6wdT}>Fq?U6FVmrZ z|M@ku@$wEEpQ_{li?(NjZ~Q@;Z+nK_^qQnV-3Keo2S?3;5AJBm-ES}-9NpoAO)a^b zSmk}-Yvv$Um$$6rIcCH8X2ZQ&t)^IfNHdMuN#*w1Iq zK^&ab63f4CK6*(o%@88<)<3jr+1lD)pT8HnZ`x4jb3E+#g?SHtaVC zHYn6$tkrGMGk<!sl!D^E>-Jo)+{sjQ-2#6pN}neE9Le+s?kv;F4ETu zsw^ASrN*be4_ZHAl4CarOW5HrZ6-bO^Hh12L5_$m92H8v;}bM;{IbTEYMlIl40P0o z)zTNbn+Ovf_1P`@EqMoApbrgrD1DW358aw13F`#OVZVK?gh2mdK`u7P8L`EiYXq%Z zjTWm)T7R%s3+p05UZJYh4@sL)|VS5(} zf+mW0T?rT@s`*^yHZfKA!&&upvMY&Qo;?uzNE4CS$JQ92?EDw3;L=O0v@z{%Xqy( zlTFU?oFUvv;UZmRRDNe#?!`MIjJUu){HC{E5ev)&U1*RrgHFqZceS7|Epd^4xS;Hu z(|=0ngrLtCl(${#QuQV&t>Weqi-gOWo6rf__YpN=LMLmhi|egKKbzppqA$`(A9pJy_7XFSrYUm2^ZpR(o#Y?3Gq^iGQH@ zV5{LL=wdlC%>92SG9r;Y9^)FgQ^2KLJJx3qORSJ09#z5WZmjVv9S>_fy~g7;A?%HVT_XBML%8pp|4_H(K0aJ**Dz`rE5N+ ziDrNcKNF6WaatC|@_<5-j<;akZ?K4?V&g~zH(FVRIML8>dtqi|lCZBjn{I+~g7qHyIk4u1ksQ$hLa zorf@cRI>Ld6YVMfgr}K?_&jToy{6mGa!C{oo`jZhk|> zJzvMW_PT_M@)x{W7nM439yacMaPV(EY>MJuanKDf{&mI}$_u+kwZ(~{1oOPO@^!o$ zZ%kMy|2n;zrsQ<=U3vQ5%6}sXvvhweJ)hsr1tx&D>gr0>V;PLRwBd~#^YC)TX&EJ&*F7f?$fG^#Tl>I^MO{Cd?Q%Z;g&`_566svrh8#xXPonD~2j<$~WQN za}N|r)H4p63FV1-UTtV6me~gFB!rqu6*f&uIhMB=)Py%~%;#Po9e*}>oq&?GQhAMo zK-5$!V;LUuh)Q>I-(v}Vj<%S*Mc~T|Qu3Ve)Zd{HxZmJyL z^W0I%zj@doQ6Oq6mFMv$%DWM5%7atK+q5-tW5aG*tc!{XD4VI>r0_VQvqPLb+eo7z z5H*!S4;wwD6lY5DJkR4YfvKrf%4-~z24$4;Zd{JHD`DdrOsF$_ zhh^9^Lfm>2IAGAJed5_P4A^jGA!kbE}gg0e$szf?woucZV-!o|z1WKjyJW82uacY$Eb$`5Zj|Z->uKPr&qSg&?Qq1W$~ENHH4p+*?$dXBCjX&C)MHxEo@Mi8p{(J z%B}?M?7QI;k}|1^8+f&@E;W{UKY~>VIy)n7d@|q}rw%W~&=qrQO2~ETX(H-`&8gN!}THG$=ZPJVT9ToXbIc_U)oj**!LeTQMn%rsM`(Kye2Dw^O9<3^B=1s-4GfAU(2>^V|)j$i=(jhTZGj zKqTmwB4sozoS%0Jy)^3Iq3WArp58DyGc3=dL4S!qXh@A^o}3%V4OhzGNmcF?^K?^? z8_vo$Mg5!EJc$N!10S&Fc{H#osP2Y&nj6>@`J>ft;Re}MjQ`q&gY;(A{M<0g4Y;f< zJX*m(1iSRwWq?`9wY@Wy*Di1w-wkNuby9uq6dDJ0sj-Yqk#p^a+4%tLE;wHXPpa14 zz<&dH{+*)y3MhCi?gsw=3lOH`6r!lB{FA-_`0xq_<`**Q)lBhG_3sq6fxy&1%N$(K46{MHj%h&{)V$~mQE^xW zfaexT7bH~B*wfe4;(LSA^>Lx}Qijb>Pk;DDwt?WOAFak8v}IUy(KFj{U_MJ#P-$s}9+m11{50hSOhKBw^jYC( zDwqE4WeuO&;OL& zc1Os0a_eE&IhQ2WM;$!3iRa0QqRx|S>s+S9^NZrSZkQ)-*kym-DxRx`c}hiol982= z^*d}wPv7&kHQHsK(x-{%v|@=kQsC5Mo_xn4u_~eJcE#s&nt2kNJYrTQRDazrp6sC( zf3iQT5~}Vl^2DD~b=TsPdt8e@v+OMiQ_zzfGSjweQ#bi@TDE7NFS3~DDJnYw)@(0o zIXPn@WkNR0d7|fF3Hfk*o*5N9PprnAsC9H?XB7Wvt#-?T?C@1QoN`W(wE?`Wb!`C` zf1z-~8e2vF^e^(|FVqz+x_>T+x9n?lG*$`!V)M`dKsBlYQ~&8-YxrRdPmeU_0<#q! zqw+7z%75o$7%$1)eo&+h+V(xp$B8=qC415@LB1gb^`d^xilP8099sH>W22o6Wb$eXpF!+zOLznIi6iWQXh(!k<_i=r$R5spD04$j`F$Te`(c zbd*bM4dW%nF3aTNzXZj1?!P4WbD3PX0o=OWJ2Sb>cuB%-xP+$5QaJbqE>{ z^3zz`H^u?ajm`Tl#r?KSKbJYgPZRct8})0(OYyQH)2|s1?nNOxqkc=IA7iNz+j_p* z{}-7p!hdBk1wg!G^?lj5eR;6)k^M0aD!gRxS2KH!Pq5bbC4a_eixB;PY<%p=Qn}Rg zX|i{_p8&T!GwH`$iRSecKjA%H z3#TTapYs~xr-`p+`ZePv{WfO$HRB~e|CQ;-6OSHg12QR<|I&Dy60Ukd`Lad7gm<@u zfv4MiuUT%gcYm4IgL&d!e_`0;^arGh_455Rh;o4q<0ZLEO|HEu zDR{R6{(xK&f5qhTZGX|u6c;)_fo%z{)H;gA#aatN_y3a$;Q(l7y{F?V$Vx)DTMEzVQ0QFn-YsM3S z^g9${@#DPKjF;li^~-S}ls{voPq|9ZGT_wl(rk4-Oa~HhlorBf$@Urkg&Zw`uBm*- z?pv%|&VN&Vvp3=Kx27u5f1~j9hB?ahyqm~|?f5PSW$~-yIli}#=xrW&e!q8ky5z?&clUOd>NUKCFTN<~kKJ$MmxX7VK`d&C zk4T=)l;(0w_GkDa{{BzLt4BKvlnkJUPk#V<8$ly9uqJX!Uo|go?Or_0fXC+XZ8F7T zvuKsBI$?BGHmow*MS+dgwe|VS&-?iUXF0fioJ_+wdsS7O5n{0(Xpvx8{rhHX>k!9` zW@5aeSUOW)kiwFpBMf*&AF)NlX=*L4)21SqetaDqXUYp8-98yn#>zN)`{d94qkoJY z=M&(17p4g7E8bqFt^=In6W}4qVGAjxtYWvvskdkYR|^h{_Z*2GjwHp$T>rbk^qEmES*m zSVIZ@)P@d|E&p<2ZF_{vw-E88=W!YCVSAN7HGe%G;>ufy`<-T-TmE5Zr7#K-edoIU z-0Qmo)20A7(RUV&R)JLE_-SD%&AZ&|GXroQ9VIkB(iBi){LAX(AlyUOX*9q4v_3RR i{`)Sp8Q$RNVQ#~|%tV{gGA z@K%t4Pl%WQJ+A;Cp9q5n#MRTr9KxV#YHr6Mz@VYPpaU^u_-J9{{%;!R8`OU>P*85^ z{x_hsVEq@h82^jjs7Hn`k_|tm2fToNFqLWuBJyEGd&&HmY7_!H^(aXK?#BlF`5->lNIv)$=&aI00cH)8Ef36zd-INV_p6B}5rt zkY2cU8@!75taHY|_TAJr(w$~F+vf+b=qB&C%;HG75&fNda(%rVnE;|wYx89Trf)km zbGns)rFWimJFQWW`-ODOmqVg^Ip8}hfQ9&kyKJWNX*|p*sV>IDI`Y~k6Vd4#;{LnS zJu6fobq)f8zgko`qy9D~y3<`fC{Eqc?QeB+o%=_2%?+89ek;2-3cZK0N!?;t#CKzt zE!;t}-4<9s2jAP?*&o0UEvygtsBLObnu+2YjVIZA70Fz8pHa;N$|a0KMZ7kAG~`vZ zR8l3`+%UD(ZS%=wxk}4p-sC)#i{;YK4@oNaZPe10Ls{P*jIZz!cdd26XB%vXZ z(u5FMKx!VS3>A~faVO|8)Jxe0PotG#s^b>@kHI8Z|1G(B#kZxBO>;$_#WvsLo;QV; zwyo$L_|+j(eDnC9+H*umw->206za#yy(p&4fyB!%i8nUYT zA;#Bo*L5xTreW)w>OHl=@Mx-4_C0@uta;-hNlKOs;4pJYqO7bq)>6YugIoi9&`Q){ zR-Am}{6<0ayEj7mgT!&sFXJfEl>gs)f9Kc+`|nnDnd7a?^xjV>vg~|ZN!u*$g-{C_ z+PCADK%mvbP}b4p%+m=QpIRCydF%DG5ozvlgBW55ugtyaeaa+{-j9y3@Kj;d+U!X~;r+ zMv^PXy^j=rJ8IlU-IEm9#s*I?`_ z&-<*{&h7~07arN=Sz}?2fX}hU+#WKb)875?r$WGX>#cVy>GcICQ}C3-%biH&8A^2d z!sQ5Tf3sG5q~%@n^lW`ae@MzNwK2op8!x<#TxTV^Vy#!}Y=fhrX|Z%<<^XMGc)O@Pzpd%Q z$iRme9zw3uIp`tUhpF>-9w)n+NAl{MJjz~D7fKg?68x!@mc2r(cyRQ^M{o&@O-#(l z1qS;$Lp81Q4_${%c7S*yVDLh-C!6?xz?O}GSj|&eq!++AIO-!QC#0ZWXp$_4Ki3WR;2xiDtVTCQZ zX`h6IVy4@y7vWZ`=;&ahyL&HzFa}1Xl({^oco2MZ^85|5f@obSj23pt85Uy6PMi|{ z%%?E^)(EuU{Z-okb@PB`+&NXbI4Q@p0+A64s`#d$CMue!S8Oi|XNLddj8#+zAPPTw|}1h5c|Rv2Iv1KMV=hGSWNI>6PCzQ$%oM$8`UBY z9n-!e9P!Pps^up>*$a@aOSgN3i&Bw61}3#9&5Qi(|Ph7{ep3veKq3eq65$havBkn_}#m2-V!?a;?+~*6GM2QVOR=Lt( zu2dN8N|BLq@*xT~K%odOO^mSu*{;$U1BKcn#AuFt;~IS1zK5S{CFBx5cbu`BDL>(@ zyWpID^ycb%Af^jHLk*KD7NA2HteTk7Y`?TeTo)?dFGnJ^J9#UW&~FJAfF|S ziy{3H0*-|?3(?XG{t+?4gCYq@z?|4c59|wJDxyE(QttMvpA1(L9#nMwh@Ascir-?S ziIQH3bRIVziDum7nLlIl&##w$!Wz?OmlZiKcoU11`Z}l~R%%*csmnL^4IW=HEl~@} zJr;&+rdQ@9btXAIKvvrM-2O-;910SQshN$Qc6)rv-o?D%U$qXjDYKkeE^BiPW)SlC z?ABVl5nmWh6R$foJE&e7|BhE@uEUZk5~I`POLIUpwg!}L?wi|cB@TRb^&&2?v)1_j zPD)~__!>7yBLpSRwJOlSu^an&_Ki1&c48P%7+bY}OIRf+egX@NF6cb=X|o{L-2+zLS|pJ2&%e8#&I!<(fB(M_!>x(Ita*(kC2dP_qbe<+tx;aO$JH~e^-hyOG zaIydl_N6Y-`k2vo|Brgfjf0VaLx6$r;ml|A*0kfLUzLj|kQq;Zk(1e>p_}^HM=%_7 z4=1k6pu%l$+T^1Z=OLe9;XFbfy@tR|uaLkk%3(z z0{jpC;!6G$7WXbliZHV&@!&9&J7W7!a?cmrmhx}ocyY?i15I7lr?nPxv_K$n%LdA4 z&b-JEs&GqAfAnt9LVo99*-ZY0>a75YWuLLQr10WZMIgG>&K`1In8GEx6$mVvwdQ`` z%@jnO;ljuOtX$v}m1k*IXTC!rQp#8Zx&ES01<)q~-VY?iqlHLDrf4(0@0`Azh+m9l z1-T1#zo2xj!CNnj)?bPhK#(PFkqaQq84;(DS0^9big@WyYLsh2gXH-n6=s-1kQ zEWi;4D6pl!r>XLeUjdC@uAyi+1iu%Fi!EdLT&Yna8CT{c=k-qzp4Ybon!bae*AKR5 zw4bX_5ecm*>kE3SP6nLWPXTht`UYZ*dt9_nQW1ZNh^RUT&m{qo<~7eLc+K=gUe%H) zJpfgUrp4^ue3Ku3z0N8=eEKy!tAqu=yL^L#JD*;MZm+B;tbXBA=Bs-VFPS_gCjiN1#=J^`iTdds!<#|V-m zwY6(?^=frtoWHt%E#wD7f;FovMcX8oeT2dAHC3r)+4%0Dgwb0=j=}M&hIx2(e7(IX%Q0jrXJ+%Cjs^$6q%0grH9m$w0M<6( z{+fF^sbvS?OW2OGY@B0D4}X3^T;U@lODuo@%@|Hz;AbXmPdeZ(0Lt@!iDuE)Z8GAeOm+PAec^E~X3xS#A;_Hw|E%05C=m zyH&?(9THFBu#6l58>cn513>NUp!PSQc5cu~GGH|ku$lr`O#*l!5>AH_=m!$$2NPOR zUB*UN2beoj04nINXnpEk5r)oLz}8l%r6^*goG|rGT;tT(UELJ@% zEQzN-5es)}I;8@11`--k7_-mXXV5Q&2#1%%`A*M%w@sm6@(>QU{v)V;R&2lKNW4;5 zPi$3|1%JOl-`0~l+x_+p3YBN@$;G>4rMw8Byci2|&aQD}e!@jT4$a5Em>a5x@-f#q zb*``_{pDvaTU7|vBfhnOmHsjzLO?dyiEDU$W%ja!C?^4+)WL))i-94Ff&HMog*enZ z*Yqts%8Qqj>R$m6spUn_LFOTpbrJXD9OefJgvXFUbuvIp%DcqtvgJInJ}vHz7I=Zk z1S%jA7E5s|BS_jT2fyYP*y517LHFY6Xu9sj_XrEA@RyoH**ZzJ3(h0H_dcGRa;P{K z{e8CEg8PVC13!d(B^*qkM#=93?czBTb`_t;7KpwNhe3}~!-VHX7&pim&USweIWQtr zg4Svs+A4(A_YbL#PL5BgIc{UTmoXm7Du0Uyo$Ud~jcKV|4Avw$G-zQ;HaQ($zf7-N z@DEvkro4RR5m`d-zM;zSd4CUy>?ux++dJCG=XBo%xW}tXIAD($ut*q3#GrN6LpCmA zsf8RUDdRc9@Q%L$G#jyqT&oPlLkQXIV*)`R-mVKOq(|$sFKg^(H@y~r%x=2=d`w5x zHELBqN)l@r&fLgSv2EmW3-@Zme!$;#U$8ozk!p>F#=@Gk!3M01E7)NsOZt!jS~Kxy z_9UoA1XM9%*(P$2_Ld$^dTGw+5DYvxk(rD|Ix$!`LZh$4;>X%v7C$!QHS0tiB>-+w zzXF%*)B@flEjvY8r(&b}khR&-pR0-CyC(a+>}ac#{6(mkacA$GK>IY>AJR7!q}8;$ zJk?=_;jiXb%7X?rGd4jq*>3;L`5x{KSH65?m47r3B5v^@WfWDZCS22dHqIw-J@^DTPOZ!GtHOi)3-Wv)zO+Q)TQzUcSQTkXkyzs2XgjR7x zDtZYEuH!?G*yj=*Zxd}_lTnO5@dUq*U}G5DEM4B5-oXz^FzJQfD?)5=&u264P(Z=c znSWbqIgA1=g#4BE$4Jl@gJZ@@ zJ0?){Wi$GRDn24X2X(`7N<;wBEU*Qnbd_LGS84Atu!Kz^}F>sG@Fp+(SMg+|ZKO8Prl#PZ( zgaE=!YU@wI8>3P(Ud>V5lw0Z7ZK@x6H7m%=M{qqzRHaWJDT)4yIKm{j8J`##Z-U97 zy+w^7U98u%wPaNls!9ZCskQ|3?t7YFU2v0<{a`zP6^MP^0g!mjt{O=kp ztO=%JVI&|YmW9E>88_sJT}X+fPbG|*MfAWlOpIiRFKtrHh%YemFR)E;PjnEGecx&E z*(h?dm)je}=$m4`oUtu`sdmwyNQ-k^blu;1bD!hJ z{nH!Dbh^OO;=yOT>1(-y)k1^gaXi23QS2Y+j;#uGwqN{VIy4}|<~orYEmUch@)ycL zt6%wtS9;X;nQz5EUvqVO5+~vowO3GNZgxf6-locb@plhjfTVM*10N?81!u*Q?uc%W z-OFPW5z@Xb{<@7~p^;I!c#2TScq`vR{2fzQgt5B4+!yT43}hvj)D3=7(X}6EC1rrtanHrexvskt`7RUxbAwD@kKwdv zbVPx5JH2#4@mYDGV(3xBF@D$G%t>?WK zX4B6yxn%j`+KzPcz+sYic$!qH1cE zdorZk&EL)O>v4J3DaSO_*OSf0?7Rl7KN#O79jfK)fxY+W=__)ZtLR#x=i6zO-J6Nf zjnVbGh$F3r)MrEB_zCl06;qA3UsYQL@1RQuse)CTg^Kz*yGvrOc3*mDXQR$jrS#E?gX(Txa3)ud_jon)hm5oB+54&j@9-ptH zJ**2E7kMk2#1)Mb5owFNKcl&;;ppaSwDt}vKVN%fexj8(;K{c4wAWs-|21+`p+ujl zB4(aP4$Qlkwj?971=4d*p~ACc!C9#>H-Q|4J4&{Zhy{QyAyvOt?S%>5-6h6m z2k|ObJier%Yi7>KU`eS;)Ma}B6xq|3Tjn8Mg{eTNuVRbL9! z+8uV?9MQOMzFC7X2OeM!e^`bL5-1x`te9=UB~BwIT%>xRLrJ!Q&IAtg18fHS7JrEb zX$|TM!h)*O)dvE1Ts@oIFxsgAn|>f^L69KJN)BSn+KsbI&P>35N}r`*^oqqZy5L5k zq|wEXI`fa?P1dgwtecx6A!qJG&%=4QqlTQaEFB6Zr0@nvI3T?L!&7bUWM1ME=_I<$ z{gm7-<^CAsAM+x~_$$uXEAj**o1%`eckyX0?fQvyLX){tEw)9HQCFX3?mdehVy~A4+?cD#M2UX6)sT06gP%MeX$p-j>S)T3Pb|$<%Qbeoi4TyMvBFN6wj%V< zNf*bxJz=NU2f`h+6`Rm3g?>Vzjkbr9?Y7$D&9?lKO+1e*mEU|%e&6DDJ4|y#o42R9 zh}gY^FBS+y16(jz%ZEAt^D6VphUS}j&hZBHdnO@0{h55{`uMBzOJw-7j%vbo-02bzO!2&f&OFq~7mKX3?C>Pct_zjyYZv zBYjk3m!hJMe9HB-EzmY`pmEV=jiNcUTR1J?YxHhZJ54KfzmRj=rgW4y2#y1+O z1EHTdUGZf_4qw8r3XoEC*%cl+DP#d2l65_wT0{e{?h`K7?*H^Tb+69n1^#w>Q^9#2 zIoj(~;RQ#8K4q-_C?Okg@U%<5{FXG`k+fNJ{xDQhVK{QYyFL-}l?u3yOgszvj-0Qj zlkLobH2PET==>n3Ir85Wd%x6P`xfa;n9wQb$w3UPi8sA z=B%`0`}6GKEY?rLks(U-)Kdb3%VmEiYr2CI;zFmf+U~YS}M@dnam&h z@4l@ll%$O~mW#8nnkC4Yy?J@9^xhwt}i0QMpN{@&pPB z#M7nyc_a-|S>ED(oJ>+|9C;69sN8)K`b;rE$2Z9`_X6-_H6B&Hdr&RlGun#hNbQKO z?a%fVVDx$?~pXC0MrlKs@@oIgQ4~Hv;h| zZQ*gJmUu-d&OqZIOOXJIi0$D!eiD~}EC`FY#%TI!YuO^jVe*0B9MazM??LrR3-TLz zfCaaU_)E#*)NickFYkQm%88W5{{H8Y`}x@$6{AmuW6~AUS<<(R+^a1 zymvX0nT~xKaJE7_fnx;Dtb-NAwpgnj8is$nSLd>Aj+yTS%XlgU#A6Ukp~ zLU(^1#xNQ--HNW9`rl@qLU#i=h~IfmR2HVo6JTWUDD@Km;zkUU7G@*`kU1WipY`HJ=VKYU1QFO_4eKP&*J=)!Dou7NfAz8SwxnSjY)=1 z6WWMmypX-HJ9X{BFKwVx7XT zd--i~b!n|dj=JU*dC7#5Yr*B%XW`|^-$R=DFH) z__>b##!}*hu+lE5s8CbTgsbOI?W&^w#HiME1(qhi>ZX^uCF|k84e+f|e@9#tTzsIn zbY3~r^r?SZGn|4M@7>cFNyyfhh@2Ehh=|me@(-Ni<}J<0vV1CuX4&U(4P=k&oKLLi zgQY^WG#5J@h~YJ`9#2o!!xCJOTNIVmnRJttl^HKaI_BZG)4;F9+Nr5DE@YQ@{{D{u ziwq8?IUA_bU$RJILZgp&1R`CwqF1Inzima;UwyLMgrb_0!Xh3DF!3}wvL}(n3^MDq z>psrKu0PWX=ZeU#eW^>;6ALrl#!tzyDZyoU=$XJNXvsV>bY5X=DR6te9|VfGkbwER z1Se#-!)ftfJ;zVyM90IR=tU6c L^S}Qe&(Hr0dXH3o literal 8222 zcmZ8h1ymGFxJ3aM1Sut!?rx-(SV6jxMoJc>yK50aU3+Wd ze|6rOd(NHjoAZ4$bLP&)M?*s^#d(6}_;-N+&UGza-E5p3X+^ntX{9}E>@8^d1q5k% zg?RXcdH8vGMQODxT|I3emb4llAa=C;v|38EdY0z2vKBV(f6KsRPyY5mLmQ_2htT4m z{may}|FZX!{dDX!N}cpxu_t`&;nKfg)wG|Vez-}((P*5URP;^W0p|O4FyRXH& zjI4+7tgL-@TM;8KFh_>>Uc=#Gn8bYa8ohVbt$~*@&)Rb$H9}berumg4o#w-$UEiZe z-!hfTb4e}Z@`t1MkC%auUfR>ekc-2mMlbvX*+Okw`{5KASB}Jb7~KP<#R2ymmj`7+ zaD{z0N^HBW=zGaaFyn#Q!&z{!Ca_g@1(%zk5IR_W=r7+}rEs|>VH^qQ1vpkk+mWpI z;tg}Z3B<4b4Tajm&65G0lXH(g`U089p6ZFq&?of1;uHLv+2}oaR8mw!y^9y_&SFKu z{D1Kgcs5cN`P8zoY(RSltV@Nw60B-S!jNn;l63B$xFNp7^1u z!{|ne50mm*aPA962U;6+MGBFzg|^?+E3v=-U!wz`RRpFNj{?<@RTi%pZ|(WlZ*yO# z+W^^Q)Sca8>o+H# zFWpZzAJBrgw5D1tj+g0H#v!b$=_JHfOPOl|Lt#f3Hk+i-G@;d{w9q^K%zOzcN$W+p zWM@+p)-f1+Tbz#;e|Nl=&$Q|GcOU7IgwPtdP#XDIw6Ne*%T(c@?BZu3jMD&3vz4%? zx6cl+7qUF$9H2dBZr`oGRAcCN{E6d7@lLg@nsogdC(;PZ7WSJfm+IJWPRUnjtbACi4gstGr`LMk`oLzH%cfOhl_VN( zXHYhMhT<;_lzUIr6Sx;*f=jhIcE3vRLqXdkDg7dvY7LeDz*Vi`FHlsq{I*^m3t6F! zB}L?Mv1KLyAT72mO>@@Anw0W{fy+I+;cm=<`PmrD7wQh|*a@?_=r}?2y00^MvgXMO zuS$u_-NR+Zw_hw2|7E_l3N!GT$KM3VlT}RShc%U893Gt2urY0dj!4!{OdBNgLmRs; zOE+_->q7ITTOrJ{5Jp`LJAe1mu9dkKky67{1=y@Bc6yJP_YKlx8eXMK&ijO@(1X;d z5W4ggSjpM%q!V%x&UO$Yf0?_f)_if@uDxjF6bA@7FGa6 zdFq-2bxcbITCexN{j5yy(KaudLj;`Xy;x5zLzRIkn9WjN6DTCGpIFR2jTyuRz3(^g zF~}D%7y|9NGe`L7rFW-s)DNY*j|3Rx+a1b!RSxQG@q1M+>R62{q0A*k!irN%gbU-8 zT*xiU!0(hO=5Pky&c7SotD=(l*@vdmCdP=-;Qhyn^|@Fd*lZq##WL+hS2mjDW~dyb zO+FZg0Fd@j#8KxFpEKpsYhw0LXxH&*PbslBQ9k946fU5|z`dY6rABJ@P*zC|#Qh&3 z{I4qhO9=m?3PggYDewD;0y;Zv!B^~N>RH+RWpsAeuPwMTLZyE$!l`uc+LGP2>QtU$e{sK+L5$(YWVdDiOfM<5%EvFKm?RE|b*jAtC4llF|KXcc|2 z{iJq7P4tU*8Ep*e>AX(PY(9)|=UPkSVSTX{Cgrou6n=(w)Yc&aGcS0nSrp8i@Fg6Q z98W{02a+bHDQ1xA+Qsq_(v=SnpC;U$K!BuWN}|T*2>)I9M*eR?BQau{ke&o{_lt`T z4;55a(AIR8@*J+uO!QMpqu24bej{MPhr2_>V@mpfVIiBCaDZtcm6(uSx%!fg9*NMW z*XBXU6kI@H8Wa&s+RB?=r{}`OiBaXrNa8@Rmi%Ji(YBdCF_MY%@ymw$SwD?g!1I~Q z4*^6omyiJQ8GN$<%9&{M0E`)M?~QXw;Foi3{YT-M{0|Nbk5aib!DnFzlgOIoM@4&{ z_6sk>N6AJ6uNj&K1FpKVPixF2vN|#`GA`SfqLLv1*cwn;5Ag@Kau!=Qk@g2%H^nEU zBL_uFb1n0)Dl?y1AX%;6M!kokU*P2;1Zj(&Ny(H3ZKRe?2JAiv_L!8+wLTZ959Rh? zMY_4o`nw)sp8?SKMkCtsdwsOh4Aok#6*-O+iG0=o(<<8dr#Ha5)C2aDQKRcE^0dkm z72Qk4@<-~Jn^?%ZI!cUs>UEKim8O7tOYL!4a;-AdTqPDSq?c)3dB#z$0g@8`j3hSq1a zAXkS#ZO6516_U4cy`rB$%<>zW_c#Ep+3`A)z>5bSW%dnKUu#{k3%0ec}&m+Z#e4ZkttMEf&t780q3Za%v0ZqOfQf$Zc*5dyCZBK>i(9yl}Jf14sJ z=d?II=_D_K5Tp;CheR5&G3*7+l`e5|%rmoJ!%C3Yn*BT$Olg5;IYyEbE73akB1Vq) z^8J05{QT?;iRg&N$EC`c=)u1HZhuLf&o6i>_5`rNfKR0B)9rtP%mW)#>3&woKRsW|E*5`B7A~?w>V#1Ft}w3|sg7C^Q1U(ns7b zLSBnpT{Tj>oj<6r@P{?$26IXqnl8LFk`pg7a%v+!BTBLYZN1zu_8vZe;fuBQI$a*! z+pnDg;XR>Yl=WflE<{!Z`o%iB@sl+u=bzU5;nAOS!M&`f}2K!w?` z8*#Qz8s2TK7~;|+s|vIiIV0u-6KwaIl3MI|iEk4ygrc5x-X0DD!jwgz=a>0=@ei(C z0a8C(_?w&bcdSf{^_p^G+3f;&n=32LEgOWih3e`dSqW;@lA;fYTWiA-D^q6Ts!Xg}~ee|@KD!#2hyCTT!>F=f9yrc8rCHYfN60;+5%bioMoTQ+Kn6XOUx^54v&>YI2T=aIqlg2Zvuq`{h$(V&sxA znHKuJ8)0C*vRn&0gV$i+-<<@3*A0>WZ{=Fp7*=B7PJ?}37DyA?i{pG!FV1$fOnLY{e`k9EIXbMg_ zBGd0@o0zMR)veX3woWZUveQ!Dvrqf_{IYGq3V10JWuF{X-MuRuEd3=p+CmS#Ez@%5 zh)aQ_=?d^828@xtS(lKS@A!y?tBfauDFf-G5p!Ln5`})o3@mh9&qWDFr7S;JbDmzb zKpFz;@vt7uZB;Y%TB-Uc*iOVKllG{Rz#eAHJ8eyaLG|rHK6U7))V$o^4w|EWtH~eA z$saO1OKUX%|CW&l9SSwEakKhlM&2byHkryjP-4l`ufN(bMQbx1@SnOui}4XF^LWV7 zSB=(od7)dxSsr&gQ8Vy-u+N8M3d`g=%PGPq>PpC{EGS;?%K zy^>{BO^iJ2Qz(y2V_?`C=<|7_VXxc>{Z@jk;YWdhY<&uZ;Y$mal`)dE;s#Dbrh?>e z7~ds$+-F2ied7GQS-LkHS=MYT9wQH_!i$V{xS6wFZNGn(!?1@mTH$683!;1)pS(XY zqE)lIeIrM@&HU!VwjPL)uCXEeF;FxmVz~vA?7{vr4NSY~QCxxy=0|DLR{w&I6R?O? ze;Ge__#sMj2`hnm3K!9zW5cf)vF_&YP@=ILjB5rP|7!8$qr$Ci^rVzmzZ0 z=ReV)|B-@PSLo8z3^9tc7lUxCR+=C81uXSHhl~64B z*C>8r*!kgXTHs>!Ccuh?ofaw`+`l0j1*G~MCuVCr&smo}mnZ}N5EnxA z!)mf3+=SAaw$fXP?}G=OooaQ4z%AK5wnmHK58VF31DZ#)ZFPiN@r{9|Ij01E_2wOWcr zvS@7|4vP_L&LWmwu9Mm z@?`Yz>|31k%NB9*EHN|pfT)r~BcQ0doCyO%IcCR8!8D&&#Z0FMi?gDxnDS-YeOzux zr6?mB5fdYWBb`L5crHLb2C z)5GcD-pxsa73%I3qgJ-`nt*m3?3`?dmai&$xftJuby~jqza@ehtrqi+ellhyB87Ez z)4RYFN?yW*zjnpbBd9~%o#$w6=P{&33g)c3xB{@lk|FlH`)B~!>wbiBTik_9kZ)YCvl7;YT zOq*Uohu`#pZ)`brj@9{H!|aC=Kh1HV1}kI4f)to;ib^&e0fLvG5R11S1SS1JuBMh< z-l6A__9IRfxuNS3yc*M%NNL&&eq%p ztob(ul}`k=zVGD9zQb$yE7jy?&=F#m!6gF)&Oa>Qd5w`36gI`U11~wq7>BCYq3EOr3A&I9{(7b}yp1BdaT}6NL9xj5V zdrXxS^nAJP&z@#Zu+Hgeb=c=T)y?+`^0y(^&)3M{B9kmbT76d6A=f0n)EFT6we1cz zS{k4r;Uw=}{X&?vy?)4gSTnf^EfLQv7-`TasoGS@uz|i0=8`-luuj=ECi;_ia1m+7 zs8_JRuN0?1$wkx~-i4rdp~TAej3$jwqa|Yb+C?<~JrSlfL4V>37cpQ|dqQ`$@9$2w z3_LPk2-4&0&=U=MUnOp{iKCqyp5w*HRrgSb(^Sb?9UCM=Z*xkcxLk!dyY}0;)zG^s_ zCB6;;qqjG9c5Y-pm={I@o)!(>a(Mr#Y@jb-B@3*$H}>pZBpkc>u5dg^y_;A4$i2I* z;quD_(QJChysZxD|1o@y1PLrPUmK~bA5Ey}wG?wqE~byJ?*3wVEqDp>XJ;^r7aZV$ zVH(>66WgIbwW%5or72I^oq-j7K)C$ba~7KmiSF(wFFE0$w_h+Cbx#Y(Yok6PvS?G8 z!?`l3^PK%%mmNv53rT1nr6Lj`=pbap0Aq9p#nX(W4y4?Ub>59pr4!eMJPHT*q6vGl z>?RGn7pQkUGT?6u6)tL51SGFXT{>goKv`inlq0P9YIkq-A@7;@$mbHtoJA%dRjb=t zf~$DWN5hlz-X`fhQfph(M?;zMHs(J}d~+pE!mnH=G%{^?m~wGECE3i@ujYonc2~50 z(nYEHYulNKetrGAA9ivw)MdfFD3GuN9Nh8)vGg=FfZSps20y=F^*TO0l=f-7pchXn z2Z9^31JAFfmCKhas7KjQd?4j9l1g0z!v}TU(T2$r8qeSaP3+Rtp^uHaUMiktz`X;b z^n=5GmCe)i^p|!ZSL6D_vIMQRJoBT*LSAe-H5VuDOsdiIO+Q~}B?Kt*efr-1KK0ST z@W-la*hm7vt>7w9(_ivifAl6>^Oem2@O1YJJbAvDz2V1g|9o)q52?A;%}Q~w^Rkv|PJ&1PbA8-sKt*(f-tBOuEBJP%FxQx;-jnNF^;D^SWwJ=Jq-JC54w!YQ}L2Z8ujl%dEp9YfIU-;dK*01)Y_K?K>wrK5lVwO~EA&}Er zb|k+acYMgZ;p<L$; zxn40kr|At?@OE;G^bYG_^fEn0{%&P8u;3Rao1WxE8M&{xm`zYodFb!Baz)1pNR0eh zfpBjq-)IJP#;=Y{i>-ZRu?^W%4#t~6Le{M}S}WDbnjsy)E4l$7Ph8z8vU;qk?Q{pI!B`tXpP~muwbhVvk?%YTgrEZzwy7Bd# zQbq^8)SBc9TLv3aXNd71&;10>dT1v?%|CBNmw_$>d-*GxRZ4&qRHooskHu}|CPxpg z8EXY5-?6>HdvY$p(UP2?CSjOp{g&qT_>QV5PHQ*n}#w`h!zM^!B{3_u%(UqGDj9YwTlls(R`D5~Gu_=}V`H)^a4Xn3YmX z`9Mu8QcMe)!6w1nntTISl+?QL_MH;+4+;hZA9zbtUDrn8-zv5CkD3Hf$z;BYbMt>p z+8vJto3E0-lMMpnayIw+={;B49+Uv5Wji?+=N3*4;!msOHD;36Ky<02eHwQ+e=rf$f=-JT24&AxT;p&oEsDwJ zWc~bMEwQlnx-d!t&;ivtpMR*#EIl~nv2?DAwUVj|#I38jzP7NMOgQe`J&P$-og1)H zw%eRFk(jf$*|nFDPu{z?uifXd5y~9)Sa?{gs;`~Y#dmXv-~y+n*S=02`IzE6+R*kS zJ*YX;=1N<2n>@;Ob3@|&1m$fi>iF0AR8@>E&fN#=foX}!&qOz_>Va1`-8{;_`NHQz1S3Z$<$P)O$1ngO37Sn*2X4&RPf;;450LXGK~ z=4YF0gNf)}<+I`p(abyNid|FnKZLDak{3ob}{oSakeg;<3A3?@2alvG%FBJsUC zxC>AOWQ3SckZQkW^&X}ZwVlB4pVwLo7PSM6+a+tPr?!8qA7EJsOp%>qAsMpI6DS_u zFS=)DLVhphz1`eu;tAXiDJ&s1zZ#ENHgy8bg18(V)>xz0t)%l#)3A-F_$X>?A?x`X znX|W^+xH)Rzv*>oi&_~T`OkQ-YE9DF3-ZovW7f21~bnF=JiI{G|qcbNKO z4z_rQd1p6jP*^;9=l{Tx)k;z6gi%}5`iuMKE|G96qJ{Lvl)`n#%fHmyi^5-n1>790 zZaB1XML5I(3%if>Rkz7Jh6AY*XWH+t3W-tewXZ2$pobPhXUrh0*2BC#2sG^z$o`-* z{i3)v&~~!-_2bKri0hk7i3ru$v*$ZS;OxG_M|3o_$4Boc{@SVv#G0>KQW8=MnxS?MsOifzY#SXNuZ zLkO|pGT<#Sf!WIp1=5k`)8+d^uhHuf(MzquEJSPxoHLaiSI{^mimHMCwS%c`tz$^u z2A-vfN=qS$EXGYF8?{JZ*j=RRRrSl82^_7IDe_T|rR9-=0kZch#T~Z>y|LM)2675| zpC6r2%8Cr9!oA*{i+ydTpVWsWlIMo9h%&yQdFbG(roKh;)ziyV%`u>9@@A!)Cmmr> zf5{U|*qaOG+OcD$bbptFjsqS^KrjTf_2z`?dNP7SmGLgYeqFn;Lb4Fk=Slqjv9Gc1 zwVvHb&n&|H$FJUC>n(4#2|kRT5HZVcn4yKm$>+Xm z+O&Lne1o$Nb7}-m_E!;XRMUrvVmh|?Q&O)QD};y+gxe5u2` z`mXX;PP-*;*sgtO>e(}P={^JbT4q~CDEWkSqh1i|)sJ{9y6{{6KIRRQ9Dr~bsKg(o3h(m7S%YHM7s7Y)mLNG`KEnw8&(~3 z^0UA6{Uz-57cPzCsttpF1i(fEh<>w9xY-^Ia^pPeo8t6&k7MwsWknNW|R`*>_2&f@F_m0zoObcJ$Ey1!J}z;LJ{ znLlpOn+fNW&gRvYDhUARN+xq|C2kUBYl|wyn*1v0+UR~obmU(s0IfB z?UOm*e%k=VhGZ5JI=!Xoo0r}nyiY6->9a-G^xHa|WAR-J@aWBYdt{0?#yAq&;4D4u z{@Z|~4&-|&@1U5l@T966}q&6xerNZbEv<7Q`>`^G?+Q?Yda|rGuK+}8p=R^Gm D-Cs)j diff --git a/adder_8b/db/adder_8b.cmp.rdb b/adder_8b/db/adder_8b.cmp.rdb index b417b279d6859cad8f930c5782c95a543d7b5c36..e029167590ec6060dff3f34b03b3f6435d26ec2e 100644 GIT binary patch delta 16951 zcmcF~Q*>v|6K!nUwr$(i#J25UGMQ*%+qP}nwrxAP^WFdReZ1$TYVEGItGnx*b$WI0 zJ_`XZ4F!%>06>NT1O4~h3jbdNbPMu7TPpfL>j`}6XA^5Gsi6IwK<_?-sMT?r*0#BNc>y-m2%<(V^5;+Rn~er6fgyC%g(Ki1!L9wu+1Z zwE^ZH&}SGFb!P*-QHy083Xf0=z`q^Xy9I&32*Pxa>tR$h-)CAE^tt zwan~%?Ab{PH}O^tD9l>kD#Laz;_xqk;H(9w4wDMEY?LqmrrOl}sIsm><{-7AO{`!S zE+THJl<{oYg6`OQuRDK!?xwGlIFBnWY6~R7)tmBcTH34$XM-yNNKESVCuoBP$xFS) z$vD*LTrI9^v&w{KF_GOxXk1@dYOu+dR^#3clcV`*f8qo$0GsR}erDtwJN_5=#un zkd>d77i06Ex;0K;g(@pmcrfydJpEwQMPEEEJYP^E`@JJuQ}|?hfny=AWgFf ze-Wf$y^9DMI~;>}n$0@+kFPLsZ>0zbV(~ejAskm+)LtC$$`VbWk`we)l0n?5fvsHZ#h8w!Yx{~{g3bI- zAG0vz4S;rKb3+hd>tU)^~q!9;8yhf~59v>6E zs};E7ES2_hwhTqe)!8S3;oh#+D@X%#oYCB~Vn9iJfYUQa_H8@L z>jxg7>Y>NR#~a1N-=}{RYGC}ro2)&Gp)WLYX8H~=)+-i6GyRN&yK$Dn`RJWze> zQGkmVvi#~t=MO|h(8(+~iKny-hidM`Exf8+rSBt80m-zkXC={e%0>NXMull^)?abX z1G(UB84c`T60!yt-KXwaQk32`tiO6@%(M*{LIZ=`&-2l+agGNQpGD7?gGYr`ms2A% zmVOd%Q55#F?cP|*Y`w*o}Wr7qmH1jksG1tx6t`+;F= zy*nEeM@rCIJZ$MEjpG>Xw|5qv3jt6AHETNUUx+4(4oUV&dAD-Ok(5=EOsNG`(_b3^ z9Ctx_COMwxEj1Ypl!0o4Se5*vIc)vjp$j-pX0Nqc1*9#ckh|~LtS>s!i=X0;H3zIe z4)_ffr~RE=TpG@kscq`CvY$MD&Cae=iRMMdQm8&jqaRaFUg* z9?_j3gr}cgu)l+Cc_V<=QX>#B+N^*C9)AB`lFdKX{6Oi}LE&Ag7MnUZrWWg|6rmdn z^=WuGb6|X`>224QiWsdBrNk(1^{4RU_%|eYHjfm4g~u$&JR3KL!YrE&YWvT$oEQL} z=mW>>od|ViHc|z6nvCG|I0(XDulz0>F%GyuUS5$t-mw_^?G!I60`D2{(+gXLYNl(P zCFRpyVa>)E6^V}sYVkyMuv>X`Wb11l>@JO~K2B5`U&e}PH0 zH3@=9n04@5MFkl0%RK-9q(_8Q)8p_>4$IwpIBYl_wLA})dBtP6qEGb8xW0`!I6(rbdxq}gqKQGXL_>6S@|4lNP*o8Z zxDf}*T7dYNhI_RVSN7R4k@N9n?YukXxD>n)aE1P0I@2s>EUtIXnpDFs9%oVyX(=&h zVh<|AmYdo|Gg4!C*$8XLa&|5pQbv{h^*TEeRs0%FO5-d1Sd0&Fy2JhfnuybY!H9v3 zY*Z;&nG9fM_ZAN+!R`9ZHVdzt)}$Kiolcz)+a`yA9bP<)D0E8j*mDJ%Bj7lnk5z&a z(PTjrQ^rG<8Lo-{c!`d;Y67&0fS4w~qKxjp9kLWz&Y34RQ|#Qdx3c?d=BRa*-}1^9 zHr(Fmgrk=D1<(r^G4)m^I(j_aV{a%cDZ>>aEA~*WiRT0>@bSk<3FO;v0RP&{)0tl> zLir(;$l_BhhWNsT>0JYfLh58paEUcoBJtFRoy* z;kM^yP6C=B7@|Y8+dWy3;T|e&_MU>;@gdo&UHcpBJbD9=d~3_2LP$VzgE(}z(z{yH zS<;NtT~nS&qIaP*z+xfx7<_7?UOm|vNqU(tp2e~oog~n*yT34}tN&OocI&4NV$Xrj zd|qp#&JX;a9(SfG==1AWlc1b1rzwCWD!>z^8w8m=6fT}e0+cT_Vd1U2&<1^GNtJ~-^K~%m|vG)WXj99g(-+NQUG|0L! z+NcXb5WA~W9&O$DEQ;Xk`~&>cP1FOOromHj-2DM4OY~J46UdOErbXWQQj-xVGtH4N zN=yp7@uwlrQLCRRRvDKW^bkynSEO09P^C5_-|xtg8KX?PY$!{tN4eWlEILe(d0tbK z`4#?pU7~U?P5xm^Q&cJD`=UzyRv7Qck))zQ(*5!ON(1=+lE;LEXd!0i0HuV`WP^`; z*0KuSu!FY{YrGC1MZZbyK!HN_!}3=;ejolAfB~ULP&LB3+bYQCA=!iP&a)Y&=KvH_ zC>Dh=Qs6Y>o)vBR>0*Ola@MU>F&h&Q99x~_U5{hdR@9eZYKL)tzdEJ(X*ha zus~gzvvM!wW3%K3lG0ss>Pv}B_UP_i+qWxF`J0)<~>wVLxswZ!Jp)?%aFU_K75hU$2P&&TBrq7_sg@Lg+r36M~RnD#S!}bF$9JJ{dcU#40p%vJcU}&0+p$?DM0>n~>Z-;2Ob4YnU3*T4GG##jR8N>#ktWXO24x%!r(l zvA(c5UI%gSRiw*8^)HRRr{i@OQg(71C+OV0WYF0H;-xT=1gCD08E$w`2%}OUK*#IN zb_~l>Lz_=*H&BXpWMOc&8LthSH->W^ryEq~C)q0DBFdTD)*Oh#3vALFwPQeCXNtld zJk}0ABvOhn*RYR<>sUj{rpQLd_fbaAq4M}*rfnj@0Nisq$me0YOZZ7k92s^CbjWxg zSADKz_ZNdR*M)(2eOk;c?>P-J4Q_^>rMLc`fFQQ;DqC7sWZy?0Zig7j_KTH@F@M(CG_Yco(a2%UssBS#g>M)N7{$)1%|8 zh}gEpoc{{=FM$={CZBnTrdq0hCQ`pR0J+m^VNNZ zM$~=r&U}1Wp`U0N$BYaNC^>tlPMugo5wrZLeRSehb}q<@?%>5 zNSQSS?LaBt(-lPnJy@XpGgFLZ8TF7w@RXKz)pbNvBIinr#)?L>2W`cSL!!IAZOvsh zgQy^owirH!#R;rt^07H4W(os3#xVxQ-v-TF%j@yYOo2#RPS6drm#ohE5(CDrPMvMA zW=sd$L=S)lC#SoI#&QdoJ2J>va@|Y&<#|X;de{6?b&bY&$BHtsZGFVXoI=Ki z10kGw*zX-0yPL{$nSUMOXc<~T!>Fd4JUXd)#5~dk@l{kH?8Qjg(pvkaQ+0WHy}1tJ zqgFM39CgLwZ(*~K#D|cJo0PP5qRFArEXzwf(E-q5+$^t5mbF2el`ymDXl$=jMGmd^ z+ARZr64*p^e>oTCT<5nWgvj^3)#~!LTs++Z(k_FvyXJz3fw0@pvm!72JiVIap?`nY zD2cd}hH9ECQ48*?I4?fiTycwxoru$6F1=rdkCM&`^%;I^f}w1{A-gqvwCiTw6t8~! zR0n)(#%iN89EocTwShPJ(}NptOHE6i%QxTJ`U~h_5vgH$s2G*=F*U%W3`VTo1LY-- zBiUj;s25YtE_u^m!VPI?Y2Ok!gFCvty%ILM|2H@YG>yZy{k3xJk~AZ~29%NOGzY7>Zic|X*#pS_+Eu6v+7mXVpR%2C7?iWW=yJcpXHDgSL4td!Ze`=adz46y#$f@OPIau$WU+e3Kd43#t;w;<4q5y6h{q zMU_ajPB-nee61V^{lKaoQs*dXMcdDDUXnB?ns#eZ*%&m-C5aLALR1%06&Dh$KOYq} zkMG~$*x$pSZkcMC%g2ud{z`smG6Epo)OcGv6K|R$u1~MM?P^e6t&LusVYy4Pu8$-f zTl8++B2r8?MXa2RoD=EnRqAyxc_&4FEfi)mj+wk0c#avpUl+qko z2SCX->rJ+KHKqt8X7QJJlx#TNb17F9(XMD*x%%V_GSZY??rFIBaw!;&9szW%!0Gr< zgJ)`>kC!guf~%F}w1ZEkiq=x1?rmme!9H{n>$YwIf7hqw1NWnN_l2NfZ)J(&X z@%MPg&;n=$I4sA^QfE_ediI6cz;iskZ$R!+8s(1fR1X94fJ;dt+I>yeh>eWY`}=x~C+CPOT*k5cxH_U1u4@iyp~ z`;{0C?a5EoVxpHqjt{#$do(1TC5&I8mhxJ95A1y2|Ab?hp`4~?gONbRr+C!2JxEQ#{$u)}P!W`eJwVy`b5WfJ(@+D890?myuprCYcLp^AKxvV( zL^=}}#Zjk^%xJXA1TKtdUT!%5yiAqG+@lMD>g4Lv#tdEYUS9SFvE%3MTy8+Ee6lTH ze#E1OCzLEYd*Fk~E(f>}?m4M>0qv2*fvbVcu)d_{xMVnfcNd*UvK(vfS)q1`H4R#ReLl@G@v!rfB z>pcR#nalej!3qhL+|TGAhtCKw!eLxj6iWCtNKz~#q!|E~Yx_9ST%|`+AWnALB4Ig% zZR3-+Dk7h&{&R#mztq(qc)tL#8r1P!5DGd_Y`tMPjgUm6*GVrQ>Ou3+voaMlU}gc7 zDDCol>#8e9muTXdGM(Yq{N}%)5G_yjD=DF&T?NnAs#%76ZC;OtCj2;r*(}Li**!i z$UFtEw{H6P*Avy%{NUnvpD?WL@We{EmkG)u>L^|q?r+k*1hF!IO0H|;Etb^*wm7^Q z_bLy^fN-Q7qE&)ojLgR&l5#cqV@eIqC*4?IR~x{SiG|It>}ZIAa0*G`6%UB@c~_A~ zry&-dDqbT<5MGV<6q#}D<9)I5vtoZZa=)3i6)px_bVy)C@9F1JB4ta7CY9|+m=~5^ zW+DXTU)4`Wak#KmO5X~r<_9+~Ji2@0R;r=15l3lcOG{IpkyXZSB$&+_Y_0N^U0o`v zHc9|hI_j21isR?Cr?}cWVFS=vfk$uG-xBq}y;OQ~WtdHme+V_Kqq}0bz|Gp%=l6&e zh_06HLwN)_S1Xom=A6p)BqeA+`$AoF_qEnW$du?JWk!c65DJ)sY#DTiR%vSHlU~nd zPwr4Oi<@U9SUJ~IM^25G8<*~YS*Q9}SDFC&9uhk8ZOdiP*2<77j^F)Xo_OF?G-fIr zW^1sjR8yr3=Ts*{=%lEKTW#HEa;@%>?eA zm$3@rb&OX@*M3h(thP8nZP>3NKSc#d*f>;TPjSZ8@z*1q1-lxnfifJ6%X7@jt+N20 zqyq|0pi;M{zCwx^IRzs1Rm);Ulp~)J>s07;byuTk`44 z_7=BfY|X}ItK+BKs9)WpdUwR=zC3~bb#+l~vRM!;Ac%_ONQvzuQ|HPh!HDJU5XuUjDRTD(BYLdr_Gt}I?Gh8Oh zB!PiC9-A7vU*Xzz>O8p-K%d0#p5~vq%yJ|@xw8S@2GF~OuD|U-%NQQFiuX=2W1Wtl z78^<@EQT}`_B*qMW0)6&s;PBYeQZqnD-aVC&kmWOE7gNcX|Y-7os7RlOIlTG;9>Ds%Q zxLJ63xtI*$?I-Vs5@WMH=en;ZAPTCbTv+Fw8N?NXA5{t!rP2>mc@+T`0z*;ka-&mP z_UQDURVcPja7|HQK=xwh(tXs~K5qP;Iajpiuu2jst9*i4wftip&wQAtXN>wug ziSlgXJAi0=rT6m`W>4A6aLtKL49h43ueAfhaI2LVZSWk?Q77G*WdQ+01GAZ$DZ6}2 zy>iY>XVPf160r8jUw;7vo}0Eyq?I<MiB>9_9fRHzXE;dOLU-l}uJb>_pdgJ3+xh@%B``Fc#B)YkKZTzy z#PVEw&ZVVa&+Ves#c=YKzutMSzTG5_JCvBZO*9!T5mGa-IVwhUCB$7pLlQJ>;*_<5 zJGB9OI#oRbH!EUy$woo9?E4wUzCKW-^1Idy2JmF1~9Kj-DI9ky?Rk zHa!J(bPGIE^wl*i_w-t4V71*84wGO~1~bKqI>>|Fq-k2&IJ^P692^WMp=g!;Iwq%e-pL>rU#`vSy0LyOm?XY~2)fG=LAk2fF9*~}W*IX9q**!X%f~{JDytI_CL|TY z&wtrb#bBDu{{S4vqBuY-SUVTEY4{G=6k;?kTnHPeO$mJbF-9{HWBn0k)!~LqNi5Me zu(mcVZ5IJ@S*s}2iGkiuW?n^=G`vMsO>pB5usEdoa%YOMkLrvN@IfEz%$-_kpd}>E zi%~Fs8~RVeakW!sHJ9&fnz}R=r%9i@^3Mt_ZjLy&9DR__#Fx)Qz*Nwrs$&nXe;BV5 zvsVa0{gH$sQyx5OG6bQ`#wCrOmKg4-z$Cxz1#$q4Gs&2mw}x!<1`w6BI!7>_D~9>K z&L4oowfAlPDK+*lXUY{hM57#ajsAApg!hfSh})(7g-vjN>{xW32Zr1bR=DC2+td_$`}Rj7r>v@rV)KWzdBN4i*TpZ&e-yw z{TTqEj0W3eq`wJsj)LYfcP6{Y^L}XG;d>NO%E$2R4+gj{$Ydd%%I5-OgFU!F)()wR zUmfJ_94nMvt)P{p%D~(On0Uyoh;c~XlqCcq(Yhzg&cP0$4`aVEtb>=}5o_ zO2>)~9CHRwh(U!}WrX!KCY7#2ZwuAuWOH-@z^uZ7wX1*D<%}0>_|4BdqKGgQH%q1C zH&;=>2L+{F??1-S=55Eo%$3aqQQQF@%ofH5P&p=qHOmhEP#GNqhBP~2+*g{Are%&fKELq#;O4C`c#(+JC6)7vn= zq|i-pOug2k15p<4G{VM;6A9-t>?2K)Cvf=Xpw5--*m1CG!bKNGH*^Ut7ux~wlM9J0 z%?w&8OJ*LWCY1!{#E+LVvFEDJ6wAdiEc8wDn+`2V`7(gkz+SDrxSFOlKzkY;7S{my zTt=wjJG?B8^n+m!%i9@e@ENDV9Jxrs%kSG}-;6M!{8n-1f*c>T7DZImX`o0(*;54CQtcafV<6p@80tA&)7(~I7K;H{5S-tM?64}Y4?e{YO z^SB_ikEG=vd&EN*1eJB=$}?1gQAPchMe+OjbeEsPoOcf%sp|_e(LCL2cErPfG`6be z-#-6h7)#1vTW-G3{LcmVh>ET^r+{s42u2?e;u})hG95o`0RLu-QRm$FhK0&!Kj%sH z#|x5LU!l--3G_`7zi>5f@v9y3egxlCAlKMXG`tpQ7wgExq zGw*fS;9e-(B~}G9ZfS#HRItPRh+2bs`A%GLfpMnikf_LQkn`IP@$hdD8X;}-+rqyP zx?ktUm>_R}q@T{5cL$!)!fC)P=$dP6f5~g;&7Dv+w^ZMl0AI=nG~(e4AmT`sjFG43 zgYo_CUm4`yXZ}?I@R>oc5LY;A{blfc(3up7=I{_iFuL?&RMRgjPf4 zj_E~|;}$!Q_*dfVUqynjrS1%S&H^X7rK~*W+5wwA*C!7p*$wO{ge2~dzaj5w@eXe+ z1qYfqFRe}jKQ9|FN*aqjLm1!01fRGesTZ;JkDYTKh5XxsKK11F4&Sg54{v-IRWKtG zmVGZRKFS1B0@12I{y^665{YN;qXX7J-|Qi&aY!&;tPl?=tF;CGp}098ZT#?Kn1ju_oY^k}}ooAu%6Y`0Y)ea@V@yW*<9j{ber{_@4ozVAq z{!rTD+h@<&$Xfz}${}f0+j-#U5G_W@sH&^g_s;Wy?~t&}4l%(cBws8#B(=bwgH_$@ zQ2y8$ZmBafu@k@u=o`bofr!fhE(1RS4d6PYfoOW~`G6IJf*qGwW66Ch!Y85ckf6_< z^=Pv0_b|wNAN;~a?fv9*$oexPan3=W?tc`GL5c2|4i5%=u{miiZa@FFi3=Z(dS(q? zdTxkc+5$Qr;Of2Kg*d%tY>z=4K7a}eDDE+ML?M)vAE1WwmG1TkmclG2gI`506U1- zNAwDHTngF8&O2WdU$H$LCd5NLf8O7IRuS9$wnhGSMO=T4);x$=}*`x46*`)ZNfvUg?Rs!TY8C9u1SjI5WAN%hpv(I?<f?ZUuq1OP3!3ME%~f269G z2{CNaa)ET%(^x;*uIt>gripiKL*@AsI)h_Qmyn%rZ@>&W2kAT+d9hDU=lBg%s&P2n zYh}CQmCOmQjf~wL6(C- zj%LeXJcM5a1?aX#Gy|$7OvN-w)kjHL&38IjD*L3txzrt&Iq2XEEzV8NE4w0$1ELek zKJMUPolig&g7-`5j@@1GbyHws2@L0!bgDR*lrSE&isioB`G6!*c^wh^MIrt^2p#y( zYQ=8-xdCq9O2a41e6F=>kqywZ+iw81m#x#&eu27VM%(T`9tJ$|aA>&qT?RY#ydr2Bt@D80(Wd3h5Pz6 z#*2=UjAkFDApq}hO;a{SO-8-0s1Tk$59DsnfnYl}M@db2qtuQ;o%LRRl*gpBaN0S( zbxQg8%)}>1DkdUVw#e9m-=8{v$={-WuR~WzPT{CDnvNSRQf$s_E5py-N|D5yP%ZZ# z6MHBVYij0RK96HX6QpSy2l8vnhg&kePow zmUpE7XjLa>dgc7)X4%NNP^#q6kb0yD{4zBjorjJcuh-`;#}`x3TR8QLPLfR~!O1ec zSe6TQ99kA>(FqC)_K-?&)Hw8?RVN7#%aEi%*_b0N5zHN>nOCI>$;>OC7B)}TFK0_A z2=VjH3kTHqjS(@_jTPkBZHGt{Yv$v%uyNBPxZcEDYYDfM;U@<<2@-gy|C4sGm&w3S zEF0pAlr+s=zIP|u&bppk9(zy{9Mws{m)TKYL^RCEP zw!`Mwz|0uDgFPwKWUlbgIEJc`m= zT0M6xJr28+nCk=$+LeOcg)N4gb&P8ol&{e4VdjwZzBq2BF9rBm%e6MubSdPc3qeT! zOItSBssdni(_+NGa}QEDUlOazc(=Q_&ct z_9p$_p(@jUf$kQ?<&cHDfuwd1USJ6_Lo}QWDIr~N{`@)d)un3L4>>Ux^d&+`P5yYx zlm{z*AD)&~kW%Ne0Wz8a&%7Q(M*!W2wwzxuz3wI~52o&p1rA(S*HDkI5{Tr)3bOcb zraX%6W42^>MaHU|pmC;h$QL8GdvK}&tJu9C{K%l5ju6m^#X>BBha@nvh7XQ6$R7D< z@k#l^B{ac!ui^G2FIZ52X;z;v1gg|7qc9kaVGlh$P7#4O+0J79jw)#zZ~Wo%`WZ8_=m4uVZbz44qgBceiVjMKE3N~sUqF#LQu+FGvdjp2w579dI4CQ zW(Sw5e=}LmeB8O#3z0<;#I?Y5n>s0cmL4R* zOdL)0vZ^V3BSF&Ho`sDj=t}bPh@Yx2e+kuq>i#NTI(TgB6Dc(W)i(7^j5_nCj_>n@ z@-C-ele$d^->YNKW5p-2&vHS4QoM$;YNRQF;ys-uB5$X50N9@O#OA~HpB+PeBY7n; z8$jgbm19y#hba>{Fhyq+0N*VdD#Jrnej%_2m8fk2x@R9hWX{A zFUCnwGt%$lO*#VFgYvA-58&;`aeX4Q&Tr8l^#P#Fxi42+9>xr*N0wTLm0#s$MMxKW ze5bliXAIbXeX!XNwGSMhZeju=BJ^0Y~t}-zc9olq8)0Jpsrg-hV1xE z(ga*xh_r%4k>>YjI>G!BP`sh7f5Jk{K}x)}swAE$@%rpNg7$*W8Ae9jeL(BBXSoM6 z=r3`a{UreZA)zOUy)PG{$VB9eGm>?*Q2W!nf$s~IGh7RK`}WT@MiY=HOgLHydkdUE zHD2^(SqKN-0TLiA@Euu7P(X1X(l;fLOoBcJ}Ok8r@ z$x=c$FK#luU)*YWeIaD%iNWc{L#T-S9!OmMk_*?&2VyOP8M+^mWaT*hb1sT;a%>7x zPsnRySK>zIIihHzu6y{_5a zL^e77@!O{UcXGN+{4YP2t|%}Fxln0QyTT9GV%PL%*;^CrJqd@GG~`o>bV3eQZKSiC z4F0D?$>pO3l_y)=yY%b&93qm@9AcC2Xh=w(Lhe7+N%C6LxRV!+zU0 z6N^5WHS5Z{8g>#beoze2!I>BQ;fB z$DeJUq{RHXVBu?9a*KcrF-YTZ^kd;RCvIa<2h2(m9QDe;SMlO(Ai6^?ssb<4`3u{ z%~V1zKSrJmo3U*iryFBK)^Mh?#8Ar3$v+0)JS@LQK4e3|18f2)WYVDE7ozF~F3Bl` zP5K@SABtO2q2N=?o==Jg{y7%a5-J?KTVU)pdPOHUCT{g6nm&3=U)1F6bs9hkiL)SN z?94bO&8FGEvmfC!b}a~unD%60Ni{IHSqLNHxqYhzFDR&{bbi;&C^98Qq_R@WuFOK2 zP?*BK$!vB4+9hV!?ogZwjYV@J39Lkv_Lxyc}O&LqOKTB?6DAKW#4 zC&?N3E@wxD>!shdO3p?W5SS$MGg*r`wd6tvUZ+9>7f_(Rz^5afMyJKrz0z7m%*dG| zq%QGB4Lzs^7S8avWCZPz3D|&JJO|1-msDaJ!9$Az7^1e==|Ku8z-**Ya5`*^<=OHY zZD{@jc{&JMY>X*7szuh>lZLO;_k#k&+osElBZgzWtF^%nA(P4yH0{A`|zb94ZlT z5(>(2Hl+{<%u#s)(ju-6XA13u%hd#c&+;kC6=5`BSAd*5U#9Rn?$5LpssLJ z2QKuE$1-h%5;*aGL7=Q2_G9uH z%a@m$8wZ@c$GPEZa$vl`C``NnVq_hTg~#dG?4mj52$|bqzgewYo{b3J?7H11zhZg+ zpwf}wn4V%phQ2J>2?q2q;o;!X4a+oXz#MtnLPJB(!`EM_qU0cdrvQ_EAC*mNGS(s> z^~u%=2g5gTsoF`dwP@>Uo!qKrV)u0(*bRqia^z&jk^>BRzuRX=HpCtP%8=MCrjzS} z0w(rJ6oWEp6w7}cdG$q>vsn$kG?W(ZQ0g`8T%MFf^+~D_}~Cm3`mh^`GzeZxw~d zU%{k-DXozJh1zBgDCT%Uk(d$POVCPKa}v79Ld7QMRd^h7TM{vrqZ7U2qr1RsZfLl? zRF_gB;1Is1GY+Yu@GAD4UNmBvlQOm4hhpN?4o%a8!ECmi`O?Eyjnb8^r_F#vCtdwL zW%SQt6)p}v{v_lXe;j%>z8;d9^O|NjaHO?!J+PzaAua=Q+J0xQutxIfj6YW0t%KsI zw^UC>XGDtGrgQ*3qT1+DSW4^V)+ZDg+xhf82BOAcu{IUvjZ}+HPN6W%&x5m=*i@#E zcQyXGl71(?jwM&4I16pPI`IrnIP;r`I2TNrK>D&;_Br71RrPLjq!f2jL2PR5=y zrX>R2&c+Q0&*P>zBuf3uu5o2Lo|GK6rSDF{kN~Z9V1}zfV=L-Fu@c)1*?bn3fE>+k zVty-M$skuR!_oJ;iG-S4NKbJ_lv+>rFDX%K`EqNIbovHIUo4t>De~kHt z`GWl5d~zlK#%IfzQr)vH>j;G~IHaj|xI92fHG(f#b=~aux!Rcc2A#iov_;9&F zkU{vCmeal(rli+C*8FS(H*Un3K#4)H0dAF4l09S-&kSd5)ybuX{n69Z-^xJ*uoFO{ z_}zSGJ2!~#-u!-;Bjv#XUVd#jD30kd81K8&&GJipfmf5)qSA?%GeL|)S8O5(%w#8@ z4D$4sIt{N4t2kathzSlF)KI+-p2NulHV>xPeguVHUtK3KwBUMZ5n>em!76@8ajhqY z{kBP4_}nHcZw#>F-u&mJ{1XV-;Q=7*YL=3a+pf6B@NXzEJrx<|O_FKzE*05MC=cC= z=R7^)<8U5LK7urRu6lOOAFjqHUNjV_*9oOe9GQfQ>Prnpu+^mX zyQhuMAs_CR5R>*JDBsW0y>>r4;Z;My9DXqgI{YdX?tJQd^P1rJL24ssU!MW!V5|{s zzwZV_6a0B=m4-O&j+^BOOFGfL%h(BOQ==KZkzV4cf|~39h%DM-`Bea8g*VRL06)) zK!lCRsoYvkTN||Uu&#o`@@AM2-)GKZ0Ak$G1d*fGzu8kN5c{gbtyI#kxDuv_4f7W% z)<=AP8JND_&#v6SaKg|aIPXKi8YwgLXZaTL6}aqQqP{jYH{`-2jAjK4fRVeDEO{+u zalf_m>XkEnv@?Zd`9xUkF;DeGvxgWJQo0$-)RSIug>8rr(35FnF}8>@BkV6*ZUNax zXf*$)xAJVm|LX+QGKq$t4?Pqr+dO%O*MC)+bTyFIGWmi#d^JF>W4<@E$#Ool7gmQX zKs49AbAzH1e|7K#3=MDxs-bSHr$ugKV9*usK|{Ys41ICFBx}me*?0?$(3x}|BDg>Iec2QHOr^fbYk*2bz^k{C*>|cEaRy;IfJa` zP@Ck|V-Iu2CYBVFi(-EbK^eBB#zQ0+#+(rSFjfV$39vpGvSA-Ul90_S*Jp}mugZKR zMdfAA*@xp=WvGxq{82U@Fr}Iz%&(wV?1^YLOPZ68K+&bB2a)V@&Vogr)hq{X#-%?8 z&lp6H5>bmdP+in-ecC@BDP%BluhzjFo8H7ubqEU`yTf`DN?e~=!#7Eh*f z{H>MO11K>bvlroD0ndi?;(;l#hdjMJqsnaWEo(x*K5?bXDh9HUpsCU?!J&EIoZuV8 z&WRuWgyBDrzU!!%`ns)_+g0nQ`gc)usMXSMk>rRW(@1o)axv0*0S)VVs>|pR-r6|Q zg{Tl18_23XX3dLGp_~Q&(=?l@Gsg!Mxu-6W7{G@<)qRIEfe zgb+?PjDB`E$67x`Np;DWnxI7a()8wV)F&_^2;)l-&_}8wFwSvTX9Ds2XCq$|L-gb^ zV6D`Jw1w>n?Li`&Gy_9o$A6(+(Ntn(N}#UD)g=?h!_Jjdpu#FYN0!sPTxFR{!d^a7 z`m4&znnz+Cwk>mpc*ND1%ML6+uuY(Sg9ZHHzAQcC7;he14?BE*G=3m#fwApFh?1bt zi5N1k9}YsC0)gonc)KsBTLuq~7?E5}3aG6B!L#`L_rxU{+Mzt}y*4sDBr zu1F|3F1%FG`!!Qvdvv)4Uup{#K(j4m5=%)X*fvl458CT>)K?{+jq zL?V|<^~BWDPoh(WGo8giWUojBP@nea>IPnUTJQFT9+d7NoM-A&HwCd?e$RV_ zH>hI@P0rLy6(4y--mKv$s9g}-mZY|3S2`fjx?|~oXdWG1A3vI3f0vFu{CCUD4hicm zSW>BV^ie^x$*J>1yLLq}(=LdQiUHjZN${z3DIiFQum;SaHPPbwIN|jT;M>&{x*nxM z!&uY}UG>lHV1ic}jIk!{u0sN&TYgyy6^tiQisB9pA!VaA^h<_4{74kBM(tNw>;; z(Q2tP_WlQPV@w(0R;h-1 zthd?M@I=wX>m7@~F0MuuAZ{*+zWvDPH2cYsGQX{oNy-7TDZ0G5QUY$^5EWIN*VrjC z@}m&X%{%X0WzD@~$s5a+O>iLfRwMKhQt3q1sQrBJgem~I|0@`tEGkNikx();OQWVL zTGx3}33y@PxCTRjClnC8uO9Y>JGL&PX#`T_3nqH?tds8)88Z?Ku&FxUliqcmneD4? zSB;2P0P*Jm@7%7CY;x!4D?MJz`+!G${4_k3eqteDz<_XZ z%E{}=J<7YH4C_w-g*|SlLUtY;{r%jG4pDRdl#4L{i{IhNYqN0Wjq^Qj$lk{J@aA3H zgyI@I!C}mgxSEL zD#~z0TIQf+gTeIIgaW_7a;t9QpSGihdwSJMTq#_^Gi=4EWc=tn66|N#_>8QT83rne4#+#i^Vk0tmAgEk7;6>*LBPnk&7D3gmv8R17{uQv=ECI=jKuc(z+v= zzBW-;sq>}V$P_*d)pM$lzckOBS30d&19VuT&Wi;-r<(;B#Woc(T#MKESl}#yQIK#Tv5mJ=a1OfCb7C?#fH&#N_6uW^Ny-xqf1U2kTOL} z$I^BU%{ORg`QsuD6i&*dtz((pM-$$_4BwOHu{06RW0stblE3?GDwM_l2eYj~L;(T^ IfRpn=b}$PA$N&HU delta 16887 zcmb@tQ;;sc6E-+=#pwRd~Bx#^^ndY)9LJ4vNF zWnsYQp}_HS0NMaxp#PP6!T%$G?*9DW(o*>UmL9-YzMeKk|-G>{)|x%p~PFgYPx!wR+uN zx673k>ZJ0xC zJ4_tJwcblIB{fZ&`Pj%&Q#CWF4x`Mf6rzG9Ag+4>>t(5qpkgNA^s&*mncxK{%$D`c zCG&f-Vx7}wX}P~n*ZltDqCT&?mMf^RuJj`(sDC>TgSv-lugezpqoSd{yShWrehxfu z&tr-5{t z142h(Tko_Eqe;tW8JI3+Wp2q|%#CW|us(11b_u7Sa7+C&=c5j-1wu((V%35qEtRK% za=+W|@6~J8Xa)b)=Sdx(LL3}dCN@LE@@nuZ_w{$E!=QrIS{EG)pxhO44LppX67Kcm zS+B5?tk7ukoC_i#hcKczOH>GM7~=KqYEF08BR*@^*^ zBo1~T0Q=br&=ypmo_ZQE_;uTr*s;AP?Lzq3n3~$wGe$J)!Nn~z0PtUJ>uo4AySo3T zi+asrMhit2y+*N~wax%v5*l!J!*cLe_p$hE&+~QRH3gkG_RjZiTe8R527Re52@U%w z%$999;#{-&H;L|b~A^ZlX&e*9Fu`&6=UVt{cOVva^O?EXOE znGn}Q+8iiKM7&|J8ntAn`0?BH7b9<_Y>53~Z;U-`@kAV*wZL7%JmbRA)xBDUE!mc8 zC{xMWjaD4@HhTArIlg|oINYElGtma{ue|SEm zi1YWX_T_rGqE!ZR(J3ld5GUinhSSeY@d94f}E7bY9dIqrfJu>j2q%T+6PAvlg zj$8G+B{~h+nC{ffk{2+OM5gHs>T{f(4+(0&3hp$lF;BL`+pTbfdZd1Fhv$lRUN>Pa<@(uW2j_#Jxc)+y zz&P9NZiQAG&XTT)=Z<=Bi*JAJu#$`bneSY_2}di*1aYMNO}PRn9CuB4PkYg!vN9eW zSeoCwF9Z-3iHW}qC1EUrd6{^si)+=*m%P0EknTKU{7+i)-6N5C_RUY7VH9(DoG zS~CEx)Ow4TH-ccr1;AQ|BUUvA`&Sovio?5MPvm2;b^sJCPlEYeQm3Wk?C#8xgWD*- zG^WySV@;V9`xspVC-va?v|2Y`LoEp8GbCB|7g6%o>rb2EkEmWsqJy~50f(0 zA_moKh*k2P`OG2M!(7U%Ynw>Qt5A3wdbO1oX#!ShMSLy2Lc4_F3pfFQWrLa?X`|@4 zvxK~HA$;&2(LpUL5yDjWujzZas#rNPI*}>~6iQG8o4L^tvBIem3g-%ad}sMPZcj(w zdtn<;Y=^slFR&&dVr{S8&p`yP!I$aB&uV;~f(OpS$n>ZNweB+M*cZ|8sDn080)cqn z`sm&FVfygG?HfM%^`Qjd6MTCAR_wN4)@YicACnpZqYM?nmEI$>J+-tg+-Ii|l&Mtq z^t5D9o(|jPm3N{k?C&8>oY~-y=3~C{TAE`g(>KF$inU3}00UZRIYSqtYEU`0%(6iR zc&S4nbclYys%TmgFWpP#+T_3k-fGqX`%76J{h4vg=&<`2a4IjL8kANq?#z>>3Kt78C*&&#E#jz1EqBR0SU?|ib<=+7UXrZSc0zHZ45H(nL_T2Q|rAqy8xZ>zU zQkf#a7??vV4=E5mbU&u37;EVnwQSjbl~2Ufvt2F_EiP zZkt7+$zE1Sbg;~_Z?cJc^=SWn6zp1Yj6X1W7SPPLN^6|B&CXtZsC{1buCmv3OD}^I z)8w9-ri>qBhf>1BmBFrz&kKr=c4pL{&6Eg{AZ2`24!>I9Y;j2ycNs!8fO35y>6825 z;M9#ZJh=t%w0MqWe6nuTzVMp!Q?)$hI#D^y`Z?!-jfE*5=bml0k0!1%=$~^_0Hj!N zDcLR2ywOTm-vMifK9RoF*Vci+Rt=eU8pC#dbc6HhD`}_~zx<((6T+CafnRh)@(>6t zR_0y4xC*;^L-hj%=*LS7l!VAdwcmP9kP)aa+?D`zq@0Idd5#m~XwKc0>5DlJymOt# zM^m0UsnQm3?|J3hj}E0iwv(kTW8ZR1bsikazU?N8S;T#2mFeHx68+eZl`=_sPN~v- zbR>JToT#Reb{~_a`D%)GW!sZa!v9~M|1TQA|Gzw#kYjg;QEejoqibyPu~b^Opldhq zM4?XU1VS+GmD=?{6Y_)^pj101HimPj0iHbTfSApGXXYnv!`e~b)n~t3NU0?Y$1|)} zi`D&bGZ=S+9u$@^jp-puu|~_zbvE7tX}O%v83%DZdMfU@S62>_)o;19Y;s`@{@V9| zkClQ?7WxcTm!2S4BPVX&QG+Ke=vUWR;Gm4fmgA;bj1mbZYorpM@fy1H2a|~;NgHW; zfYX3jC_M72uQn%-G+MSD%7dpnz~m#?)hZ+`OXo1$FuQfs`NrL##&hj59fYB+ zCUq0#yLV|<{^B~aZMKbD*$^y@stk*4bDaI2?EY|L3o1g^-;(rhheIrW#)`XV5CI-+ zNt=YsX&5A~P}U%uFZ*(aJ@dDW!FSA`*M4v;w6e~HY@Yr1Pc_Em-+%O2V6x6MfX>O) zcg>eSamPZg4e}-`+#3T{Upr<9sWLVwKun%(6IwW*HOt)BY%80Sp}@u;UOcaR`4YJ` zDhgAwsVg+ADe1?{B|8mMtHI8{r2NG^qW!+_TfPGK8X{i-bWM;ZQI3`>vIG>BubJ|A zt%dI857d39^fY3tW`UATSoq{rfT>oG4#Psx?`*7;ymhrJXm(K>Vc}gvmg5s!7RwFQvSA!#65TY~ktV-94!nE{r(F z`tpKhTl5BwXv3jXexLJ=9SgVnvtGWi${+cKO1Mi`Tpn)*n}PD3K0gK=L3y;=3Z;9k zcAx65yoq6__Ppz(u+TL(fb*KVwe{$~<7YtGza^lK-yWG|9#~*OFc#e`YOruU*VD_wjJ0 z+o&PjK7l-Ec4G3DE$}g(YBZJ)x#FI|eMA2Aj?XJ7-7H@_xtNPu5{O=w=ADtPFD!Fc^B#@)s^yzO2xC%hVK4cC;-ZrTrYOh>@2V%Z zZ%!$rwqZ_oQEEDm0Aw0s`WNs~L);%^gEQ!KJ&`!1oTUkSn`kL>9Vin^`V9=J`x6;> zc$`9?lF>2f|I#7-%Ri&?Ngs-w<3Z`i)wEo2LSPw}R!L$KO?Ltn0**gKgaPvkH&eDZ zM8uuIe-SzMe3-4vB?`)%Xp***1N|>uo6BE$i~>>;SVNXlvKp z*4^Cb>hA2Q2hi0?!>qHQhskxeoXc993#ysf(I{+ov?~w$a>#ii|01&|yd}!< zs85ly0WJ>ZE~SegPE<}zSlIu%eFU}GjarzuSd|Ps^Tjlm6&EGjY+@?~9ny;!{I}V?uidc3 zb&BV(WwK+prD0!x&usIN7R(-sjCT&x5ay)b0CpA*u0_w9?U0|>SB%u4ijbT!wFXQ@5m`gb411R5eO-RY8XgN}YT}?+@Ua$J-pm&2gZR;RZVVjMXDFGL z0LOZmjty9dXbRNnc}e%?R3-z4kn)DrTb3{f0#KNkPFI84tLws;JU9GBc@x9*+K!p- zs+%!o}fTo($l0oK)bwC zyKQNRH?h#h&6C!d*_gUDXn4N~G$ST%vyfC#A0}($qjNTy2a^zMiMvt!LS}|f`?1wZ z&5(1k2BH)f#mWHUu$V*bJa)?x@Cg~?Xz(MPh6`I0>aI2B7ACE)%a1Dub`cL)^{g6Y z-gMDScrqr>0i2Ggc*1y9)Cr#-fKe~ChIU}0e#Xcb_?cKtFi`LNOvBbnYA5jaQZ-kG z!1alcs|nqs+gRR!VW$9gRcCdO6?7j7)-7A@gTbfK2LX8)JQ<<5*qba~GP31a0e(?4 zPH|^0p^Gut9g{?knmuMwUi`fi!AhAQEi*!OGSz9?|C#o73@W>bvZ?bd! zG#63u8T|2ER#~#JB~FI&v^SA-nnoH30ulSeVYfe5R+T2RLTN>HTP1rOJ=S3(&09)8 zbDZspHDUxiIC-jZ@$jZJyRP(4Sa&w&@ADRCsu#VYl~!zU@TsE322Y$n#YFZvM;vk7 z7>DA4L}?m&^En;btYAGZz^>P2a~9?_{dr)m>VG&+jy@~@4_uCM`RPcS*A1>TFMH3@ zeaqo5WbXG#?Koz7=u7L9^bihAAgU=op&H9534udD$KeCHf-DNd%#@%H~|AQyA`|5xiD&Iw*nW2 zg5mkt8B0kc6FJd;fT<J-|K@)hW zUq=l22s#J9I3};fwH+lh=n3IdSY51BJf50s-A!mWw-{7DfepCf_@vq)Yfg;TsY=eB zhw>|P65!Qd+zKP9SPcQ5i;y{!BQ^$vS(q1lI<|S-f!M=L(Q*ea_A{Lk_J!e!L_AiP z03X-DU~m-jVKq50s4cxGxnSI5a3d9eGa;m?rN_Nh{pi|+0hhyx^Xy?J?`1PKg0CXo ztkaqOD!_15YPX!D*3?F}ExYQ1B7WgD*EXzh0D$g@dRO*Z6^Du(Vx>~KO!wE>95@c% zE_}c#6>f1d{UDg(b%34*09ZTRqE^ac3f7~Y9d_2;jQp6QMy60Qlokcs2wd8v$!LdX z95%5Nw>7NFmBnIa<2tScx(LgKiakcE1wxccQpAGi@&ZC&{yVy(j;q8ZYkqu(SZeix z18^6@gT=XG&5Y@HEYPD6qj~Ble-sCLyb|J9*mh{ z!w3^!3)U_+8LIar7fF{M)w5&f#lWT20rV&356Z^yHaX$&wY|Y|wIu7*se`~bA-#E| z#32gT;$EbjyWPT(nBn}(AgiPS=aeEgpva0oq!~0to$q3#Tkzh9{eSD+D479Jd7g>!<|OYv@abg1VTA43>_ zyET!lZN4YRfD0Q`)eY;DsfHBm%yN`WA&L?)f{JXkvB>kqG2v7DK3sLC zNMq9#y^IF7GZ)okfAT+fVHSs20PCO$2F1rh9gqoMI{$=){AxPTj5)Wg$Uu_C-sBRI)49j&v;n<&%OleQ2*L=)dH0CvBQa0&{4aOCWblThy;> z1V;X4+8SWVm1K`~Mz;*P(rB&5-3&7p(y!aCZ*5Ev0@r&t3i2I`HGQA{0P$ga^e~@7 zMz9BtK#Du5Sp|o+Z6vzFcKJqGXf#|p6S>H<)3gFAFDk48#u`*b;~^3MhbbRWBdOmm zYCS68&};*4ky?7BIUzDOHmsr-vyVag!}}Msk_hjh3`2DyT4-f?jLJ)bF3rt;j>5%X zcX7#M%({%utsoFvw1a>2fSRhE4qe?{%TZ+oRavupR0lXuLPN@$G`aR>Z28v~%I%Gl zjhpL~>S zwZZkVGJ;rTbt@X}w9RE~fPVyLpI3=EoL3AM z19Ag763*P8>{z@D|0u((219c45%YUk2R(#6w?X`i_DB#p*}wz7&>aK@63on?HKQX6 ztBeE~a;|WljjKMk0>}C82<@B`pd?kXlA7eGi&Rukyner*8z@a>m0!#)qof*j_jllo z+l9c;B1~zYrUkQ0fDr+!jFFm!A!#DrOGt?v_F-f!>M$%#;U3A#JOZj8I+Cg~h?o;PSQ+3@Rj9OT5h_t60 z$xh&|g#axQVgm?xeZHam5mO4tfWP3V{55SB-hrJ zm^!aYfTR!hCKo+q`6kT1u#q*D^+ZBG3YPmLEt6>uVAh`)4?i>cM4(f7ttb+Yghucs zG6Jy;CgueQz%X7--(|iyx)?yg)6EaG)75WhN_MA z&0S`bER&;w0+k%_(RVRnrMm1!vw2CCyo=jJE|L-dkI=4?53vdM=5n;oNcAOm0uqUx z_-Wc3@En#BQcdq|x>#C%@WuXJ`*zDh#Q8nbZ`s!gO0XVUw~hglHKjc~c6EYSNci4N_sJ^4At8rC3-mne};t1V&D$vlBOU;?u8)|>8b{i8e-hZ z03*AXTVku&nrTNs8Oh-Ur!XYS$d~y<2iC+5sPXSibtU2gN|mGycP{tUx6VWROXz9f z%k`8XUB=I=Wu}7qVt&(~wAe2U6&8*xX$Bn-?!wVsu$$e8#v5fU_h>JSZzUvpRrKaa z^LZ$Wr+YxW*f!)w;CQ#h3sA<#UI-xL05YaCP1>h1+EkF}nrlIZW#D2OtfV2sQJV{j z1T8lalSY3^LexF*f+2HjoiLKE7*;V&&?o$9g=7w0Gdz%^(Po`>6&nH9qATk)Vn)n7 zgb>H8p2)apkxNxE=lG?pnH@QeQ}me8Ms7&u0q|&zH3AmKKGs}#FAeYjh2B_dK=2?( z>f54>f=Sd5y@_Sm<)}$>I}eEY|PMK*TW4s&(QR z>xu|e3sbDz%|A&(+0tl3bj24ELuU*{@(-|8Rf$CE{subz7Zx+IXgd2C(|9v88(*fe zcsb7Fo$xMZN@J7sephmNb_``0!1D_Xk@(gq>9RAW8K#}hd3>3?uNHGMoK#nEuYV;3lqdArbdI~757(bXG*5&JK z=@pabbzCa~>+pO?UQm{jx1;R)X3Ihu+3J?pPQ13V1$?^!Mm3M)O52uy06PvCXgPPm zqZ1^|pusLN9p1H?>|f060ZiP{bE6t4adtNoOG4a;2e$QSw;RVUwj0SOrcD8NKGSWO zzRUftHg7?UVgGM|Et#EY6ppJt93~KkxiYE2NZ@JO}Ho?2^sG1ni2I50|cD}J5%c9JqQ8& z-{_@xI&ZZ57uiR#|gCchf?2T;g#LkdNt5{rW)OGpx}|4UE%(5Bqei^eq`5oecM7o{%$uK1lj&|MS2PCn-h4xJm zj#{}T#=onvopwRqB_ZA`x%4;2gbeorx~>R|cSJAzmO$S5(`?qklsukiev|3E&j>{U zqG`Xe5D&8IbaDw~ULYX@w?C(}Gk;y<3xV? zO?(IB{T?hzx#%X2Rh|C_L^Hb)UdcrG=NAd#h#R+P>AwS7Xg`f7-rhOhNVsVII~wAl zc1D}4SVT$Loj<3T*&$vi-{G8_Ctm-Skjy>cOCNviHodWZ)K>Q{Xnm`<+lHw4YQgXN zH;wja_rI}#Qj1Y>o_Jvhs_Ht8(B6{(Tn>o@#DrpbFT#JW@k+M~6Td|e4@qR&1srMM zzpUB?|KmkDD?^2L=ZR;>J^EdKAkT8}NrQN36wT_ih)@2GAecD$IfPg0!cORT^&srs z6@<<)LaX&67xl*TD3OAs#@eBrFeq+94j)a~n;6`L%XL5_dle*Bc<GB>GJ6Gr)w1|C&%#NaPTmCte+| zXfK&>;MJS3cT7;~k{th#z>~1|RZ|*Jx@Ma&`}!-AI)ev6^-RBV{$IyGhxkBcsV7Z@ zBLY5SXN-S>QcuJD8PmSgg3xl7yT2h251bHGrfL7#3gSUmp29he>!bbOe^#IB{4W%A z9e$SN&53q@lS7h`p=NHsGyYp?-?R`^7;>#I3_muQ_B}$;#9}u7>wvF&3P2J^F{{t) ztf?b<^8Y4INB<>+-tYncUyP@1^`2rh#>@Zb5c2ge|DFYY-dnY^@4bG#dy|LTAD_{c ztdxslzg|PH6~?a}+M%upt8zX}sZdb(StRFMB=T*dNI&HiS_@sX#wiXGWD}Et8q4N& zid@*OK|(jT7P%>Ohuq--tLsz}(ROPF>@oln=zzy;U*4=VtYDHD8E!>FE{aPeS>`i1 z#+;yYO%z1@oyGf&D(+iUp3nzIpI+n}I0RQ(^0W;pi{lM8@O4IS9Fi!liospZm-ZNY zF1J%c5uau~x+>2=(5gz0y*ux_=$u0r!gn=xo6CeF+p1`FaY1{)uN{z+aC9KTVvr*} zKbzDVX=T7R_LWMlNJ>UpE8{|BKCM;a>2ws$r6RS|FkP2sZc;vnxBSmCW=8NB-+IIl z?8Z5RIKl6k>vAASu)=WC``WXdK+TS@?kWVS2nI>Q*dTN0UMCA}za$igiv01_Y&UA3Fjw0RzXA_D&YwdJO z6zUUtik^MddlDacHHiF=*XYW_$x4k^KtVn46~VO5YEn7C5{p8qyT@N}mnP?>5kxh_ zz&u4z?3dH5+HJsBWGZ1;Y0PTvs4K4$%bld~l`AHO!t6p=B-Q#^4n@3KU(cFg*eQ3XUH1H3b&f*Z~_1#{W`LKS)SYAhhk>{A{`bLYCY8JqCBdw z3Qu78kx$5To$pw+qQtT)WM}unVzFpRvW7vNN)icnw41Y8$aDx_ARUeREYL!zZ*MSO ztDe^}Sn#}3*0WFq;$jLi$1Ms&+Vso{IA~=Q7IHU0T%Roqvcc(3QA5#cEH(rPMUCVW z-{1t5v|&taldE%yahZ{A{<>{n-vAeBql4Cwodq?L$WS+q@%*(#Tv8?FLf{i^B9U2H z4M+l3ahk;RUYR2egG))319G@@(SwEgraNK6cm}07ovK_T$aH5g_R5@%RnW1)*0ges zMam1Hex_o@1FkWo?ljwl&m^iZ-8`*tyGTh0o?On{hy=h)V$&srK*f!Xv9CqqqN-J?0l!g#t_A>h_^4kia ztSCC89T3cti`-G~_-IP+wATSs9cM|kLc?H5cjkU2-Lwql76@0cjt+BK2>N3xC0 zHrEAWm)Rt8$F&h2qxWX_U`8zyX7aPK-BM>`sykSW$jVkhLY2jn>_Wm*@pB~3lJ+;L^U)qYVI}7i0XLQ`e2ZFyeO+P?_r$nmR&8Us|zSHwYrqPz6=8`DNpZgZY z(@1}#Hpzd~e!e`fDaGwAVF0Jl13kO{R7AC(c=Okr_}h*kZ#K-cWxGv+X!($YAtRQy z$9gc~QEZ#BB(5pVQ`y;!*~kEptw-w|LWu-yU=6-=V}W=$f-t3$2=N7+up)-*J)7A5 zxD#ORg%l4^Lbz^o_HzY7iJ@D5K5iAM8^om3>OmZvI3JUM*j2gA8ab>duJ|@al7~6^ z@5s~gr*;>ir|GP@NmKvMV8CBOW^l~p0c453P{<+h9B2*q?*uD1gK+@CrTHz?q;z@XieF_jqrLkr`-v?58r&-oPMI)? ztO%j>Lsy-eG}H;zpez~njQxoqYe*$au#w9lZrINOz}_8?OzSt>SDKI%DCO8kgsoB- z#uKK}X4bZIS(oU0v`c`o>0ZtjtG4{YO94>*`m=hxG0wedg^xA$E8s9T8T%DEXT5UU zDk@z8{%CyZ?ebUgHHbl8qj@1AYt#5y7l#-;T8$e9g()JP(Og#t|&Z6j2ty`q#r7c zc+of^+9hBBJsKwJU`R4(d}Amz47wYsQg=1zrE*|7Eq^O#hYy3Qz>xf(zGRo7sWa`Q z&5I?QM%?XY_?@cnTTXDpW+-IG?M|aNp%6t^Je&k=5vtP5W1k031~K@g&9uLPj9dGj zc)XWQO@}27LEvfYG{@8XoO+VonNbu|Je=&!4^oIeQ@G?!4;~N@j9Gswg9u3^xP?Zm#(iQ* z)Sn5VY+6Pl8*eC^C|vRf&J!V&kRgCo_u!33(}aV~9FneIq{=uNlgk?%XCNi&#T|kZ(5#7-eGoznZxG~VyCc$tzhzOF0NH9uFA(~RW8O8X2 z?e=&=fL&1falB#HJG$aH>Zu8CEeVFKLPIeFDJj`yKVmRf!9`k>n7?dydL}Iv*YqZY zvZVotrwY?Sk`l|~OMsGzt)f^oOHQbBNe@13Tzu)wCIiKAVVFT}rL~aax&ui;cw`!C zp>vvzo5Rdtqc5(<^hMqn`}f9KaaLEuA%n{dfZ|?z9qSNcpa2RLRrLXtOVK zyjobg$Y?Ti$>h`$sqQ2co?e4vH_Datv|8&?hryMZ7;j8Sv5uS(-=agIuk3qtb-2yY3Nf^|3iKN7o(8(wnnmDAxG1bK;kyP%S@1j}H z1WPSLyF(jPZZR{F&mxoJ{RiSjwrR1>LMijmmO~?!YeOxvK}O|XDkcIbH*#@54$>lw zFezh~O08ijqgKsS{OoPbZlrETrUx@pz#%8|FKB1f%?6ajbTWAn;gj}fMj))Pn0*OK&=vJ7zH$hb&IEZb% zaKP8B{k^AI7g+dT<0uf!+PqIH)T%0Bnv9#jOKJUBL{5fDZWJU`&7vzIhpYyn)*ws6 znp-XcX=@3S2$~V;jiCi#&@CSh40Hz`Ab?7w72gwK4(Ni&xU2r%PjVFJ@!% zQ#hA;PgP*kOZ7m_D&cSV>)FKY#%E8@#FR<5r&eRbSbe0kAX>5STC<*q>Ui^SnL1u) z`e}^X;wJBUEXBscp~fUD)tQg#GTU-up4j0bdn-LoONmd-X=eEB+K2R&3&SMSTr+#) z#CBT)B2!w?IXw)plX#A8OPbN1$LeKe{{3GB&t2s6Olfu;b=-;ZVics3U|7ip zbs(0@(_84mP+&`4Q-9t|;JA1s%;#XjiGUf7%Ub$U1Jl zBSuR_lCXlR!{W?{F(lK*;NZ5j-KGuC)pM*cPX?&c8;1cLu_$V?)vFGgp4GI$pMp^< zP(}wNqv6>PVZh_hE9<*QPE-g(gwvd>S(b!Yzq!n2 zg`Vopt2_(X?C9n->&rnWNS{EPwxL z3%A;^#BmdNP;!KlVa~iW{wq|!eYr4VZi5ExQf*I>go}qvVlU}OHXe3x1 zlOEs4|K)|UjLFul$SDYw9gk>`p&Dl+B&9>6F&dPk3{nIlBvP=Cgdc@s8XZVblsXH; z5;+#(4C#Y?)zmO8E}eD%Gt;& z>HTCMS7uqR*~Uq8uxQZ~hUBj}W0as; z%OvBBd_Eq9Efbo>9%fGbP$_EHSJ0k&B^(w-RE!z8<*Mxsj*$Gp*RheOIqkOOBb))fN{vT56-a^}9cD44}a{ z>(ipdm?R|O&USHa@nVQUPdZegXOTlgE*kPjgoTZsDzFhqnf)m`mMvc9X&9nLj=PSZ zLS@U`IETp?M&NyrX~%#QH}Xqvd)Apy$z!o{<@V?Z4jg-|?Sc%Mg~w#@kyZfYLAGnG zqeviT(Wz9u?ePio$wM?x3$}TXlT#8j~0xAv~+NjlmtBXI%|& zyY(w+RJKIuA1{d&LjiM&bt*u0LTy9$qbY^4|-Y=cW z!SaoyQMibVlGj+8d6K0{mI2$2bgoYw9br&~(|?ImZIIg~juQ!X;OziNyG6d^2%NSd z%42$*XlJAbqD{|6?qx)#f6U`}gFeA)U)SIu&YIf>SQC1d5@eH{xt=Ds1!`{Udcux`5$7%v<7m2POlYn z=25mv);_5aTM>^ru*sUZjzs!w21`OcR;C6{S8}O{wrtbBnCC%DrbUqZ!(fc^E3Ld! zS|=026N=v{J+7%8s5>8%F1?njiHUO0e-$oO)Pq^-#p42issK(yQmKsqsYD)nVi%U7 ziy}-!|FmJ>rNP|zp#OP26fq`RjuJig@)A>$K4Y7%n$xCZY{}S4q<&QAnqM?WTuEHe zkAsBa*?L*nxJl(;?a;QFc^R{euo2{*4FK3>ay;r)MH5tZ~J%+p75lhYVC! z8;uF-{SB4R@IJ!04?RtmD_ocx_|)4yY$_~v)<^<3ysYIp?NZme5=wsiU#DE4+=Udd zE4p7|j-$fx=lK1&Y|#YS^gKfmIH^?W*DO=6eNs(851OflO0?kRnMya6kPD3?kCL{z+W%@xHyB2D8~v9|rUo%uG+|k75{}z1 z9CEDKQ|TlJzrbu0YM*-Be@oW+uAtR1+6}PEfZt^O6M1fzQ5amne#!1`-r|6ss#W_Z zG<8x5$y>G%rcd)z(tmsyM;O-=nTDS&BsqHp$9oToqhL3_EKUp}y74vgoAEC?5x$MT za$n)R>Qp`d2WEPN%R@dT{{Yi*>0 zYo()B=jh?2hQ$ZHIGw(jtoi@)Xe|MZ7zRrXLpL4@hqb}S;{Jz3S6DTw!2S9^mf^!P z-zJYq|5e7N8GfxU(WFL}5b{!J=(&^QGp{eVLA{QYjDslY9>NF^6mB zgKv>wl+T3^gNyeC%Urf{sV0waCiFsGZvl-Sm!`+y4=nwaS24|sT_A_!pHB9}At-8t z)pH3U-V$ViK$(A2+TcEXs(-j$aA*eKaIestQ7G!TbhwDZlvhDa z*oIe7oH$(n>~X8Yzw`wF#@j)?SsmeVu^1uR7f)s$!quy|((ci;mUNBXS2sTQd9nJ+ zLxKbgeJLkIuM4xO-S;7JzRZfvl5t7|-0go{N$eS%#W=-9OWxD9@Aiut6#C^$ zpte8XSNHF6=x~IU$FuLUf=wz|sS3dMwU6n08b+xA*orSkiXFs^k;G&7 zsG<&;;-NF+R7}fZANZ2o;LnMs@&a1;!xwY|<(7ed6zxU3*m>bfJ;l6}0}B?Wy9-QY zyMAyCZ5_-!G4(9OlcP#cAm1rp{te>}RQ;wjM9Fr-3M!9K63PU{8ona&_Kyw{lcl-} z_yG-E9IaUI$o;bbufHKKsw9KzBFYm{yhfNd__cM-A&NBRkhz^D$GY$b=&L~C!uCy*vbt@UQXdZ+y)v+jUk z$CE-YBYO-xJ7?KtyOq{mPn{}o~ZIapCeAzA?qGJ zb|K4vq&PJwx;n!&FgZRg<%n7+WLIUp0JC1KmZHUBSMG1R9siQvVVv$K_9;6Kl9O-r z`NXmdlZL5M%H=GSl)|KpxV^r^k3#+GHHdC5`(92_iBf6`TWeyp(5`5SV&!65Ct}s5 zH^P+UDFsFVd1WxTWPP+~tTi{Hn3X8PgW4Q!D3hcH4rq`ef$p7eSDrwito&X>;8~hp zTkX@nMYEa0C~3<3lqOHMPc3zj;MxWJ$Ah5?dGlkn zE-LhGpKD=#t)9@y6gP}z_?{0rFsr2|B{)us^kdn7{x{6YB6B;b9N6`V|zNNVEi+S1VDh)hbQS*t?;Cgx>`gi6meH>a+f&EATCWy);6O-LM;gnm0z zeS?MRU=p97vKOTu2@Bx^-ujn?+naKmv^+BL;#-2O$QZQ?qs}hZ)_sFHEUV=rzP^Y1U zzmpRTiJXx?#AF&6sKPV;Dl9<|g!mC8rWy?@7DB=+%`~VXE zSk9pPIOmKi0Bra(fG%&J8%@U-3Gba_sE*gp5L2ISVHmY4b(=G)U+x2E^8=pPvY~!9 zp&pl4_FYAeJ@}>q+P-5+kP9mJ2#a-9*%{M6FWGl131knO6(rV*m75${vujt#x{(U- z#-Tt?wPK%G7us|i1nFkL{^-)eLO_cuEcfV})1UjA`#^ymNWy~=Q>#XC5}FPCXu$)o zy_hS9--fyF^uV!Ryz-*{xv*et=YRI(9@B#J3a`kl!*$^x?T_eLEfVeFSHCWTHqhCK ze!f1L50%ktrD#aJ*L(_hC7~;<9#IFBICpPi<#~DU6Y+(0_c(|DJH<<yG$dj=N1uM5HW)E0ercfq?)DNDQCzgCZ5$@x_?|OBgQdV%AE{2ONp>{P+q<~Kc7gDlpcv7 zwE>_?U98+e1nOa^-ls~%D+`RJqzQ^-Ksy=gqUg~36k{4GD|8|Cj}Pc~$&U|n@rU{N z!$N!+5yny8z)!GYj75G#K=)Gr_<%m3{OB=os5AEDXwcsr5&Fqdp`RQX`U!NzuwsCa z3;HpspS8vz8il@+$(a57=M-p;3hGGy{9zmGBodc2+t7DTux1k8*-z2r05{*60sahLj^~9=eJ?W_n z8nJF^D=sLCqKFW;C{Y87sUo+}^L;b_o&PTX;?iT6IllkA^MB4e?=~~b(PP3HV+O{nEw-+A{F*0e=#F z8}Kbcn+Yt6>s;goYH7O{)GTvslmlAuNE;sdUj^Sa;LE|s1U$-p4w`s77}P^w9HYIB z;TWjiw+5vrV**A zwj;WAq$5Z|xni4ipr_vPF;T zv3+ct0)KD%jK$D>meIvTZ%j)6f3Sb~QV3Q`ZbnEOwPptOV z7S?K^H@CO#h*KQeZiFKHq*umISV~KO{~PqG;(wEg!N()H|8eR6h*p-iqJ2D)`!A*c zBR);me>}E)_!ywSD@R0I{+&;6%o~yRcnccWhbF#NEoduR&|Yjodo!U8$jhC_alSaB zYe)7oKOW{Qi~BO3(@Tnf(_Zkr-t)hqx4Spdqx*>wpU+O>S9`;ho>dD*=a54Ds+F1L z(0_a%Vp8WTzaIB?#kg8o$biRa8@rUR93KBxD+dO7Ts6D#sq?->9=6rEp7vQDSJQ6t zI0keIrMhb6LF93rZIUO?p^S}u(}R7D^0W^bUGg5F?KK*a zuJ}EKJg-z7;ga=NYOKemT8aMd+8|G!#fd!qeU^4#=VNq(JY!5|=(10Lm$#5-=1A>} z@oSV<=c&g}e|xi!eP+o=HrUrF&!-!nO*(lZ>oIgg4czziB@3Dazd6o4gpm5e@RTq&*NQ)m1Bx zA@8L=$cy%E-yn~7Oa1R!FYL8ixF~n?gE-`q6TR$POWr82aeki({_^GOCeL+R=dD)S z*=Me+f90wb&I!oF=A^naQk9!>mZZxr&3X*zEXPVI~SZr8vk&x%Bz@#EUp zt9|4ZOFp!Py!3lSF0K8tAM&`8cJqVpK>k!>pZ=O3ddpYgNi^q9)lHuMnmymQS@09Zm6b4e<1u7gE^2JXKh79}ClYYk!;%pP0=p zy{(s#g}5yDm7`H!+2!AAg}v)jd&}>eF)ewkm7PnZNnS~gf2$SFi$2-*Y9BesQtbVn z>_c2lJ9_iilce$*`J7whRd!b^;b}YfX(-iO_Q$`~3Ud4zqVxaxVoa>7m1~&~^M9-T zR_Cl%{CSPiw4NmngKn)ne}8A<+tt~augs_B*n$ndIKPd2_Oq6{_>@QK)jslQ@%X