為adder_8b指定引腳

This commit is contained in:
juzeon 2022-03-07 11:29:29 +08:00
父節點 41d662cb91
當前提交 aea68d0d7a
共有 50 個檔案被更改,包括 633 行新增534 行删除

查看文件

@ -6,6 +6,14 @@
8位加法计算器。
```
K0~K7: A0~A7
K8~K15: B0~B7
K16: CI
LR0~LR7: S0~S7
LR8: CO
```
### double_selector_8b
8位数据选择器(二选一)。

查看文件

@ -1,5 +1,5 @@
Assembler report for adder_8b
Mon Mar 07 10:22:24 2022
Mon Mar 07 11:28:58 2022
Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
@ -38,7 +38,7 @@ applicable agreement for further details.
+---------------------------------------------------------------+
; Assembler Summary ;
+-----------------------+---------------------------------------+
; Assembler Status ; Successful - Mon Mar 07 10:22:24 2022 ;
; Assembler Status ; Successful - Mon Mar 07 11:28:58 2022 ;
; Revision Name ; adder_8b ;
; Top-level Entity Name ; adder_8b ;
; Family ; Cyclone II ;
@ -93,7 +93,7 @@ applicable agreement for further details.
+----------------+----------------------------------------------------+
; Device ; EP2C8Q208C8 ;
; JTAG usercode ; 0xFFFFFFFF ;
; Checksum ; 0x000C8655 ;
; Checksum ; 0x000C3C8E ;
+----------------+----------------------------------------------------+
@ -104,7 +104,7 @@ applicable agreement for further details.
+--------------------+------------------------------------------------+
; Device ; EPCS4 ;
; JTAG usercode ; 0x00000000 ;
; Checksum ; 0x06F061B0 ;
; Checksum ; 0x06EFBA32 ;
; Compression Ratio ; 3 ;
+--------------------+------------------------------------------------+
@ -115,14 +115,14 @@ applicable agreement for further details.
Info: *******************************************************************
Info: Running Quartus II Assembler
Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
Info: Processing started: Mon Mar 07 10:22:24 2022
Info: Processing started: Mon Mar 07 11:28:58 2022
Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b
Info: Writing out detailed assembly data for power analysis
Info: Assembler is generating device programming files
Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled
Info: Quartus II Assembler was successful. 0 errors, 0 warnings
Info: Peak virtual memory: 242 megabytes
Info: Processing ended: Mon Mar 07 10:22:24 2022
Info: Processing ended: Mon Mar 07 11:28:58 2022
Info: Elapsed time: 00:00:00
Info: Total CPU time (on all processors): 00:00:00

查看文件

@ -1 +1 @@
Mon Mar 07 10:22:26 2022
Mon Mar 07 11:29:00 2022

12
adder_8b/adder_8b.dpf Normal file
查看文件

@ -0,0 +1,12 @@
<?xml version="1.0" encoding="UTF-8"?>
<pin_planner>
<pin_info>
</pin_info>
<buses>
</buses>
<group_file_association>
</group_file_association>
<pin_planner_file_specifies>
</pin_planner_file_specifies>
</pin_planner>

查看文件

@ -1,5 +1,5 @@
Fitter report for adder_8b
Mon Mar 07 10:22:23 2022
Mon Mar 07 11:28:57 2022
Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
@ -63,7 +63,7 @@ applicable agreement for further details.
+-----------------------------------------------------------------------------------+
; Fitter Summary ;
+------------------------------------+----------------------------------------------+
; Fitter Status ; Successful - Mon Mar 07 10:22:23 2022 ;
; Fitter Status ; Successful - Mon Mar 07 11:28:57 2022 ;
; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ;
; Revision Name ; adder_8b ;
; Top-level Entity Name ; adder_8b ;
@ -91,6 +91,7 @@ applicable agreement for further details.
; Minimum Core Junction Temperature ; 0 ; ;
; Maximum Core Junction Temperature ; 85 ; ;
; Fit Attempts to Skip ; 0 ; 0.0 ;
; Device I/O Standard ; 3.3-V LVTTL ; ;
; Use smart compilation ; Off ; Off ;
; Use TimeQuest Timing Analyzer ; Off ; Off ;
; Router Timing Optimization Level ; Normal ; Normal ;
@ -216,7 +217,7 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin.
; User inserted logic elements ; 0 ;
; Virtual pins ; 0 ;
; I/O pins ; 26 / 138 ( 19 % ) ;
; -- Clock pins ; 2 / 4 ( 50 % ) ;
; -- Clock pins ; 1 / 4 ( 25 % ) ;
; Global signals ; 0 ;
; M4Ks ; 0 / 36 ( 0 % ) ;
; Total block memory bits ; 0 / 165,888 ( 0 % ) ;
@ -245,23 +246,23 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin.
+------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ;
+------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
; A0 ; 24 ; 1 ; 0 ; 9 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; A1 ; 57 ; 4 ; 1 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; A2 ; 23 ; 1 ; 0 ; 9 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; A3 ; 40 ; 1 ; 0 ; 5 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; A4 ; 41 ; 1 ; 0 ; 4 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; A5 ; 150 ; 3 ; 34 ; 16 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; A6 ; 13 ; 1 ; 0 ; 16 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; A7 ; 5 ; 1 ; 0 ; 17 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; B0 ; 28 ; 1 ; 0 ; 9 ; 3 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; B1 ; 60 ; 4 ; 3 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; B2 ; 30 ; 1 ; 0 ; 8 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; B3 ; 39 ; 1 ; 0 ; 5 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; B4 ; 207 ; 2 ; 1 ; 19 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; B5 ; 14 ; 1 ; 0 ; 14 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; B6 ; 10 ; 1 ; 0 ; 17 ; 3 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; B7 ; 11 ; 1 ; 0 ; 16 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; CI ; 27 ; 1 ; 0 ; 9 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ;
; A0 ; 77 ; 4 ; 18 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; A1 ; 80 ; 4 ; 23 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; A2 ; 81 ; 4 ; 23 ; 0 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; A3 ; 82 ; 4 ; 23 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; A4 ; 84 ; 4 ; 25 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; A5 ; 86 ; 4 ; 25 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; A6 ; 87 ; 4 ; 25 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; A7 ; 88 ; 4 ; 25 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; B0 ; 67 ; 4 ; 9 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; B1 ; 68 ; 4 ; 12 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; B2 ; 69 ; 4 ; 12 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; B3 ; 70 ; 4 ; 14 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; B4 ; 72 ; 4 ; 16 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; B5 ; 74 ; 4 ; 16 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; B6 ; 75 ; 4 ; 16 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; B7 ; 76 ; 4 ; 18 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
; CI ; 23 ; 1 ; 0 ; 9 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ;
+------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
@ -270,15 +271,15 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin.
+------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+
; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ;
+------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+
; CO ; 58 ; 4 ; 1 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ;
; S0 ; 102 ; 4 ; 32 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ;
; S1 ; 34 ; 1 ; 0 ; 7 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ;
; S2 ; 118 ; 3 ; 34 ; 7 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ;
; S3 ; 31 ; 1 ; 0 ; 8 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ;
; S4 ; 37 ; 1 ; 0 ; 6 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ;
; S5 ; 35 ; 1 ; 0 ; 7 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ;
; S6 ; 12 ; 1 ; 0 ; 16 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ;
; S7 ; 6 ; 1 ; 0 ; 17 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ;
; CO ; 151 ; 3 ; 34 ; 17 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ;
; S0 ; 142 ; 3 ; 34 ; 12 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ;
; S1 ; 143 ; 3 ; 34 ; 13 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ;
; S2 ; 144 ; 3 ; 34 ; 13 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ;
; S3 ; 145 ; 3 ; 34 ; 14 ; 4 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ;
; S4 ; 146 ; 3 ; 34 ; 15 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ;
; S5 ; 147 ; 3 ; 34 ; 15 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ;
; S6 ; 149 ; 3 ; 34 ; 16 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ;
; S7 ; 150 ; 3 ; 34 ; 16 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ;
+------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+
@ -287,10 +288,10 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin.
+----------+------------------+---------------+--------------+
; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ;
+----------+------------------+---------------+--------------+
; 1 ; 21 / 32 ( 66 % ) ; 3.3V ; -- ;
; 2 ; 1 / 35 ( 3 % ) ; 3.3V ; -- ;
; 3 ; 3 / 35 ( 9 % ) ; 3.3V ; -- ;
; 4 ; 4 / 36 ( 11 % ) ; 3.3V ; -- ;
; 1 ; 3 / 32 ( 9 % ) ; 3.3V ; -- ;
; 2 ; 0 / 35 ( 0 % ) ; 3.3V ; -- ;
; 3 ; 10 / 35 ( 29 % ) ; 3.3V ; -- ;
; 4 ; 16 / 36 ( 44 % ) ; 3.3V ; -- ;
+----------+------------------+---------------+--------------+
@ -301,19 +302,19 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin.
+----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
; 1 ; 0 ; 1 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ;
; 2 ; 1 ; 1 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ;
; 3 ; 2 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 4 ; 3 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 5 ; 4 ; 1 ; A7 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 6 ; 5 ; 1 ; S7 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 3 ; 2 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 4 ; 3 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 5 ; 4 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 6 ; 5 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 7 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 8 ; 6 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 8 ; 6 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 10 ; 7 ; 1 ; B6 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 11 ; 8 ; 1 ; B7 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 12 ; 9 ; 1 ; S6 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 13 ; 10 ; 1 ; A6 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 14 ; 18 ; 1 ; B5 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 15 ; 19 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 10 ; 7 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 11 ; 8 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 12 ; 9 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 13 ; 10 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 14 ; 18 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 15 ; 19 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 16 ; 20 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ;
; 17 ; 21 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ;
; 18 ; 22 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ;
@ -321,32 +322,32 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin.
; 20 ; 24 ; 1 ; ^DATA0 ; input ; ; ; -- ; ; -- ; -- ;
; 21 ; 25 ; 1 ; ^DCLK ; ; ; ; -- ; ; -- ; -- ;
; 22 ; 26 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ;
; 23 ; 27 ; 1 ; A2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 24 ; 28 ; 1 ; A0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 23 ; 27 ; 1 ; CI ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 24 ; 28 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
; 25 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 26 ; 29 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ;
; 27 ; 30 ; 1 ; CI ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 28 ; 31 ; 1 ; B0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 27 ; 30 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
; 28 ; 31 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
; 29 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 30 ; 32 ; 1 ; B2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 31 ; 33 ; 1 ; S3 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 30 ; 32 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 31 ; 33 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 32 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
; 33 ; 35 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 34 ; 36 ; 1 ; S1 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 35 ; 37 ; 1 ; S5 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 33 ; 35 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 34 ; 36 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 35 ; 37 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 36 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 37 ; 39 ; 1 ; S4 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 37 ; 39 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 38 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 39 ; 43 ; 1 ; B3 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 40 ; 44 ; 1 ; A3 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 41 ; 45 ; 1 ; A4 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 39 ; 43 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 40 ; 44 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 41 ; 45 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 42 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 43 ; 48 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 44 ; 49 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 45 ; 50 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 46 ; 51 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 47 ; 52 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 48 ; 53 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 43 ; 48 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 44 ; 49 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 45 ; 50 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 46 ; 51 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 47 ; 52 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 48 ; 53 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 49 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 50 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ;
; 51 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ;
@ -354,69 +355,69 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin.
; 53 ; ; ; VCCA_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ;
; 54 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ;
; 55 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 56 ; 54 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 57 ; 55 ; 4 ; A1 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ;
; 58 ; 56 ; 4 ; CO ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ;
; 59 ; 57 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 60 ; 58 ; 4 ; B1 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ;
; 61 ; 59 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 56 ; 54 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 57 ; 55 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 58 ; 56 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 59 ; 57 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 60 ; 58 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 61 ; 59 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 62 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 63 ; 60 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 64 ; 61 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 63 ; 60 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 64 ; 61 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 65 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 66 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
; 67 ; 69 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 68 ; 70 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 69 ; 71 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 70 ; 74 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 67 ; 69 ; 4 ; B0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 68 ; 70 ; 4 ; B1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 69 ; 71 ; 4 ; B2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 70 ; 74 ; 4 ; B3 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 71 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 72 ; 75 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 72 ; 75 ; 4 ; B4 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 73 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 74 ; 76 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 75 ; 77 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 76 ; 78 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 77 ; 79 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 74 ; 76 ; 4 ; B5 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 75 ; 77 ; 4 ; B6 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 76 ; 78 ; 4 ; B7 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 77 ; 79 ; 4 ; A0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 78 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 79 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
; 80 ; 82 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 81 ; 83 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 82 ; 84 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 80 ; 82 ; 4 ; A1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 81 ; 83 ; 4 ; A2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 82 ; 84 ; 4 ; A3 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 83 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 84 ; 85 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 84 ; 85 ; 4 ; A4 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 85 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 86 ; 86 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 87 ; 87 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 88 ; 88 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 89 ; 89 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 90 ; 90 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 86 ; 86 ; 4 ; A5 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 87 ; 87 ; 4 ; A6 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 88 ; 88 ; 4 ; A7 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 89 ; 89 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 90 ; 90 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 91 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 92 ; 91 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 92 ; 91 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 93 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 94 ; 92 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 95 ; 93 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 96 ; 94 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 97 ; 95 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 94 ; 92 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 95 ; 93 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 96 ; 94 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 97 ; 95 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 98 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 99 ; 96 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 99 ; 96 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 100 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 101 ; 97 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 102 ; 98 ; 4 ; S0 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ;
; 103 ; 99 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 104 ; 100 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 105 ; 101 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 106 ; 102 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 107 ; 105 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 101 ; 97 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 102 ; 98 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 103 ; 99 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 104 ; 100 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 105 ; 101 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 106 ; 102 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 107 ; 105 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 108 ; 106 ; 3 ; ~LVDS54p/nCEO~ ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 109 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 110 ; 107 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 110 ; 107 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 111 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 112 ; 108 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 113 ; 109 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 114 ; 110 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 115 ; 112 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 116 ; 113 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 117 ; 114 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 118 ; 117 ; 3 ; S2 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 112 ; 108 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 113 ; 109 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 114 ; 110 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 115 ; 112 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 116 ; 113 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 117 ; 114 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 118 ; 117 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 119 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 120 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
; 121 ; 121 ; 3 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ;
@ -425,32 +426,32 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin.
; 124 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 125 ; 123 ; 3 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ;
; 126 ; 124 ; 3 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ;
; 127 ; 125 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 128 ; 126 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 127 ; 125 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 128 ; 126 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 129 ; 127 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
; 130 ; 128 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
; 131 ; 129 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
; 132 ; 130 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
; 133 ; 131 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 134 ; 132 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 135 ; 133 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 133 ; 131 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 134 ; 132 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 135 ; 133 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 136 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 137 ; 134 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 138 ; 135 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 139 ; 136 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 137 ; 134 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 138 ; 135 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 139 ; 136 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 141 ; 137 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 142 ; 138 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 143 ; 141 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 144 ; 142 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 145 ; 143 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 146 ; 149 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 147 ; 150 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 141 ; 137 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 142 ; 138 ; 3 ; S0 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 143 ; 141 ; 3 ; S1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 144 ; 142 ; 3 ; S2 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 145 ; 143 ; 3 ; S3 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 146 ; 149 ; 3 ; S4 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 147 ; 150 ; 3 ; S5 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 148 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 149 ; 151 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 150 ; 152 ; 3 ; A5 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ;
; 151 ; 153 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 152 ; 154 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ;
; 149 ; 151 ; 3 ; S6 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 150 ; 152 ; 3 ; S7 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 151 ; 153 ; 3 ; CO ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 152 ; 154 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ;
; 153 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 154 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ;
; 155 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ;
@ -458,55 +459,55 @@ The pin-out file can be found in D:/projects/quartus/adder_8b/adder_8b.pin.
; 157 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ;
; 158 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ;
; 159 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 160 ; 155 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 161 ; 156 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 162 ; 157 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 163 ; 158 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 164 ; 159 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 165 ; 160 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 160 ; 155 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 161 ; 156 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 162 ; 157 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 163 ; 158 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 164 ; 159 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 165 ; 160 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 166 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 167 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 168 ; 161 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 169 ; 162 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 170 ; 163 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 171 ; 164 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 168 ; 161 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 169 ; 162 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 170 ; 163 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 171 ; 164 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 172 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 173 ; 165 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 173 ; 165 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 174 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 175 ; 168 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 176 ; 169 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 175 ; 168 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 176 ; 169 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 177 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 178 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
; 179 ; 173 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 180 ; 174 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 181 ; 175 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 182 ; 176 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 179 ; 173 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 180 ; 174 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 181 ; 175 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 182 ; 176 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 183 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 184 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 185 ; 180 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 185 ; 180 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 186 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 187 ; 181 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 188 ; 182 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 189 ; 183 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 187 ; 181 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 188 ; 182 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 189 ; 183 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 190 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
; 191 ; 184 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 192 ; 185 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 193 ; 186 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 191 ; 184 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 192 ; 185 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 193 ; 186 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 194 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 195 ; 187 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 195 ; 187 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 196 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 197 ; 191 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 198 ; 192 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 199 ; 195 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 200 ; 196 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 201 ; 197 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 197 ; 191 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 198 ; 192 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 199 ; 195 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 200 ; 196 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 201 ; 197 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 202 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 203 ; 198 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 203 ; 198 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 204 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
; 205 ; 199 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 206 ; 200 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 207 ; 201 ; 2 ; B4 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ;
; 208 ; 202 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ;
; 205 ; 199 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 206 ; 200 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 207 ; 201 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
; 208 ; 202 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ;
+----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
Note: Pin directions (input, output or bidir) are based on device operating in user mode.
@ -592,10 +593,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
; A3 ; Input ; 6 ; 6 ; -- ; -- ;
; B3 ; Input ; 6 ; 6 ; -- ; -- ;
; A4 ; Input ; 6 ; 6 ; -- ; -- ;
; A2 ; Input ; 0 ; 0 ; -- ; -- ;
; A0 ; Input ; 0 ; 0 ; -- ; -- ;
; A2 ; Input ; 6 ; 6 ; -- ; -- ;
; A0 ; Input ; 6 ; 6 ; -- ; -- ;
; CI ; Input ; 0 ; 0 ; -- ; -- ;
; B0 ; Input ; 0 ; 0 ; -- ; -- ;
; B0 ; Input ; 6 ; 6 ; -- ; -- ;
; A1 ; Input ; 6 ; 6 ; -- ; -- ;
; B1 ; Input ; 6 ; 6 ; -- ; -- ;
; B2 ; Input ; 6 ; 6 ; -- ; -- ;
@ -622,17 +623,26 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
; - 7400:inst18|4~0 ; 0 ; 6 ;
; - 7486:inst20|4 ; 0 ; 6 ;
; B3 ; ; ;
; - 7400:inst23|4~8 ; 1 ; 6 ;
; - 7400:inst18|4~0 ; 1 ; 6 ;
; - 7486:inst20|4 ; 1 ; 6 ;
; - 7400:inst23|4~8 ; 0 ; 6 ;
; - 7400:inst18|4~0 ; 0 ; 6 ;
; - 7486:inst20|4 ; 0 ; 6 ;
; A4 ; ; ;
; - 7400:inst23|4~8 ; 0 ; 6 ;
; - 7400:inst23|4~9 ; 0 ; 6 ;
; - 7486:inst25|4~0 ; 0 ; 6 ;
; A2 ; ; ;
; - 7400:inst13|4~0 ; 0 ; 6 ;
; - 7400:inst13|4~1 ; 0 ; 6 ;
; - 7486:inst15|4~0 ; 0 ; 6 ;
; - 7400:inst23|4~10 ; 0 ; 6 ;
; A0 ; ; ;
; - 7400:inst3|4~0 ; 0 ; 6 ;
; - 7400:inst3|4~1 ; 0 ; 6 ;
; - 7486:inst5|4~0 ; 0 ; 6 ;
; CI ; ; ;
; B0 ; ; ;
; - 7400:inst3|4~1 ; 0 ; 6 ;
; - 7486:inst5|4~0 ; 0 ; 6 ;
; A1 ; ; ;
; - 7400:inst8|4~0 ; 0 ; 6 ;
; - 7486:inst10|4 ; 0 ; 6 ;
@ -640,9 +650,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
; - 7400:inst8|4~0 ; 0 ; 6 ;
; - 7486:inst10|4 ; 0 ; 6 ;
; B2 ; ; ;
; - 7400:inst13|4~1 ; 1 ; 6 ;
; - 7486:inst15|4~0 ; 1 ; 6 ;
; - 7400:inst23|4~10 ; 1 ; 6 ;
; - 7400:inst13|4~1 ; 0 ; 6 ;
; - 7486:inst15|4~0 ; 0 ; 6 ;
; - 7400:inst23|4~10 ; 0 ; 6 ;
; B4 ; ; ;
; - 7400:inst23|4~9 ; 0 ; 6 ;
; - 7486:inst25|4~0 ; 0 ; 6 ;
@ -659,8 +669,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
; - 7400:inst38|4~0 ; 0 ; 6 ;
; - 7486:inst40|4 ; 0 ; 6 ;
; B7 ; ; ;
; - 7400:inst38|4~0 ; 1 ; 6 ;
; - 7486:inst40|4 ; 1 ; 6 ;
; - 7400:inst38|4~0 ; 0 ; 6 ;
; - 7486:inst40|4 ; 0 ; 6 ;
+-------------------------+-------------------+---------+
@ -715,14 +725,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
+----------------------------+-----------------------+
; Interconnect Resource Type ; Usage ;
+----------------------------+-----------------------+
; Block interconnects ; 29 / 26,052 ( < 1 % ) ;
; C16 interconnects ; 2 / 1,156 ( < 1 % ) ;
; C4 interconnects ; 31 / 17,952 ( < 1 % ) ;
; Direct links ; 2 / 26,052 ( < 1 % ) ;
; Block interconnects ; 27 / 26,052 ( < 1 % ) ;
; C16 interconnects ; 7 / 1,156 ( < 1 % ) ;
; C4 interconnects ; 37 / 17,952 ( < 1 % ) ;
; Direct links ; 0 / 26,052 ( 0 % ) ;
; Global clocks ; 0 / 8 ( 0 % ) ;
; Local interconnects ; 10 / 8,256 ( < 1 % ) ;
; R24 interconnects ; 3 / 1,020 ( < 1 % ) ;
; R4 interconnects ; 18 / 22,440 ( < 1 % ) ;
; Local interconnects ; 11 / 8,256 ( < 1 % ) ;
; R24 interconnects ; 5 / 1,020 ( < 1 % ) ;
; R4 interconnects ; 47 / 22,440 ( < 1 % ) ;
+----------------------------+-----------------------+
@ -826,7 +836,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
; Error detection CRC ; Off ;
; nCEO ; As output driving ground ;
; ASDO,nCSO ; As input tri-stated ;
; Reserve all unused pins ; As output driving ground ;
; Reserve all unused pins ; As input tri-stated ;
; Base pin-out file on sameframe device ; Off ;
+----------------------------------------------+--------------------------+
@ -921,19 +931,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
+------------------------------------+------------+
+--------------------------------------------------+
; Advanced Data - Routing ;
+------------------------------------+-------------+
; Name ; Value ;
+------------------------------------+-------------+
; Early Slack - Fit Attempt 1 ; 2147483639 ;
; Early Wire Use - Fit Attempt 1 ; 0 ;
; Peak Regional Wire - Fit Attempt 1 ; 0 ;
; Mid Slack - Fit Attempt 1 ; 2147483639 ;
; Late Slack - Fit Attempt 1 ; -2147483648 ;
; Late Wire Use - Fit Attempt 1 ; 0 ;
; Time - Fit Attempt 1 ; 0 ;
+------------------------------------+-------------+
+---------------------------------------------------+
; Advanced Data - Routing ;
+-------------------------------------+-------------+
; Name ; Value ;
+-------------------------------------+-------------+
; Early Slack - Fit Attempt 1 ; 2147483639 ;
; Early Wire Use - Fit Attempt 1 ; 0 ;
; Peak Regional Wire - Fit Attempt 1 ; 1 ;
; Mid Slack - Fit Attempt 1 ; 2147483639 ;
; Late Slack - Fit Attempt 1 ; -2147483648 ;
; Late Wire Use - Fit Attempt 1 ; 0 ;
; Time - Fit Attempt 1 ; 0 ;
; Time in tsm_tan.dll - Fit Attempt 1 ; 0.016 ;
+-------------------------------------+-------------+
+-----------------+
@ -942,7 +953,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
Info: *******************************************************************
Info: Running Quartus II Fitter
Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
Info: Processing started: Mon Mar 07 10:22:22 2022
Info: Processing started: Mon Mar 07 11:28:56 2022
Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b
Info: Parallel compilation is enabled and will use 4 of the 4 processors detected
Info: Selected device EP2C8Q208C8 for design "adder_8b"
@ -957,47 +968,11 @@ Info: Fitter converted 3 user pins into dedicated programming pins
Info: Pin ~ASDO~ is reserved at location 1
Info: Pin ~nCSO~ is reserved at location 2
Info: Pin ~LVDS54p/nCEO~ is reserved at location 108
Warning: No exact pin location assignment(s) for 26 pins of 26 total pins
Info: Pin CO not assigned to an exact location on the device
Info: Pin S7 not assigned to an exact location on the device
Info: Pin S0 not assigned to an exact location on the device
Info: Pin S1 not assigned to an exact location on the device
Info: Pin S2 not assigned to an exact location on the device
Info: Pin S3 not assigned to an exact location on the device
Info: Pin S4 not assigned to an exact location on the device
Info: Pin S5 not assigned to an exact location on the device
Info: Pin S6 not assigned to an exact location on the device
Info: Pin A6 not assigned to an exact location on the device
Info: Pin A3 not assigned to an exact location on the device
Info: Pin B3 not assigned to an exact location on the device
Info: Pin A4 not assigned to an exact location on the device
Info: Pin A2 not assigned to an exact location on the device
Info: Pin A0 not assigned to an exact location on the device
Info: Pin CI not assigned to an exact location on the device
Info: Pin B0 not assigned to an exact location on the device
Info: Pin A1 not assigned to an exact location on the device
Info: Pin B1 not assigned to an exact location on the device
Info: Pin B2 not assigned to an exact location on the device
Info: Pin B4 not assigned to an exact location on the device
Info: Pin A5 not assigned to an exact location on the device
Info: Pin B5 not assigned to an exact location on the device
Info: Pin B6 not assigned to an exact location on the device
Info: Pin A7 not assigned to an exact location on the device
Info: Pin B7 not assigned to an exact location on the device
Info: Fitter is using the Classic Timing Analyzer
Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time.
Info: Starting register packing
Info: Finished register packing
Extra Info: No registers were packed into other blocks
Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement
Info: Number of I/O pins in group: 26 (unused VREF, 3.3V VCCIO, 17 input, 9 output, 0 bidirectional)
Info: I/O standards used: 3.3-V LVTTL.
Info: I/O bank details before I/O pin placement
Info: Statistics of I/O banks
Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 30 pins available
Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 35 pins available
Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 34 pins available
Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available
Info: Fitter preparation operations ending: elapsed time is 00:00:00
Info: Fitter placement preparation operations beginning
Info: Fitter placement preparation operations ending: elapsed time is 00:00:00
@ -1006,7 +981,7 @@ Info: Fitter placement was successful
Info: Fitter placement operations ending: elapsed time is 00:00:00
Info: Fitter routing operations beginning
Info: Average interconnect usage is 0% of the available device resources
Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y9
Info: Peak interconnect usage is 0% of the available device resources in the region that extends from location X11_Y0 to location X22_Y9
Info: Fitter routing operations ending: elapsed time is 00:00:00
Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time.
Info: Optimizations that may affect the design's routability were skipped
@ -1023,11 +998,10 @@ Warning: Found 9 output pins without output pin load capacitance assignment
Info: Pin "S5" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
Info: Pin "S6" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
Info: Delay annotation completed successfully
Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'.
Info: Generated suppressed messages file D:/projects/quartus/adder_8b/adder_8b.fit.smsg
Info: Quartus II Fitter was successful. 0 errors, 3 warnings
Info: Quartus II Fitter was successful. 0 errors, 1 warning
Info: Peak virtual memory: 305 megabytes
Info: Processing ended: Mon Mar 07 10:22:23 2022
Info: Processing ended: Mon Mar 07 11:28:57 2022
Info: Elapsed time: 00:00:01
Info: Total CPU time (on all processors): 00:00:01

查看文件

@ -1,4 +1,4 @@
Fitter Status : Successful - Mon Mar 07 10:22:23 2022
Fitter Status : Successful - Mon Mar 07 11:28:57 2022
Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition
Revision Name : adder_8b
Top-level Entity Name : adder_8b

查看文件

@ -1,5 +1,5 @@
Flow report for adder_8b
Mon Mar 07 10:22:25 2022
Mon Mar 07 11:28:59 2022
Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
@ -38,7 +38,7 @@ applicable agreement for further details.
+-----------------------------------------------------------------------------------+
; Flow Summary ;
+------------------------------------+----------------------------------------------+
; Flow Status ; Successful - Mon Mar 07 10:22:25 2022 ;
; Flow Status ; Successful - Mon Mar 07 11:28:59 2022 ;
; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ;
; Revision Name ; adder_8b ;
; Top-level Entity Name ; adder_8b ;
@ -63,24 +63,25 @@ applicable agreement for further details.
+-------------------+---------------------+
; Option ; Setting ;
+-------------------+---------------------+
; Start date & time ; 03/07/2022 10:22:21 ;
; Start date & time ; 03/07/2022 11:28:55 ;
; Main task ; Compilation ;
; Revision Name ; adder_8b ;
+-------------------+---------------------+
+---------------------------------------------------------------------------------------------------------------------+
; Flow Non-Default Global Settings ;
+------------------------------------+---------------------------------+---------------+-------------+----------------+
; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ;
+------------------------------------+---------------------------------+---------------+-------------+----------------+
; COMPILER_SIGNATURE_ID ; 220283517943889.164661974110084 ; -- ; -- ; -- ;
; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ;
; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ;
; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ;
; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ;
; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ;
+------------------------------------+---------------------------------+---------------+-------------+----------------+
+-------------------------------------------------------------------------------------------------------------------------------+
; Flow Non-Default Global Settings ;
+------------------------------------+-------------------------------------------+---------------+-------------+----------------+
; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ;
+------------------------------------+-------------------------------------------+---------------+-------------+----------------+
; COMPILER_SIGNATURE_ID ; 220283517943889.164662373514744 ; -- ; -- ; -- ;
; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ;
; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ;
; MISC_FILE ; D:/projects/quartus/adder_8b/adder_8b.dpf ; -- ; -- ; -- ;
; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ;
; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ;
; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ;
+------------------------------------+-------------------------------------------+---------------+-------------+----------------+
+-----------------------------------------------------------------------------------------------------------------------------+
@ -88,10 +89,10 @@ applicable agreement for further details.
+-------------------------+--------------+-------------------------+---------------------+------------------------------------+
; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
+-------------------------+--------------+-------------------------+---------------------+------------------------------------+
; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 245 MB ; 00:00:00 ;
; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 246 MB ; 00:00:00 ;
; Fitter ; 00:00:01 ; 1.0 ; 305 MB ; 00:00:01 ;
; Assembler ; 00:00:00 ; 1.0 ; 242 MB ; 00:00:00 ;
; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; 198 MB ; 00:00:00 ;
; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; 199 MB ; 00:00:00 ;
; Total ; 00:00:02 ; -- ; -- ; 00:00:01 ;
+-------------------------+--------------+-------------------------+---------------------+------------------------------------+

查看文件

@ -1,5 +1,5 @@
Analysis & Synthesis report for adder_8b
Mon Mar 07 10:22:21 2022
Mon Mar 07 11:28:55 2022
Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
@ -39,7 +39,7 @@ applicable agreement for further details.
+-----------------------------------------------------------------------------------+
; Analysis & Synthesis Summary ;
+------------------------------------+----------------------------------------------+
; Analysis & Synthesis Status ; Successful - Mon Mar 07 10:22:21 2022 ;
; Analysis & Synthesis Status ; Successful - Mon Mar 07 11:28:55 2022 ;
; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ;
; Revision Name ; adder_8b ;
; Top-level Entity Name ; adder_8b ;
@ -218,7 +218,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
Info: *******************************************************************
Info: Running Quartus II Analysis & Synthesis
Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
Info: Processing started: Mon Mar 07 10:22:20 2022
Info: Processing started: Mon Mar 07 11:28:54 2022
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off adder_8b -c adder_8b
Info: Found 1 design units, including 1 entities, in source file adder_8b.bdf
Info: Found entity 1: adder_8b
@ -232,8 +232,8 @@ Info: Implemented 47 device resources after synthesis - the final resource count
Info: Implemented 9 output pins
Info: Implemented 21 logic cells
Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings
Info: Peak virtual memory: 248 megabytes
Info: Processing ended: Mon Mar 07 10:22:21 2022
Info: Peak virtual memory: 250 megabytes
Info: Processing ended: Mon Mar 07 11:28:55 2022
Info: Elapsed time: 00:00:01
Info: Total CPU time (on all processors): 00:00:01

查看文件

@ -1,4 +1,4 @@
Analysis & Synthesis Status : Successful - Mon Mar 07 10:22:21 2022
Analysis & Synthesis Status : Successful - Mon Mar 07 11:28:55 2022
Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition
Revision Name : adder_8b
Top-level Entity Name : adder_8b

查看文件

@ -70,19 +70,19 @@ Pin Name/Usage : Location : Dir. : I/O Standard : Voltage
-------------------------------------------------------------------------------------------------------------
~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 1 : input : 3.3-V LVTTL : : 1 : N
~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 2 : input : 3.3-V LVTTL : : 1 : N
GND* : 3 : : : : 1 :
GND* : 4 : : : : 1 :
A7 : 5 : input : 3.3-V LVTTL : : 1 : N
S7 : 6 : output : 3.3-V LVTTL : : 1 : N
RESERVED_INPUT : 3 : : : : 1 :
RESERVED_INPUT : 4 : : : : 1 :
RESERVED_INPUT : 5 : : : : 1 :
RESERVED_INPUT : 6 : : : : 1 :
VCCIO1 : 7 : power : : 3.3V : 1 :
GND* : 8 : : : : 1 :
RESERVED_INPUT : 8 : : : : 1 :
GND : 9 : gnd : : : :
B6 : 10 : input : 3.3-V LVTTL : : 1 : N
B7 : 11 : input : 3.3-V LVTTL : : 1 : N
S6 : 12 : output : 3.3-V LVTTL : : 1 : N
A6 : 13 : input : 3.3-V LVTTL : : 1 : N
B5 : 14 : input : 3.3-V LVTTL : : 1 : N
GND* : 15 : : : : 1 :
RESERVED_INPUT : 10 : : : : 1 :
RESERVED_INPUT : 11 : : : : 1 :
RESERVED_INPUT : 12 : : : : 1 :
RESERVED_INPUT : 13 : : : : 1 :
RESERVED_INPUT : 14 : : : : 1 :
RESERVED_INPUT : 15 : : : : 1 :
TDO : 16 : output : : : 1 :
TMS : 17 : input : : : 1 :
TCK : 18 : input : : : 1 :
@ -90,32 +90,32 @@ TDI : 19 : input : :
DATA0 : 20 : input : : : 1 :
DCLK : 21 : : : : 1 :
nCE : 22 : : : : 1 :
A2 : 23 : input : 3.3-V LVTTL : : 1 : N
A0 : 24 : input : 3.3-V LVTTL : : 1 : N
CI : 23 : input : 3.3-V LVTTL : : 1 : Y
GND+ : 24 : : : : 1 :
GND : 25 : gnd : : : :
nCONFIG : 26 : : : : 1 :
CI : 27 : input : 3.3-V LVTTL : : 1 : N
B0 : 28 : input : 3.3-V LVTTL : : 1 : N
GND+ : 27 : : : : 1 :
GND+ : 28 : : : : 1 :
VCCIO1 : 29 : power : : 3.3V : 1 :
B2 : 30 : input : 3.3-V LVTTL : : 1 : N
S3 : 31 : output : 3.3-V LVTTL : : 1 : N
RESERVED_INPUT : 30 : : : : 1 :
RESERVED_INPUT : 31 : : : : 1 :
VCCINT : 32 : power : : 1.2V : :
GND* : 33 : : : : 1 :
S1 : 34 : output : 3.3-V LVTTL : : 1 : N
S5 : 35 : output : 3.3-V LVTTL : : 1 : N
RESERVED_INPUT : 33 : : : : 1 :
RESERVED_INPUT : 34 : : : : 1 :
RESERVED_INPUT : 35 : : : : 1 :
GND : 36 : gnd : : : :
S4 : 37 : output : 3.3-V LVTTL : : 1 : N
RESERVED_INPUT : 37 : : : : 1 :
GND : 38 : gnd : : : :
B3 : 39 : input : 3.3-V LVTTL : : 1 : N
A3 : 40 : input : 3.3-V LVTTL : : 1 : N
A4 : 41 : input : 3.3-V LVTTL : : 1 : N
RESERVED_INPUT : 39 : : : : 1 :
RESERVED_INPUT : 40 : : : : 1 :
RESERVED_INPUT : 41 : : : : 1 :
VCCIO1 : 42 : power : : 3.3V : 1 :
GND* : 43 : : : : 1 :
GND* : 44 : : : : 1 :
GND* : 45 : : : : 1 :
GND* : 46 : : : : 1 :
GND* : 47 : : : : 1 :
GND* : 48 : : : : 1 :
RESERVED_INPUT : 43 : : : : 1 :
RESERVED_INPUT : 44 : : : : 1 :
RESERVED_INPUT : 45 : : : : 1 :
RESERVED_INPUT : 46 : : : : 1 :
RESERVED_INPUT : 47 : : : : 1 :
RESERVED_INPUT : 48 : : : : 1 :
GND : 49 : gnd : : : :
GND_PLL1 : 50 : gnd : : : :
VCCD_PLL1 : 51 : power : : 1.2V : :
@ -123,69 +123,69 @@ GND_PLL1 : 52 : gnd : :
VCCA_PLL1 : 53 : power : : 1.2V : :
GNDA_PLL1 : 54 : gnd : : : :
GND : 55 : gnd : : : :
GND* : 56 : : : : 4 :
A1 : 57 : input : 3.3-V LVTTL : : 4 : N
CO : 58 : output : 3.3-V LVTTL : : 4 : N
GND* : 59 : : : : 4 :
B1 : 60 : input : 3.3-V LVTTL : : 4 : N
GND* : 61 : : : : 4 :
RESERVED_INPUT : 56 : : : : 4 :
RESERVED_INPUT : 57 : : : : 4 :
RESERVED_INPUT : 58 : : : : 4 :
RESERVED_INPUT : 59 : : : : 4 :
RESERVED_INPUT : 60 : : : : 4 :
RESERVED_INPUT : 61 : : : : 4 :
VCCIO4 : 62 : power : : 3.3V : 4 :
GND* : 63 : : : : 4 :
GND* : 64 : : : : 4 :
RESERVED_INPUT : 63 : : : : 4 :
RESERVED_INPUT : 64 : : : : 4 :
GND : 65 : gnd : : : :
VCCINT : 66 : power : : 1.2V : :
GND* : 67 : : : : 4 :
GND* : 68 : : : : 4 :
GND* : 69 : : : : 4 :
GND* : 70 : : : : 4 :
B0 : 67 : input : 3.3-V LVTTL : : 4 : Y
B1 : 68 : input : 3.3-V LVTTL : : 4 : Y
B2 : 69 : input : 3.3-V LVTTL : : 4 : Y
B3 : 70 : input : 3.3-V LVTTL : : 4 : Y
VCCIO4 : 71 : power : : 3.3V : 4 :
GND* : 72 : : : : 4 :
B4 : 72 : input : 3.3-V LVTTL : : 4 : Y
GND : 73 : gnd : : : :
GND* : 74 : : : : 4 :
GND* : 75 : : : : 4 :
GND* : 76 : : : : 4 :
GND* : 77 : : : : 4 :
B5 : 74 : input : 3.3-V LVTTL : : 4 : Y
B6 : 75 : input : 3.3-V LVTTL : : 4 : Y
B7 : 76 : input : 3.3-V LVTTL : : 4 : Y
A0 : 77 : input : 3.3-V LVTTL : : 4 : Y
GND : 78 : gnd : : : :
VCCINT : 79 : power : : 1.2V : :
GND* : 80 : : : : 4 :
GND* : 81 : : : : 4 :
GND* : 82 : : : : 4 :
A1 : 80 : input : 3.3-V LVTTL : : 4 : Y
A2 : 81 : input : 3.3-V LVTTL : : 4 : Y
A3 : 82 : input : 3.3-V LVTTL : : 4 : Y
VCCIO4 : 83 : power : : 3.3V : 4 :
GND* : 84 : : : : 4 :
A4 : 84 : input : 3.3-V LVTTL : : 4 : Y
GND : 85 : gnd : : : :
GND* : 86 : : : : 4 :
GND* : 87 : : : : 4 :
GND* : 88 : : : : 4 :
GND* : 89 : : : : 4 :
GND* : 90 : : : : 4 :
A5 : 86 : input : 3.3-V LVTTL : : 4 : Y
A6 : 87 : input : 3.3-V LVTTL : : 4 : Y
A7 : 88 : input : 3.3-V LVTTL : : 4 : Y
RESERVED_INPUT : 89 : : : : 4 :
RESERVED_INPUT : 90 : : : : 4 :
VCCIO4 : 91 : power : : 3.3V : 4 :
GND* : 92 : : : : 4 :
RESERVED_INPUT : 92 : : : : 4 :
GND : 93 : gnd : : : :
GND* : 94 : : : : 4 :
GND* : 95 : : : : 4 :
GND* : 96 : : : : 4 :
GND* : 97 : : : : 4 :
RESERVED_INPUT : 94 : : : : 4 :
RESERVED_INPUT : 95 : : : : 4 :
RESERVED_INPUT : 96 : : : : 4 :
RESERVED_INPUT : 97 : : : : 4 :
VCCIO4 : 98 : power : : 3.3V : 4 :
GND* : 99 : : : : 4 :
RESERVED_INPUT : 99 : : : : 4 :
GND : 100 : gnd : : : :
GND* : 101 : : : : 4 :
S0 : 102 : output : 3.3-V LVTTL : : 4 : N
GND* : 103 : : : : 4 :
GND* : 104 : : : : 4 :
GND* : 105 : : : : 3 :
GND* : 106 : : : : 3 :
GND* : 107 : : : : 3 :
RESERVED_INPUT : 101 : : : : 4 :
RESERVED_INPUT : 102 : : : : 4 :
RESERVED_INPUT : 103 : : : : 4 :
RESERVED_INPUT : 104 : : : : 4 :
RESERVED_INPUT : 105 : : : : 3 :
RESERVED_INPUT : 106 : : : : 3 :
RESERVED_INPUT : 107 : : : : 3 :
~LVDS54p/nCEO~ : 108 : output : 3.3-V LVTTL : : 3 : N
VCCIO3 : 109 : power : : 3.3V : 3 :
GND* : 110 : : : : 3 :
RESERVED_INPUT : 110 : : : : 3 :
GND : 111 : gnd : : : :
GND* : 112 : : : : 3 :
GND* : 113 : : : : 3 :
GND* : 114 : : : : 3 :
GND* : 115 : : : : 3 :
GND* : 116 : : : : 3 :
GND* : 117 : : : : 3 :
S2 : 118 : output : 3.3-V LVTTL : : 3 : N
RESERVED_INPUT : 112 : : : : 3 :
RESERVED_INPUT : 113 : : : : 3 :
RESERVED_INPUT : 114 : : : : 3 :
RESERVED_INPUT : 115 : : : : 3 :
RESERVED_INPUT : 116 : : : : 3 :
RESERVED_INPUT : 117 : : : : 3 :
RESERVED_INPUT : 118 : : : : 3 :
GND : 119 : gnd : : : :
VCCINT : 120 : power : : 1.2V : :
nSTATUS : 121 : : : : 3 :
@ -194,32 +194,32 @@ CONF_DONE : 123 : : :
GND : 124 : gnd : : : :
MSEL1 : 125 : : : : 3 :
MSEL0 : 126 : : : : 3 :
GND* : 127 : : : : 3 :
GND* : 128 : : : : 3 :
RESERVED_INPUT : 127 : : : : 3 :
RESERVED_INPUT : 128 : : : : 3 :
GND+ : 129 : : : : 3 :
GND+ : 130 : : : : 3 :
GND+ : 131 : : : : 3 :
GND+ : 132 : : : : 3 :
GND* : 133 : : : : 3 :
GND* : 134 : : : : 3 :
GND* : 135 : : : : 3 :
RESERVED_INPUT : 133 : : : : 3 :
RESERVED_INPUT : 134 : : : : 3 :
RESERVED_INPUT : 135 : : : : 3 :
VCCIO3 : 136 : power : : 3.3V : 3 :
GND* : 137 : : : : 3 :
GND* : 138 : : : : 3 :
GND* : 139 : : : : 3 :
RESERVED_INPUT : 137 : : : : 3 :
RESERVED_INPUT : 138 : : : : 3 :
RESERVED_INPUT : 139 : : : : 3 :
GND : 140 : gnd : : : :
GND* : 141 : : : : 3 :
GND* : 142 : : : : 3 :
GND* : 143 : : : : 3 :
GND* : 144 : : : : 3 :
GND* : 145 : : : : 3 :
GND* : 146 : : : : 3 :
GND* : 147 : : : : 3 :
RESERVED_INPUT : 141 : : : : 3 :
S0 : 142 : output : 3.3-V LVTTL : : 3 : Y
S1 : 143 : output : 3.3-V LVTTL : : 3 : Y
S2 : 144 : output : 3.3-V LVTTL : : 3 : Y
S3 : 145 : output : 3.3-V LVTTL : : 3 : Y
S4 : 146 : output : 3.3-V LVTTL : : 3 : Y
S5 : 147 : output : 3.3-V LVTTL : : 3 : Y
VCCIO3 : 148 : power : : 3.3V : 3 :
GND* : 149 : : : : 3 :
A5 : 150 : input : 3.3-V LVTTL : : 3 : N
GND* : 151 : : : : 3 :
GND* : 152 : : : : 3 :
S6 : 149 : output : 3.3-V LVTTL : : 3 : Y
S7 : 150 : output : 3.3-V LVTTL : : 3 : Y
CO : 151 : output : 3.3-V LVTTL : : 3 : Y
RESERVED_INPUT : 152 : : : : 3 :
GND : 153 : gnd : : : :
GND_PLL2 : 154 : gnd : : : :
VCCD_PLL2 : 155 : power : : 1.2V : :
@ -227,52 +227,52 @@ GND_PLL2 : 156 : gnd : :
VCCA_PLL2 : 157 : power : : 1.2V : :
GNDA_PLL2 : 158 : gnd : : : :
GND : 159 : gnd : : : :
GND* : 160 : : : : 2 :
GND* : 161 : : : : 2 :
GND* : 162 : : : : 2 :
GND* : 163 : : : : 2 :
GND* : 164 : : : : 2 :
GND* : 165 : : : : 2 :
RESERVED_INPUT : 160 : : : : 2 :
RESERVED_INPUT : 161 : : : : 2 :
RESERVED_INPUT : 162 : : : : 2 :
RESERVED_INPUT : 163 : : : : 2 :
RESERVED_INPUT : 164 : : : : 2 :
RESERVED_INPUT : 165 : : : : 2 :
VCCIO2 : 166 : power : : 3.3V : 2 :
GND : 167 : gnd : : : :
GND* : 168 : : : : 2 :
GND* : 169 : : : : 2 :
GND* : 170 : : : : 2 :
GND* : 171 : : : : 2 :
RESERVED_INPUT : 168 : : : : 2 :
RESERVED_INPUT : 169 : : : : 2 :
RESERVED_INPUT : 170 : : : : 2 :
RESERVED_INPUT : 171 : : : : 2 :
VCCIO2 : 172 : power : : 3.3V : 2 :
GND* : 173 : : : : 2 :
RESERVED_INPUT : 173 : : : : 2 :
GND : 174 : gnd : : : :
GND* : 175 : : : : 2 :
GND* : 176 : : : : 2 :
RESERVED_INPUT : 175 : : : : 2 :
RESERVED_INPUT : 176 : : : : 2 :
GND : 177 : gnd : : : :
VCCINT : 178 : power : : 1.2V : :
GND* : 179 : : : : 2 :
GND* : 180 : : : : 2 :
GND* : 181 : : : : 2 :
GND* : 182 : : : : 2 :
RESERVED_INPUT : 179 : : : : 2 :
RESERVED_INPUT : 180 : : : : 2 :
RESERVED_INPUT : 181 : : : : 2 :
RESERVED_INPUT : 182 : : : : 2 :
VCCIO2 : 183 : power : : 3.3V : 2 :
GND : 184 : gnd : : : :
GND* : 185 : : : : 2 :
RESERVED_INPUT : 185 : : : : 2 :
GND : 186 : gnd : : : :
GND* : 187 : : : : 2 :
GND* : 188 : : : : 2 :
GND* : 189 : : : : 2 :
RESERVED_INPUT : 187 : : : : 2 :
RESERVED_INPUT : 188 : : : : 2 :
RESERVED_INPUT : 189 : : : : 2 :
VCCINT : 190 : power : : 1.2V : :
GND* : 191 : : : : 2 :
GND* : 192 : : : : 2 :
GND* : 193 : : : : 2 :
RESERVED_INPUT : 191 : : : : 2 :
RESERVED_INPUT : 192 : : : : 2 :
RESERVED_INPUT : 193 : : : : 2 :
VCCIO2 : 194 : power : : 3.3V : 2 :
GND* : 195 : : : : 2 :
RESERVED_INPUT : 195 : : : : 2 :
GND : 196 : gnd : : : :
GND* : 197 : : : : 2 :
GND* : 198 : : : : 2 :
GND* : 199 : : : : 2 :
GND* : 200 : : : : 2 :
GND* : 201 : : : : 2 :
RESERVED_INPUT : 197 : : : : 2 :
RESERVED_INPUT : 198 : : : : 2 :
RESERVED_INPUT : 199 : : : : 2 :
RESERVED_INPUT : 200 : : : : 2 :
RESERVED_INPUT : 201 : : : : 2 :
VCCIO2 : 202 : power : : 3.3V : 2 :
GND* : 203 : : : : 2 :
RESERVED_INPUT : 203 : : : : 2 :
GND : 204 : gnd : : : :
GND* : 205 : : : : 2 :
GND* : 206 : : : : 2 :
B4 : 207 : input : 3.3-V LVTTL : : 2 : N
GND* : 208 : : : : 2 :
RESERVED_INPUT : 205 : : : : 2 :
RESERVED_INPUT : 206 : : : : 2 :
RESERVED_INPUT : 207 : : : : 2 :
RESERVED_INPUT : 208 : : : : 2 :

未顯示二進位檔案。

查看文件

@ -50,4 +50,34 @@ set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
set_global_assignment -name MISC_FILE "D:/projects/quartus/adder_8b/adder_8b.dpf"
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
set_location_assignment PIN_77 -to A0
set_location_assignment PIN_80 -to A1
set_location_assignment PIN_81 -to A2
set_location_assignment PIN_82 -to A3
set_location_assignment PIN_84 -to A4
set_location_assignment PIN_86 -to A5
set_location_assignment PIN_87 -to A6
set_location_assignment PIN_88 -to A7
set_location_assignment PIN_67 -to B0
set_location_assignment PIN_68 -to B1
set_location_assignment PIN_69 -to B2
set_location_assignment PIN_70 -to B3
set_location_assignment PIN_72 -to B4
set_location_assignment PIN_74 -to B5
set_location_assignment PIN_75 -to B6
set_location_assignment PIN_76 -to B7
set_location_assignment PIN_23 -to CI
set_location_assignment PIN_142 -to S0
set_location_assignment PIN_143 -to S1
set_location_assignment PIN_144 -to S2
set_location_assignment PIN_145 -to S3
set_location_assignment PIN_146 -to S4
set_location_assignment PIN_147 -to S5
set_location_assignment PIN_149 -to S6
set_location_assignment PIN_150 -to S7
set_location_assignment PIN_151 -to CO

未顯示二進位檔案。

查看文件

@ -1,5 +1,5 @@
Classic Timing Analyzer report for adder_8b
Mon Mar 07 10:22:25 2022
Mon Mar 07 11:28:59 2022
Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
@ -39,7 +39,7 @@ applicable agreement for further details.
+------------------------------+-------+---------------+-------------+------+----+------------+----------+--------------+
; Type ; Slack ; Required Time ; Actual Time ; From ; To ; From Clock ; To Clock ; Failed Paths ;
+------------------------------+-------+---------------+-------------+------+----+------------+----------+--------------+
; Worst-case tpd ; N/A ; None ; 19.344 ns ; A1 ; CO ; -- ; -- ; 0 ;
; Worst-case tpd ; N/A ; None ; 22.018 ns ; B0 ; CO ; -- ; -- ; 0 ;
; Total number of failed paths ; ; ; ; ; ; ; ; 0 ;
+------------------------------+-------+---------------+-------------+------+----+------------+----------+--------------+
@ -98,103 +98,103 @@ applicable agreement for further details.
+-------+-------------------+-----------------+------+----+
; Slack ; Required P2P Time ; Actual P2P Time ; From ; To ;
+-------+-------------------+-----------------+------+----+
; N/A ; None ; 19.344 ns ; A1 ; CO ;
; N/A ; None ; 19.220 ns ; B1 ; CO ;
; N/A ; None ; 18.199 ns ; B2 ; CO ;
; N/A ; None ; 18.173 ns ; A1 ; S7 ;
; N/A ; None ; 18.049 ns ; B1 ; S7 ;
; N/A ; None ; 17.501 ns ; A3 ; CO ;
; N/A ; None ; 17.423 ns ; B3 ; CO ;
; N/A ; None ; 17.266 ns ; B4 ; CO ;
; N/A ; None ; 17.091 ns ; A5 ; CO ;
; N/A ; None ; 17.075 ns ; A4 ; CO ;
; N/A ; None ; 17.028 ns ; B2 ; S7 ;
; N/A ; None ; 17.000 ns ; A1 ; S6 ;
; N/A ; None ; 16.876 ns ; B1 ; S6 ;
; N/A ; None ; 16.330 ns ; A3 ; S7 ;
; N/A ; None ; 16.252 ns ; B3 ; S7 ;
; N/A ; None ; 16.095 ns ; B4 ; S7 ;
; N/A ; None ; 15.940 ns ; B5 ; CO ;
; N/A ; None ; 15.920 ns ; A5 ; S7 ;
; N/A ; None ; 15.904 ns ; A4 ; S7 ;
; N/A ; None ; 15.855 ns ; B2 ; S6 ;
; N/A ; None ; 15.259 ns ; A0 ; CO ;
; N/A ; None ; 15.157 ns ; A3 ; S6 ;
; N/A ; None ; 15.079 ns ; B3 ; S6 ;
; N/A ; None ; 15.027 ns ; B0 ; CO ;
; N/A ; None ; 14.922 ns ; B4 ; S6 ;
; N/A ; None ; 14.769 ns ; B5 ; S7 ;
; N/A ; None ; 14.762 ns ; CI ; CO ;
; N/A ; None ; 14.759 ns ; A1 ; S5 ;
; N/A ; None ; 14.747 ns ; A5 ; S6 ;
; N/A ; None ; 14.731 ns ; A4 ; S6 ;
; N/A ; None ; 14.635 ns ; B1 ; S5 ;
; N/A ; None ; 14.560 ns ; A1 ; S2 ;
; N/A ; None ; 14.436 ns ; B1 ; S2 ;
; N/A ; None ; 14.088 ns ; A0 ; S7 ;
; N/A ; None ; 14.087 ns ; A1 ; S4 ;
; N/A ; None ; 13.963 ns ; B1 ; S4 ;
; N/A ; None ; 13.856 ns ; B0 ; S7 ;
; N/A ; None ; 13.695 ns ; A6 ; CO ;
; N/A ; None ; 13.614 ns ; B2 ; S5 ;
; N/A ; None ; 13.596 ns ; B5 ; S6 ;
; N/A ; None ; 13.591 ns ; CI ; S7 ;
; N/A ; None ; 13.448 ns ; A2 ; CO ;
; N/A ; None ; 13.408 ns ; B2 ; S2 ;
; N/A ; None ; 13.338 ns ; A1 ; S3 ;
; N/A ; None ; 13.214 ns ; B1 ; S3 ;
; N/A ; None ; 12.955 ns ; B6 ; CO ;
; N/A ; None ; 12.942 ns ; B2 ; S4 ;
; N/A ; None ; 12.916 ns ; A3 ; S5 ;
; N/A ; None ; 12.915 ns ; A0 ; S6 ;
; N/A ; None ; 12.838 ns ; B3 ; S5 ;
; N/A ; None ; 12.683 ns ; B0 ; S6 ;
; N/A ; None ; 12.681 ns ; B4 ; S5 ;
; N/A ; None ; 12.613 ns ; B7 ; CO ;
; N/A ; None ; 12.524 ns ; A6 ; S7 ;
; N/A ; None ; 12.501 ns ; A5 ; S5 ;
; N/A ; None ; 12.488 ns ; A4 ; S5 ;
; N/A ; None ; 12.418 ns ; CI ; S6 ;
; N/A ; None ; 12.408 ns ; A7 ; CO ;
; N/A ; None ; 12.277 ns ; A2 ; S7 ;
; N/A ; None ; 12.244 ns ; A3 ; S4 ;
; N/A ; None ; 12.193 ns ; B2 ; S3 ;
; N/A ; None ; 12.166 ns ; B3 ; S4 ;
; N/A ; None ; 12.007 ns ; B4 ; S4 ;
; N/A ; None ; 11.786 ns ; B6 ; S7 ;
; N/A ; None ; 11.579 ns ; A1 ; S1 ;
; N/A ; None ; 11.527 ns ; A4 ; S4 ;
; N/A ; None ; 11.489 ns ; A3 ; S3 ;
; N/A ; None ; 11.458 ns ; B1 ; S1 ;
; N/A ; None ; 11.443 ns ; B7 ; S7 ;
; N/A ; None ; 11.415 ns ; B3 ; S3 ;
; N/A ; None ; 11.378 ns ; A6 ; S6 ;
; N/A ; None ; 11.337 ns ; B5 ; S5 ;
; N/A ; None ; 11.243 ns ; A7 ; S7 ;
; N/A ; None ; 11.104 ns ; A2 ; S6 ;
; N/A ; None ; 11.091 ns ; B6 ; S6 ;
; N/A ; None ; 10.674 ns ; A0 ; S5 ;
; N/A ; None ; 10.475 ns ; A0 ; S2 ;
; N/A ; None ; 10.442 ns ; B0 ; S5 ;
; N/A ; None ; 10.294 ns ; A0 ; S0 ;
; N/A ; None ; 10.243 ns ; B0 ; S2 ;
; N/A ; None ; 10.177 ns ; CI ; S5 ;
; N/A ; None ; 10.065 ns ; B0 ; S0 ;
; N/A ; None ; 10.002 ns ; A0 ; S4 ;
; N/A ; None ; 9.978 ns ; CI ; S2 ;
; N/A ; None ; 9.800 ns ; CI ; S0 ;
; N/A ; None ; 9.770 ns ; B0 ; S4 ;
; N/A ; None ; 9.505 ns ; CI ; S4 ;
; N/A ; None ; 9.253 ns ; A0 ; S3 ;
; N/A ; None ; 9.021 ns ; B0 ; S3 ;
; N/A ; None ; 8.863 ns ; A2 ; S5 ;
; N/A ; None ; 8.756 ns ; CI ; S3 ;
; N/A ; None ; 8.661 ns ; A2 ; S2 ;
; N/A ; None ; 8.191 ns ; A2 ; S4 ;
; N/A ; None ; 7.490 ns ; A0 ; S1 ;
; N/A ; None ; 7.442 ns ; A2 ; S3 ;
; N/A ; None ; 7.258 ns ; B0 ; S1 ;
; N/A ; None ; 6.993 ns ; CI ; S1 ;
; N/A ; None ; 22.018 ns ; B0 ; CO ;
; N/A ; None ; 21.780 ns ; B0 ; S7 ;
; N/A ; None ; 21.052 ns ; B1 ; CO ;
; N/A ; None ; 20.864 ns ; A0 ; CO ;
; N/A ; None ; 20.814 ns ; B1 ; S7 ;
; N/A ; None ; 20.626 ns ; A0 ; S7 ;
; N/A ; None ; 20.579 ns ; A1 ; CO ;
; N/A ; None ; 20.442 ns ; B0 ; S6 ;
; N/A ; None ; 20.341 ns ; A1 ; S7 ;
; N/A ; None ; 20.259 ns ; B2 ; CO ;
; N/A ; None ; 20.021 ns ; B2 ; S7 ;
; N/A ; None ; 19.812 ns ; A2 ; CO ;
; N/A ; None ; 19.574 ns ; A2 ; S7 ;
; N/A ; None ; 19.476 ns ; B1 ; S6 ;
; N/A ; None ; 19.288 ns ; A0 ; S6 ;
; N/A ; None ; 19.089 ns ; B0 ; S5 ;
; N/A ; None ; 19.003 ns ; A1 ; S6 ;
; N/A ; None ; 18.831 ns ; B3 ; CO ;
; N/A ; None ; 18.728 ns ; A3 ; CO ;
; N/A ; None ; 18.683 ns ; B2 ; S6 ;
; N/A ; None ; 18.593 ns ; B3 ; S7 ;
; N/A ; None ; 18.490 ns ; A3 ; S7 ;
; N/A ; None ; 18.303 ns ; B0 ; S4 ;
; N/A ; None ; 18.291 ns ; A4 ; CO ;
; N/A ; None ; 18.236 ns ; A2 ; S6 ;
; N/A ; None ; 18.123 ns ; B1 ; S5 ;
; N/A ; None ; 18.053 ns ; A4 ; S7 ;
; N/A ; None ; 17.935 ns ; A0 ; S5 ;
; N/A ; None ; 17.650 ns ; A1 ; S5 ;
; N/A ; None ; 17.587 ns ; B4 ; CO ;
; N/A ; None ; 17.447 ns ; CI ; CO ;
; N/A ; None ; 17.370 ns ; B0 ; S3 ;
; N/A ; None ; 17.349 ns ; B4 ; S7 ;
; N/A ; None ; 17.337 ns ; B1 ; S4 ;
; N/A ; None ; 17.330 ns ; B2 ; S5 ;
; N/A ; None ; 17.255 ns ; B3 ; S6 ;
; N/A ; None ; 17.209 ns ; CI ; S7 ;
; N/A ; None ; 17.202 ns ; A5 ; CO ;
; N/A ; None ; 17.152 ns ; A3 ; S6 ;
; N/A ; None ; 17.149 ns ; A0 ; S4 ;
; N/A ; None ; 16.987 ns ; B5 ; CO ;
; N/A ; None ; 16.964 ns ; A5 ; S7 ;
; N/A ; None ; 16.883 ns ; A2 ; S5 ;
; N/A ; None ; 16.864 ns ; A1 ; S4 ;
; N/A ; None ; 16.749 ns ; B5 ; S7 ;
; N/A ; None ; 16.715 ns ; A4 ; S6 ;
; N/A ; None ; 16.544 ns ; B2 ; S4 ;
; N/A ; None ; 16.404 ns ; B1 ; S3 ;
; N/A ; None ; 16.306 ns ; B0 ; S2 ;
; N/A ; None ; 16.216 ns ; A0 ; S3 ;
; N/A ; None ; 16.097 ns ; A2 ; S4 ;
; N/A ; None ; 16.011 ns ; B4 ; S6 ;
; N/A ; None ; 15.931 ns ; A1 ; S3 ;
; N/A ; None ; 15.902 ns ; B3 ; S5 ;
; N/A ; None ; 15.871 ns ; CI ; S6 ;
; N/A ; None ; 15.799 ns ; A3 ; S5 ;
; N/A ; None ; 15.626 ns ; A5 ; S6 ;
; N/A ; None ; 15.611 ns ; B2 ; S3 ;
; N/A ; None ; 15.411 ns ; B5 ; S6 ;
; N/A ; None ; 15.366 ns ; A4 ; S5 ;
; N/A ; None ; 15.340 ns ; B1 ; S2 ;
; N/A ; None ; 15.164 ns ; A2 ; S3 ;
; N/A ; None ; 15.152 ns ; A0 ; S2 ;
; N/A ; None ; 15.116 ns ; B3 ; S4 ;
; N/A ; None ; 15.042 ns ; B6 ; CO ;
; N/A ; None ; 15.013 ns ; A3 ; S4 ;
; N/A ; None ; 14.892 ns ; B0 ; S1 ;
; N/A ; None ; 14.867 ns ; A1 ; S2 ;
; N/A ; None ; 14.804 ns ; B6 ; S7 ;
; N/A ; None ; 14.658 ns ; B4 ; S5 ;
; N/A ; None ; 14.543 ns ; B2 ; S2 ;
; N/A ; None ; 14.518 ns ; CI ; S5 ;
; N/A ; None ; 14.282 ns ; A5 ; S5 ;
; N/A ; None ; 14.173 ns ; B3 ; S3 ;
; N/A ; None ; 14.162 ns ; B0 ; S0 ;
; N/A ; None ; 14.098 ns ; A2 ; S2 ;
; N/A ; None ; 14.088 ns ; A4 ; S4 ;
; N/A ; None ; 14.077 ns ; A3 ; S3 ;
; N/A ; None ; 14.063 ns ; B5 ; S5 ;
; N/A ; None ; 14.043 ns ; B7 ; CO ;
; N/A ; None ; 13.974 ns ; A6 ; CO ;
; N/A ; None ; 13.933 ns ; B1 ; S1 ;
; N/A ; None ; 13.865 ns ; B4 ; S4 ;
; N/A ; None ; 13.816 ns ; B7 ; S7 ;
; N/A ; None ; 13.738 ns ; A0 ; S1 ;
; N/A ; None ; 13.736 ns ; A6 ; S7 ;
; N/A ; None ; 13.732 ns ; CI ; S4 ;
; N/A ; None ; 13.470 ns ; A1 ; S1 ;
; N/A ; None ; 13.462 ns ; B6 ; S6 ;
; N/A ; None ; 13.415 ns ; A7 ; CO ;
; N/A ; None ; 13.184 ns ; A7 ; S7 ;
; N/A ; None ; 13.004 ns ; A0 ; S0 ;
; N/A ; None ; 12.799 ns ; CI ; S3 ;
; N/A ; None ; 12.403 ns ; A6 ; S6 ;
; N/A ; None ; 11.735 ns ; CI ; S2 ;
; N/A ; None ; 10.321 ns ; CI ; S1 ;
; N/A ; None ; 9.587 ns ; CI ; S0 ;
+-------+-------------------+-----------------+------+----+
@ -204,24 +204,25 @@ applicable agreement for further details.
Info: *******************************************************************
Info: Running Quartus II Classic Timing Analyzer
Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
Info: Processing started: Mon Mar 07 10:22:25 2022
Info: Processing started: Mon Mar 07 11:28:59 2022
Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b --timing_analysis_only
Info: Parallel compilation is enabled and will use 4 of the 4 processors detected
Info: Longest tpd from source pin "A1" to destination pin "CO" is 19.344 ns
Info: 1: + IC(0.000 ns) + CELL(0.994 ns) = 0.994 ns; Loc. = PIN_57; Fanout = 2; PIN Node = 'A1'
Info: 2: + IC(6.202 ns) + CELL(0.651 ns) = 7.847 ns; Loc. = LCCOMB_X1_Y7_N12; Fanout = 4; COMB Node = '7400:inst8|4~0'
Info: 3: + IC(0.391 ns) + CELL(0.206 ns) = 8.444 ns; Loc. = LCCOMB_X1_Y7_N8; Fanout = 2; COMB Node = '7400:inst13|4~1'
Info: 4: + IC(0.387 ns) + CELL(0.370 ns) = 9.201 ns; Loc. = LCCOMB_X1_Y7_N4; Fanout = 2; COMB Node = '7400:inst18|4~0'
Info: 5: + IC(0.387 ns) + CELL(0.370 ns) = 9.958 ns; Loc. = LCCOMB_X1_Y7_N6; Fanout = 2; COMB Node = '7400:inst23|4~9'
Info: 6: + IC(0.412 ns) + CELL(0.650 ns) = 11.020 ns; Loc. = LCCOMB_X1_Y7_N0; Fanout = 3; COMB Node = '7400:inst28|4~0'
Info: 7: + IC(1.736 ns) + CELL(0.206 ns) = 12.962 ns; Loc. = LCCOMB_X1_Y15_N24; Fanout = 2; COMB Node = '7400:inst33|4~0'
Info: 8: + IC(0.396 ns) + CELL(0.651 ns) = 14.009 ns; Loc. = LCCOMB_X1_Y15_N4; Fanout = 1; COMB Node = '7400:inst38|4~0'
Info: 9: + IC(2.039 ns) + CELL(3.296 ns) = 19.344 ns; Loc. = PIN_58; Fanout = 0; PIN Node = 'CO'
Info: Total cell delay = 7.394 ns ( 38.22 % )
Info: Total interconnect delay = 11.950 ns ( 61.78 % )
Info: Longest tpd from source pin "B0" to destination pin "CO" is 22.018 ns
Info: 1: + IC(0.000 ns) + CELL(0.994 ns) = 0.994 ns; Loc. = PIN_67; Fanout = 2; PIN Node = 'B0'
Info: 2: + IC(6.491 ns) + CELL(0.624 ns) = 8.109 ns; Loc. = LCCOMB_X18_Y4_N2; Fanout = 2; COMB Node = '7400:inst3|4~1'
Info: 3: + IC(0.373 ns) + CELL(0.624 ns) = 9.106 ns; Loc. = LCCOMB_X18_Y4_N20; Fanout = 4; COMB Node = '7400:inst8|4~0'
Info: 4: + IC(0.407 ns) + CELL(0.370 ns) = 9.883 ns; Loc. = LCCOMB_X18_Y4_N16; Fanout = 2; COMB Node = '7400:inst13|4~1'
Info: 5: + IC(0.426 ns) + CELL(0.650 ns) = 10.959 ns; Loc. = LCCOMB_X18_Y4_N12; Fanout = 2; COMB Node = '7400:inst18|4~0'
Info: 6: + IC(0.408 ns) + CELL(0.650 ns) = 12.017 ns; Loc. = LCCOMB_X18_Y4_N30; Fanout = 2; COMB Node = '7400:inst23|4~9'
Info: 7: + IC(0.365 ns) + CELL(0.206 ns) = 12.588 ns; Loc. = LCCOMB_X18_Y4_N0; Fanout = 3; COMB Node = '7400:inst28|4~0'
Info: 8: + IC(2.636 ns) + CELL(0.370 ns) = 15.594 ns; Loc. = LCCOMB_X28_Y11_N26; Fanout = 2; COMB Node = '7400:inst33|4~1'
Info: 9: + IC(0.370 ns) + CELL(0.624 ns) = 16.588 ns; Loc. = LCCOMB_X28_Y11_N12; Fanout = 1; COMB Node = '7400:inst38|4~0'
Info: 10: + IC(2.150 ns) + CELL(3.280 ns) = 22.018 ns; Loc. = PIN_151; Fanout = 0; PIN Node = 'CO'
Info: Total cell delay = 8.392 ns ( 38.11 % )
Info: Total interconnect delay = 13.626 ns ( 61.89 % )
Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 0 warnings
Info: Peak virtual memory: 212 megabytes
Info: Processing ended: Mon Mar 07 10:22:25 2022
Info: Peak virtual memory: 213 megabytes
Info: Processing ended: Mon Mar 07 11:28:59 2022
Info: Elapsed time: 00:00:00
Info: Total CPU time (on all processors): 00:00:00

查看文件

@ -5,8 +5,8 @@ Timing Analyzer Summary
Type : Worst-case tpd
Slack : N/A
Required Time : None
Actual Time : 19.344 ns
From : A1
Actual Time : 22.018 ns
From : B0
To : CO
From Clock : --
To Clock : --

查看文件

@ -1,7 +1,7 @@
{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:22:24 2022 " "Info: Processing started: Mon Mar 07 10:22:24 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:28:58 2022 " "Info: Processing started: Mon Mar 07 11:28:58 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1}
{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Info: Writing out detailed assembly data for power analysis" { } { } 0 0 "Writing out detailed assembly data for power analysis" 0 0 "" 0 -1}
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1}
{ "Info" "IPGMIO_CONDONE_ERROR_CHECKS_DISABLED" "" "Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled" { } { } 0 0 "The Active Serial/Parallel mode CONF_DONE pin error check is disabled" 0 0 "" 0 -1}
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "242 " "Info: Peak virtual memory: 242 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 10:22:24 2022 " "Info: Processing ended: Mon Mar 07 10:22:24 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "242 " "Info: Peak virtual memory: 242 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:28:58 2022 " "Info: Processing ended: Mon Mar 07 11:28:58 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}

未顯示二進位檔案。

未顯示二進位檔案。

未顯示二進位檔案。

未顯示二進位檔案。

未顯示二進位檔案。

未顯示二進位檔案。

未顯示二進位檔案。

未顯示二進位檔案。

檔案差異因為一行或多行太長而無法顯示

未顯示二進位檔案。

未顯示二進位檔案。

未顯示二進位檔案。

查看文件

@ -1,5 +1,5 @@
{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:22:20 2022 " "Info: Processing started: Mon Mar 07 10:22:20 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 11:28:54 2022 " "Info: Processing started: Mon Mar 07 11:28:54 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off adder_8b -c adder_8b " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off adder_8b -c adder_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "adder_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file adder_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 adder_8b " "Info: Found entity 1: adder_8b" { } { { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1}
{ "Info" "ISGN_START_ELABORATION_TOP" "adder_8b " "Info: Elaborating entity \"adder_8b\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1}
@ -8,4 +8,4 @@
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7486 7486:inst " "Info: Elaborating entity \"7486\" for hierarchy \"7486:inst\"" { } { { "adder_8b.bdf" "inst" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2096 272 336 2136 "inst" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1}
{ "Info" "ISGN_ELABORATION_HEADER" "7486:inst " "Info: Elaborated megafunction instantiation \"7486:inst\"" { } { { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2096 272 336 2136 "inst" "" } } } } } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1}
{ "Info" "ICUT_CUT_TM_SUMMARY" "47 " "Info: Implemented 47 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "17 " "Info: Implemented 17 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "9 " "Info: Implemented 9 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "21 " "Info: Implemented 21 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1}
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "248 " "Info: Peak virtual memory: 248 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 10:22:21 2022 " "Info: Processing ended: Mon Mar 07 10:22:21 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "250 " "Info: Peak virtual memory: 250 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 11:28:55 2022 " "Info: Processing ended: Mon Mar 07 11:28:55 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}

未顯示二進位檔案。

未顯示二進位檔案。

未顯示二進位檔案。

未顯示二進位檔案。

未顯示二進位檔案。

未顯示二進位檔案。

未顯示二進位檔案。

未顯示二進位檔案。

未顯示二進位檔案。

檔案差異因為一行或多行太長而無法顯示

查看文件

@ -0,0 +1,7 @@
{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:22:24 2022 " "Info: Processing started: Mon Mar 07 10:22:24 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off adder_8b -c adder_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1}
{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Info: Writing out detailed assembly data for power analysis" { } { } 0 0 "Writing out detailed assembly data for power analysis" 0 0 "" 0 -1}
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1}
{ "Info" "IPGMIO_CONDONE_ERROR_CHECKS_DISABLED" "" "Info: The Active Serial/Parallel mode CONF_DONE pin error check is disabled" { } { } 0 0 "The Active Serial/Parallel mode CONF_DONE pin error check is disabled" 0 0 "" 0 -1}
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "242 " "Info: Peak virtual memory: 242 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 10:22:24 2022 " "Info: Processing ended: Mon Mar 07 10:22:24 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}

檔案差異因為一行或多行太長而無法顯示

查看文件

@ -0,0 +1,11 @@
{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 07 10:22:20 2022 " "Info: Processing started: Mon Mar 07 10:22:20 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off adder_8b -c adder_8b " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off adder_8b -c adder_8b" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "adder_8b.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file adder_8b.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 adder_8b " "Info: Found entity 1: adder_8b" { } { { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1}
{ "Info" "ISGN_START_ELABORATION_TOP" "adder_8b " "Info: Elaborating entity \"adder_8b\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7400 7400:inst38 " "Info: Elaborating entity \"7400\" for hierarchy \"7400:inst38\"" { } { { "adder_8b.bdf" "inst38" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 184 400 464 224 "inst38" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1}
{ "Info" "ISGN_ELABORATION_HEADER" "7400:inst38 " "Info: Elaborated megafunction instantiation \"7400:inst38\"" { } { { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 184 400 464 224 "inst38" "" } } } } } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7486 7486:inst " "Info: Elaborating entity \"7486\" for hierarchy \"7486:inst\"" { } { { "adder_8b.bdf" "inst" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2096 272 336 2136 "inst" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1}
{ "Info" "ISGN_ELABORATION_HEADER" "7486:inst " "Info: Elaborated megafunction instantiation \"7486:inst\"" { } { { "adder_8b.bdf" "" { Schematic "D:/projects/quartus/adder_8b/adder_8b.bdf" { { 2096 272 336 2136 "inst" "" } } } } } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1}
{ "Info" "ICUT_CUT_TM_SUMMARY" "47 " "Info: Implemented 47 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "17 " "Info: Implemented 17 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "9 " "Info: Implemented 9 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "21 " "Info: Implemented 21 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1}
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "248 " "Info: Peak virtual memory: 248 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 07 10:22:21 2022 " "Info: Processing ended: Mon Mar 07 10:22:21 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}

檔案差異因為一行或多行太長而無法顯示

查看文件

@ -1,6 +1,6 @@
start_full_compilation:s:00:00:05
start_analysis_synthesis:s:00:00:01-start_full_compilation
start_analysis_synthesis:s:00:00:02-start_full_compilation
start_analysis_elaboration:s-start_full_compilation
start_fitter:s:00:00:02-start_full_compilation
start_assembler:s:00:00:01-start_full_compilation
start_timing_analyzer:s:00:00:01-start_full_compilation
start_fitter:s:00:00:01-start_full_compilation
start_assembler:s:00:00:02-start_full_compilation
start_timing_analyzer:s:00:00:00-start_full_compilation

查看文件

@ -0,0 +1,14 @@
[ProjectWorkspace]
ptn_Child1=Frames
[ProjectWorkspace.Frames]
ptn_Child1=ChildFrames
[ProjectWorkspace.Frames.ChildFrames]
ptn_Child1=Document-0
[ProjectWorkspace.Frames.ChildFrames.Document-0]
ptn_Child1=ViewFrame-0
[ProjectWorkspace.Frames.ChildFrames.Document-0.ViewFrame-0]
DocPathName=double_selector_8b.bdf
DocumentCLSID={7b19e8f2-2bbe-11d1-a082-0020affa5bde}
IsChildFrameDetached=False
IsActiveChildFrame=True
ptn_Child1=StateMap